diff --git a/cicComplex/component.xml b/cicComplex/component.xml
new file mode 100644
index 0000000..1703e1d
--- /dev/null
+++ b/cicComplex/component.xml
@@ -0,0 +1,473 @@
+
+
+ ggm
+ cogen
+ cicComplex
+ 1.0
+
+
+ data_in
+
+
+
+
+
+
+ DATA_I
+
+
+ data_i_i
+
+
+
+
+ DATA_Q
+
+
+ data_q_i
+
+
+
+
+ DATA_EN
+
+
+ data_en_i
+
+
+
+
+ DATA_CLK
+
+
+ data_clk_i
+
+
+
+
+ DATA_RST
+
+
+ data_rst_i
+
+
+
+
+
+ data_out
+
+
+
+
+
+
+ DATA_I
+
+
+ data_i_o
+
+
+
+
+ DATA_Q
+
+
+ data_q_o
+
+
+
+
+ DATA_EN
+
+
+ data_en_o
+
+
+
+
+ DATA_CLK
+
+
+ data_clk_o
+
+
+
+
+ DATA_RST
+
+
+ data_rst_o
+
+
+
+
+
+
+
+
+ xilinx_anylanguagesynthesis
+ Synthesis
+ :vivado.xilinx.com:synthesis
+ VHDL
+ cicComplex
+
+ xilinx_anylanguagesynthesis_view_fileset
+
+
+
+ viewChecksum
+ 79a58888
+
+
+
+
+ xilinx_anylanguagebehavioralsimulation
+ Simulation
+ :vivado.xilinx.com:simulation
+ VHDL
+ cicComplex
+
+ xilinx_anylanguagebehavioralsimulation_view_fileset
+
+
+
+ viewChecksum
+ 79a58888
+
+
+
+
+ xilinx_xpgui
+ UI Layout
+ :vivado.xilinx.com:xgui.ui
+
+ xilinx_xpgui_view_fileset
+
+
+
+ viewChecksum
+ 35e0c08f
+
+
+
+
+
+
+ data_i_i
+
+ in
+
+ 15
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_q_i
+
+ in
+
+ 15
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_en_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_clk_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_rst_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_i_o
+
+ out
+
+ 38
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_q_o
+
+ out
+
+ 38
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_clk_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_rst_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_en_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+
+
+ SIGNED_FORMAT
+ Signed Format
+ true
+
+
+ DECIMATE_FACTOR
+ Decimate Factor
+ 16
+
+
+
+ DIFFERENTIAL_DELAY
+ Differential Delay
+ 1
+
+
+
+ ORDER
+ Order
+ 3
+
+
+ DATA_OUT_SIZE
+ Data Out Size
+ 23
+
+
+ DATA_IN_SIZE
+ Data In Size
+ 16
+
+
+
+
+
+ xilinx_anylanguagesynthesis_view_fileset
+
+ hdl/common.vhd
+ vhdlSource
+
+
+ hdl/integrator.vhd
+ vhdlSource
+
+
+ hdl/comb.vhd
+ vhdlSource
+
+
+ hdl/cicComplex_top.vhd
+ vhdlSource
+
+
+ hdl/cicComplex.vhd
+ vhdlSource
+ CHECKSUM_585fbd6c
+
+
+
+ xilinx_anylanguagebehavioralsimulation_view_fileset
+
+ hdl/common.vhd
+ vhdlSource
+
+
+ hdl/integrator.vhd
+ vhdlSource
+
+
+ hdl/comb.vhd
+ vhdlSource
+
+
+ hdl/cicComplex_top.vhd
+ vhdlSource
+
+
+ hdl/cicComplex.vhd
+ vhdlSource
+
+
+
+ xilinx_xpgui_view_fileset
+
+ xgui/cicComplex_v1_0.tcl
+ tclSource
+ CHECKSUM_35e0c08f
+ XGUI_VERSION_2
+
+
+
+ cicComplex_v1_0
+
+
+ ID
+ Id
+ Unique Id.
+ 1
+
+
+
+ DIFFERENTIAL_DELAY
+ Differential Delay
+ Filter differential delay.
+ 2
+
+
+
+ ORDER
+ Order
+ Filter Order.
+ 3
+
+
+ DECIMATE_FACTOR
+ Decimate Factor
+ Decimate Factor.
+ 16
+
+
+ DATA_IN_SIZE
+ Data In Size
+ Size of input data bus.
+ 16
+
+
+ DATA_OUT_SIZE
+ Data Out Size
+ Size of output data bus.
+ 23
+
+
+ Component_Name
+ cicComplex_v1_0
+
+
+ data_signed
+ Data Signed
+ true
+
+
+
+
+
+ artix7
+ artix7l
+ aartix7
+ zynq
+ azynq
+
+
+ /BaseIP
+
+ cicComplex_v1_0
+ package_project
+ Benoit Dubois
+ http://www.femto-engineering.fr
+ 1
+ 2024-04-28T11:14:56Z
+
+
+ 2019.2
+
+
+
+
+
+
+
+
diff --git a/cicComplex/hdl/cicComplex.vhd b/cicComplex/hdl/cicComplex.vhd
new file mode 100644
index 0000000..e1c0faf
--- /dev/null
+++ b/cicComplex/hdl/cicComplex.vhd
@@ -0,0 +1,67 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+entity cicComplex is
+ generic (
+ BIT_PRUNING : boolean := false;
+ data_signed: boolean := true;
+ DECIMATE_FACTOR : natural := 8;
+ DIFFERENTIAL_DELAY : natural := 1;
+ ORDER : natural := 3;
+ DATA_IN_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 23
+ );
+ port (
+ -- input data
+ data_i_i : in std_logic_vector(DATA_IN_SIZE-1 downto 0);
+ data_q_i : in std_logic_vector(DATA_IN_SIZE-1 downto 0);
+ data_en_i: in std_logic;
+ data_clk_i: in std_logic;
+ data_rst_i: in std_logic;
+ -- for the next component
+ data_i_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_q_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_en_o : out std_logic;
+ data_clk_o : out std_logic;
+ data_rst_o : out std_logic
+ );
+end cicComplex;
+
+architecture arch_imp of cicComplex is
+begin
+
+ data_clk_o <= data_clk_i;
+ data_rst_o <= data_rst_i;
+
+ cic_top_inst : entity work.cicComplex_top
+ generic map (
+ BIT_PRUNING => BIT_PRUNING
+ data_signed => data_signed,
+ DECIMATE_FACTOR => DECIMATE_FACTOR,
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY,
+ ORDER => ORDER,
+ DATA_IN_SIZE => DATA_IN_SIZE,
+ DATA_OUT_SIZE => DATA_OUT_SIZE
+ )
+ port map (
+ -- Syscon signals
+ clk => data_clk_i,
+ reset => data_rst_i,
+ -- input data
+ data_i_i => data_i_i,
+ data_q_i => data_q_i,
+ data_en_i => data_en_i,
+ -- for the next component
+ data_i_o => data_i_o,
+ data_q_o => data_q_o,
+ data_en_o => data_en_o
+ );
+
+end arch_imp;
diff --git a/cicComplex/hdl/cicComplex_top.vhd b/cicComplex/hdl/cicComplex_top.vhd
new file mode 100644
index 0000000..a45aa24
--- /dev/null
+++ b/cicComplex/hdl/cicComplex_top.vhd
@@ -0,0 +1,331 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: Femto Engineering
+-- Author: Benoit Dubois
+-- Creation date: 2024/04/15
+---------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+library work;
+use work.common.all;
+
+Entity cicComplex_top is
+ generic (
+ BIT_PRUNING : boolean := false;
+ data_signed : boolean := true;
+ DECIMATE_FACTOR : natural := 8;
+ DIFFERENTIAL_DELAY : natural := 1;
+ ORDER : natural := 2;
+ DATA_IN_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 23
+ );
+ port (
+ -- Syscon signals
+ reset : in std_ulogic;
+ clk : in std_ulogic;
+ -- input data
+ data_en_i : in std_ulogic;
+ data_i_i : in std_logic_vector(DATA_IN_SIZE-1 downto 0);
+ data_q_i : in std_logic_vector(DATA_IN_SIZE-1 downto 0);
+ -- for the next component
+ data_i_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_q_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_en_o : out std_ulogic
+ );
+end entity cicComplex_top;
+
+---------------------------------------------------------------------------
+Architecture rtl of cicComplex_top is
+---------------------------------------------------------------------------
+ --
+ constant DATA_INT_SIZE : natural := GetIntInputSize(DATA_IN_SIZE, data_signed);
+ -- Internal register size (Compututed to avoid overflow)
+ constant REGISTER_MAX : integer := Bmax(DATA_INT_SIZE, DECIMATE_FACTOR, ORDER, DIFFERENTIAL_DELAY);
+ constant REGISTER_SIZE : coeff_t := CicRegSize(BIT_PRUNING, DATA_INT_SIZE, DECIMATE_FACTOR, ORDER, DIFFERENTIAL_DELAY);
+ -- Try and make sure the CIC gain is designed to be a power of 2.
+ constant SHIFT_GAIN : natural := 0; --Gain(DECIMATE_FACTOR, ORDER, DIFFERENTIAL_DELAY);
+ --
+ subtype reg_t is signed(REGISTER_MAX-1 downto 0);
+ type reg_array_t is array (1 to ORDER-1) of reg_t;
+ --
+ signal data_i_d0_s : signed(DATA_INT_SIZE-1 downto 0) := (others => '0');
+ signal data_q_d0_s : signed(DATA_INT_SIZE-1 downto 0) := (others => '0');
+ signal data_i_se_s : reg_t := (others => '0');
+ signal data_q_se_s : reg_t := (others => '0');
+ --
+ signal int_data_i_s : reg_array_t := (others => (others => '0'));
+ signal int_data_q_s : reg_array_t := (others => (others => '0'));
+ signal int_out_i_s : reg_t := (others => '0');
+ signal int_out_q_s : reg_t := (others => '0');
+ -- Decimator state.
+ signal count_s : integer range 0 to (DECIMATE_FACTOR-1) := 0;
+ signal data_en_s : std_logic := '1';
+ signal comb_data_i_s : reg_array_t := (others => (others => '0'));
+ signal comb_data_q_s : reg_array_t := (others => (others => '0'));
+ signal comb_out_i_s : reg_t := (others => '0');
+ signal comb_out_q_s : reg_t := (others => '0');
+begin
+
+ is_unsigned_format: if data_signed /= true generate
+ data_i_d0_s <= '0' & signed(data_i_i);
+ data_q_d0_s <= '0' & signed(data_q_i);
+ end generate is_unsigned_format;
+ is_signed_format: if data_signed = true generate
+ data_i_d0_s <= signed(data_i_i);
+ data_q_d0_s <= signed(data_q_i);
+ end generate is_signed_format;
+
+ -- -------------------------------------------------------------------------
+ -- Sign extension.
+ -- -------------------------------------------------------------------------
+ SE : process(data_i_d0_s, data_q_d0_s)
+ begin
+ data_i_se_s(DATA_INT_SIZE-1 downto 0) <= data_i_d0_s;
+ data_q_se_s(DATA_INT_SIZE-1 downto 0) <= data_q_d0_s;
+ for k in (REGISTER_MAX-1) downto DATA_INT_SIZE loop
+ data_i_se_s(k) <= data_i_d0_s(data_i_d0_s'high);
+ data_q_se_s(k) <= data_q_d0_s(data_q_d0_s'high);
+ end loop;
+ end process SE;
+
+ -- -------------------------------------------------------------------------
+ -- Integrator
+ -- -------------------------------------------------------------------------
+ GEN_INTEGRATOR_1: if ORDER = 1 generate
+ INT_11: entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(1))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => data_i_se_s,
+ data_q_i => data_q_se_s,
+ data_i_o => int_out_i_s,
+ data_q_o => int_out_q_s
+ );
+ end generate GEN_INTEGRATOR_1;
+
+ GEN_INTEGRATOR_2: if ORDER = 2 generate
+ begin
+ INT_21: entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(1))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => data_i_se_s,
+ data_q_i => data_q_se_s,
+ data_i_o => int_data_i_s(1),
+ data_q_o => int_data_q_s(1)
+ );
+ INT_22: entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(2))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => int_data_i_s(1),
+ data_q_i => int_data_q_s(1),
+ data_i_o => int_out_i_s,
+ data_q_o => int_out_q_s
+ );
+ end generate GEN_INTEGRATOR_2;
+
+ GEN_INTEGRATOR_N: if ORDER > 2 generate
+ begin
+ INTEGRATOR_N: for i in 1 to ORDER generate
+ begin
+ -- Generate the first integrator filter.
+ GEN_INT_1 : if i = 1 generate
+ begin
+ INT_N1 : entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(1))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => data_i_se_s,
+ data_q_i => data_q_se_s,
+ data_i_o => int_data_i_s(1),
+ data_q_o => int_data_q_s(1)
+ );
+ end generate GEN_INT_1;
+ -- Generate the i'th integrator filter.
+ GEN_INT_I : if ((i > 1) and (i < ORDER)) generate
+ begin
+ INT_NI : entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(i))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => int_data_i_s(i-1),
+ data_q_i => int_data_q_s(i-1),
+ data_i_o => int_data_i_s(i),
+ data_q_o => int_data_q_s(i)
+ );
+ end generate GEN_INT_I;
+ -- Generate the ORDER'th integrator filter.
+ GEN_INT_ORDER : if i = ORDER generate
+ begin
+ INT_NORDER : entity work.integrator
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER))
+ port map (
+ clk => clk,
+ reset => reset,
+ data_en_i => data_en_i,
+ data_i_i => int_data_i_s(ORDER-1),
+ data_q_i => int_data_q_s(ORDER-1),
+ data_i_o => int_out_i_s,
+ data_q_o => int_out_q_s
+ );
+ end generate GEN_INT_ORDER;
+ end generate INTEGRATOR_N;
+ end generate GEN_INTEGRATOR_N;
+
+ -- -------------------------------------------------------------------------
+ -- Decimator
+ -- -------------------------------------------------------------------------
+ GEN_DECIMATOR : process(clk, reset)
+ begin
+ if reset = '1' then
+ count_s <= 0;
+ elsif rising_edge(clk) then
+ if (data_en_i = '1') then
+ if count_s >= (DECIMATE_FACTOR-1) then
+ count_s <= 0;
+ else
+ count_s <= count_s + 1;
+ end if;
+ end if;
+ end if;
+ end process GEN_DECIMATOR;
+
+ data_en_s <= '1' when count_s = 0 else '0';
+
+
+ -- -------------------------------------------------------------------------
+ -- Comb
+ -- -------------------------------------------------------------------------
+ GEN_COMB_1: if ORDER = 1 generate
+ INT_11: entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER+1),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => int_out_i_s,
+ data_q_i => int_out_q_s,
+ data_i_o => comb_out_i_s,
+ data_q_o => comb_out_q_s,
+ data_en_i => data_en_s
+ );
+ end generate GEN_COMB_1;
+
+ GEN_COMB_2: if ORDER = 2 generate
+ begin
+ INT_21: entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER+1),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => int_out_i_s,
+ data_q_i => int_out_q_s,
+ data_i_o => comb_data_i_s(1),
+ data_q_o => comb_data_q_s(1),
+ data_en_i => data_en_s
+ );
+ INT_22: entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER+2),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => comb_data_i_s(1),
+ data_q_i => comb_data_q_s(1),
+ data_i_o => comb_out_i_s,
+ data_q_o => comb_out_q_s,
+ data_en_i => data_en_s
+ );
+ end generate GEN_COMB_2;
+
+ GEN_COMB_N : if ORDER > 2 generate
+ begin
+ COMB_N: for i in 1 to ORDER generate
+ begin
+ -- Generate the first comb filter.
+ GEN_COMB_N1: if i = 1 generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER+1),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => int_out_i_s,
+ data_q_i => int_out_q_s,
+ data_i_o => comb_data_i_s(1),
+ data_q_o => comb_data_q_s(1),
+ data_en_i => data_en_s
+ );
+ end generate GEN_COMB_N1;
+ -- Generate the i'th comb filter.
+ GEN_COMB_NI : if ((i > 1) and (i < ORDER)) generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(ORDER+i+1),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => comb_data_i_s(i - 1),
+ data_q_i => comb_data_q_s(i - 1),
+ data_i_o => comb_data_i_s(i),
+ data_q_o => comb_data_q_s(i),
+ data_en_i => data_en_s
+ );
+ end generate GEN_COMB_NI;
+ -- Generate the ORDER'th comb filter.
+ GEN_COMB_NORDER : if i = ORDER generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE => REGISTER_SIZE(2*ORDER),
+ DIFFERENTIAL_DELAY => DIFFERENTIAL_DELAY)
+ port map (
+ clk => clk,
+ reset => reset,
+ data_i_i => comb_data_i_s(ORDER - 1),
+ data_q_i => comb_data_q_s(ORDER - 1),
+ data_i_o => comb_out_i_s,
+ data_q_o => comb_out_q_s,
+ data_en_i => data_en_s
+ );
+ end generate GEN_COMB_NORDER;
+ end generate COMB_N;
+ end generate GEN_COMB_N;
+
+ -- Data output enable generation
+ data_en_o <= data_en_s;
+
+ -- -------------------------------------------------------------------------
+ -- Remove DC Gain and final bit truncation.
+ -- -------------------------------------------------------------------------
+ data_i_o <= std_logic_vector(resize(shift_right(comb_out_i_s, SHIFT_GAIN), data_i_o'length));
+ data_q_o <= std_logic_vector(resize(shift_right(comb_out_q_s, SHIFT_GAIN), data_q_o'length));
+
+end architecture rtl;
diff --git a/cicComplex/hdl/comb.vhd b/cicComplex/hdl/comb.vhd
new file mode 100644
index 0000000..7c42b43
--- /dev/null
+++ b/cicComplex/hdl/comb.vhd
@@ -0,0 +1,78 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: Femto Engineering
+-- Author: Benoit Dubois
+-- Creation date: 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity comb is
+ generic (
+ DIFFERENTIAL_DELAY : natural := 1;
+ DATA_SIZE : natural := 16
+ );
+ port (
+ clk : in std_ulogic;
+ reset : in std_ulogic;
+ data_en_i : in std_ulogic;
+ data_i_i : in signed(DATA_SIZE-1 downto 0);
+ data_q_i : in signed(DATA_SIZE-1 downto 0);
+ data_i_o : out signed(DATA_SIZE-1 downto 0);
+ data_q_o : out signed(DATA_SIZE-1 downto 0)
+ );
+end comb;
+
+architecture rtl of comb is
+ --
+ subtype reg_t is signed(DATA_SIZE-1 downto 0);
+ type reg_array_t is array (1 to DIFFERENTIAL_DELAY) of reg_t;
+ --
+ signal delayed_data_i_s : reg_array_t := (others => (others => '0'));
+ signal comb_out_i_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ --
+ signal delayed_data_q_s : reg_array_t := (others => (others => '0'));
+ signal comb_out_q_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+begin
+
+ process(clk)
+ begin
+ if reset = '1' then
+ comb_out_i_s <= (others => '0');
+ delayed_data_i_s <= (others => (others => '0'));
+ elsif (rising_edge(clk)) then
+ if (data_en_i = '1') then
+ comb_out_i_s <= data_i_i - delayed_data_i_s(DIFFERENTIAL_DELAY);
+ if DIFFERENTIAL_DELAY > 1 then
+ for i in 2 to DIFFERENTIAL_DELAY loop
+ delayed_data_i_s(i) <= delayed_data_i_s(i-1);
+ end loop;
+ end if;
+ delayed_data_i_s(1) <= data_i_i;
+ end if;
+ end if;
+ end process;
+
+
+ process(clk)
+ begin
+ if reset = '1' then
+ comb_out_q_s <= (others => '0');
+ delayed_data_q_s <= (others => (others => '0'));
+ elsif (rising_edge(clk)) then
+ if (data_en_i = '1') then
+ comb_out_q_s <= data_q_i - delayed_data_q_s(DIFFERENTIAL_DELAY);
+ if DIFFERENTIAL_DELAY > 1 then
+ for i in 2 to DIFFERENTIAL_DELAY loop
+ delayed_data_q_s(i) <= delayed_data_q_s(i-1);
+ end loop;
+ end if;
+ delayed_data_q_s(1) <= data_q_i;
+ end if;
+ end if;
+ end process;
+
+ data_i_o <= comb_out_i_s;
+ data_q_o <= comb_out_q_s;
+
+end rtl;
diff --git a/cicComplex/hdl/common.vhd b/cicComplex/hdl/common.vhd
new file mode 100644
index 0000000..eae2ec4
--- /dev/null
+++ b/cicComplex/hdl/common.vhd
@@ -0,0 +1,332 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+package common is
+ type real_array is array (natural range <>) of real;
+ type natural_array is array (natural range <>) of natural;
+ subtype coeff_t is natural_array;
+ --
+ function "-"(a: real_array) return real_array;
+ function DotFloor(a: real_array) return real_array;
+ function DotLog2(a: real_array) return real_array;
+ function DotProd(a: natural_array; b: natural_array) return natural_array;
+ function DotProd(a: real_array; b: real_array) return real_array;
+ function DotSum(a: natural_array; b: natural) return natural_array;
+ function DotSum(a: natural_array; b: natural_array) return natural_array;
+ function DotSum(a: real_array; b: real) return real_array;
+ function DotSum(a: real_array; b: real_array) return real_array;
+ function CumSum(a: natural_array) return natural;
+ function CumSum(a: real_array) return real;
+ function Fact(n: real) return real;
+ function BinComb(n: natural; k: natural) return real;
+ function CicRegSize(Pruning: boolean; Bin : natural; Bout : natural; R : natural; N : natural; M : natural) return coeff_t;
+ function Gain(R : natural; M : natural; N : natural) return natural;
+ function Bmax(Bin : natural; R : natural; M : natural; N : natural) return natural;
+ function GetIntInputSize(data_in_size : natural; is_signed: boolean) return natural;
+end package;
+
+package body common is
+
+ function "-"(a: real_array) return real_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := -a(i);
+ end loop;
+ return ret_array;
+ end function;
+
+
+ function DotFloor(a: real_array) return natural_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := integer(round(floor(a(i))));
+ end loop;
+ return ret_array;
+ end function;
+
+ -- Arrays term to term multiplication
+ function DotProd(a: natural_array; b: natural_array) return natural_array is
+ variable ret_array : natural_array(a'right to a'left);
+ begin
+ for i in a'right downto a'left loop
+ ret_array(i) := a(i) * b(i);
+ end loop;
+ return ret_array;
+ end function;
+
+ function DotProd(a: real_array; b: real_array) return real_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+
+ --report "DotProd(" & integer'image(i) & ", " & integer'image(a'low) & ", " & integer'image(a'high-1) & ", " & real'image(a(i)) & ", " & real'image(b(i)) & ")";
+
+ ret_array(i) := a(i) * b(i);
+ --report "DotProd result: " & real'image(ret_array(i)) ;
+ end loop;
+
+ --report "DotProd end";
+
+ return ret_array;
+ end function;
+
+
+ -- Arrays term to term log2
+ function DotLog2(a: real_array) return real_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := sqrt(a(i));
+ end loop;
+ return ret_array;
+ end function;
+
+
+ -- Arrays term to term sumation
+ function DotSum(a: natural_array; b: natural) return natural_array is
+ variable ret_array : natural_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := a(i) + b;
+ end loop;
+ return ret_array;
+ end function;
+
+ function DotSum(a: natural_array; b: natural_array) return natural_array is
+ variable ret_array : natural_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := a(i) + b(i);
+ end loop;
+ return ret_array;
+ end function;
+
+ function DotSum(a: real_array; b: real) return real_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := a(i) + b;
+ end loop;
+ return ret_array;
+ end function;
+
+ function DotSum(a: real_array; b: real_array) return real_array is
+ variable ret_array : real_array(a'low to a'high-1);
+ begin
+ for i in a'low to a'high-1 loop
+ ret_array(i) := a(i) + b(i);
+ end loop;
+ return ret_array;
+ end function;
+
+
+ -- Cumulative summation of array values.
+ function CumSum(a: natural_array) return natural is
+ variable retval : natural;
+ begin
+ retval := 0;
+ for i in a'low to a'high-1 loop
+ retval := retval + a(i);
+ end loop;
+ return retval;
+ end function;
+
+ function CumSum(a: real_array) return real is
+ variable retval : real;
+ begin
+ retval := 0.0;
+ for i in a'low to a'high-1 loop
+ retval := retval + a(i);
+ end loop;
+ return retval;
+ end function;
+
+ -- Factorial computation
+ function Fact(n: real) return real is
+ begin
+ if n = 0.0 then
+ return 1.0;
+ elsif n = 1.0 then
+ return 1.0;
+ else
+ return n * Fact(n-1.0);
+ end if;
+ end function;
+
+
+ -- Binomial combination computation
+ function BinComb(n: natural; k: natural) return real is
+ begin
+ return Fact(real(n)) / Fact(real(k)) / Fact(real(n-k));
+ end function;
+
+
+ function Gain(R : natural; M : natural; N : natural) return natural is
+ constant a : real := real(R * N);
+ constant x : real := real(a ** real(M));
+ begin
+ return natural(log2(x));
+ end function;
+
+
+ function Bmax(Bin : natural; R : natural; M : natural; N : natural) return natural is
+ constant a : real := real(R * N);
+ constant b : real := log2(a);
+ constant c : real := real(real(M) * b);
+ begin
+ return natural(c) + Bin;
+ end function;
+
+
+ function GetIntInputSize(data_in_size : natural; is_signed: boolean) return natural is
+ begin
+ if (is_signed) then
+ return data_in_size;
+ end if;
+ return data_in_size + 1;
+ end function GetIntInputSize;
+
+
+ -- Return array with register bit size optimized following Hogenauer's pruning theory.
+ -- R: Decimation factor
+ -- N: Order
+ -- M: Differential delay
+ function CicRegSize(Pruning: boolean; Bin : natural; Bout : natural; R : natural; N : natural; M : natural) return coeff_t is
+ -- Define "FsubJ" values for up to seven cascaded combs
+ constant FsubJforManyCombs : real_array(0 to 6) := (
+ sqrt(2.0), sqrt(6.0), sqrt(20.0), sqrt(70.0),
+ sqrt(252.0), sqrt(924.0), sqrt(3432.0));
+ -- Compute column vector of "half log base 2 of 6/N" terms
+ constant HalfLog2of6overN :real := 0.5 * log2(6.0/real(N));
+
+ --
+ variable RegSize : coeff_t(1 to 2*N);
+ variable HsubJ : real_array(0 to ((R*M-1)*N + N));
+ variable FsubJ : real_array(0 to 2*N);
+ variable MinusLog2ofFsubJ : real_array(FsubJ'low to FsubJ'high-1);
+ variable DeltaHsubJ : real;
+ variable j : natural;
+ variable k : natural;
+ variable L : natural;
+
+ variable CicFilterGain : natural;
+ variable NumOfBitsGrowth: natural;
+ variable NumOutputBitsWithNoTruncation: natural;
+ variable NumOfOutputBitsTruncated: natural;
+ variable OutputTruncationNoiseVariance: real;
+ variable OutputTruncationNoiseStandardDeviation: real;
+ variable Log2ofOutputTruncationNoiseStandardDeviation: real;
+
+
+ variable t1: real_array(HsubJ'low to HsubJ'high-1);
+ variable t2: real;
+
+ begin
+
+ report "Enter CicRegSize(R, N, M): (" &
+ integer'image(R) & ", " &
+ integer'image(N) & ", " &
+ integer'image(M) & ")"
+ severity note;
+
+ if (Pruning = false) then
+ for i in 1 to 2*N loop
+ RegSize(i) := Bmax(Bin, R, M, N);
+ end loop;
+ return RegSize;
+ end if;
+
+ report "Start" severity note;
+
+ -- Find h_sub_j and "F_sub_j" values for (N-1) cascaded integrators
+ for j in N-2 downto 0 loop
+ -- report "j: " & integer'image(j) severity note;
+ for k in 0 to (R*M-1)*N +j+1 loop
+ HsubJ(k) := 0.0;
+ --report "k: " & integer'image(k);
+ for L in 0 to integer(floor(real(k)/real(R*M))) loop -- Use uppercase "L" for loop variable
+ -- report
+ -- integer'image(R) & " " &
+ -- integer'image(N) & " " &
+ -- integer'image(M) & " " &
+ -- integer'image(j) & " " &
+ -- integer'image(k) & " " &
+ -- integer'image(L);
+ -- report "sum: " &
+ -- integer'image(integer(floor(real(k)/real(R*M)))) & " " &
+ -- integer'image(N-j-1+k-R*M*L) & " " &
+ -- integer'image(R*M*L);
+ DeltaHsubJ :=
+ (-1)**real(L) * BinComb(N, L) * real(BinComb(N-j-1+k-R*M*L, k-R*M*L));
+ HsubJ(k) := HsubJ(k) + DeltaHsubJ;
+
+ -- report integer'image(j) & " " &
+ -- integer'image(k) & " " &
+ -- integer'image(L) & " " &
+ -- real'image(HsubJ(k)) & " " &
+ -- real'image(DeltaHsubJ)
+ -- severity note;
+
+ end loop;
+ end loop;
+ t1 := DotProd(HsubJ, HsubJ);
+ t2 := CumSum(DotProd(HsubJ, HsubJ));
+ FsubJ(j) := sqrt(real(CumSum(DotProd(HsubJ, HsubJ))));
+ -- report real'image(FsubJ(j));
+ end loop;
+
+ -- Compute F_sub_j for last integrator stage
+ FsubJ(N-1) := FsubJforManyCombs(N-2) * (sqrt(real(R*M))); -- Last integrator
+
+ -- Compute F_sub_j for N cascaded filter's comb stages
+ for j in 2*N-1 to N-1 loop
+ FsubJ(j) := FsubJforManyCombs(2*N -j-1);
+ end loop;
+
+ -- Define "F_sub_j" values for the final output register truncation
+ FsubJ(2*N) := 1.0; -- Final output register truncation
+
+ -- Compute column vector of minus log base 2 of "F_sub_j" values
+ MinusLog2ofFsubJ := -DotLog2(FsubJ);
+
+ -- -- Compute total "Output_Truncation_Noise_Variance" terms
+ CicFilterGain := (R*M)**N;
+ NumOfBitsGrowth := integer(ceil(log2(real(CicFilterGain))));
+
+ -- The following is from Hogenauer's Eq. (11)
+ --Num_Output_Bits_With_No_Truncation = NumOfBitsGrowth + Bin -1;
+ NumOutputBitsWithNoTruncation := NumOfBitsGrowth + Bin;
+ NumOfOutputBitsTruncated := NumOutputBitsWithNoTruncation - Bout;
+ OutputTruncationNoiseVariance := (2**real(NumOfOutputBitsTruncated))**2 / 12.0;
+
+ -- Compute log base 2 of "Output_Truncation_Noise_Standard_Deviation" terms
+ OutputTruncationNoiseStandardDeviation := sqrt(OutputTruncationNoiseVariance);
+ Log2ofOutputTruncationNoiseStandardDeviation := log2(OutputTruncationNoiseVariance);
+
+ -- Compute desired "B_sub_j" vector
+ --print("\nCompute floor", Minus_log2_of_F_sub_j,
+ -- Log_base2_of_Output_Truncation_Noise_Standard_Deviation \
+ -- , Half_Log_Base2_of_6_over_N)
+ RegSize := DotFloor(
+ DotSum(
+ DotSum(
+ MinusLog2ofFsubJ,
+ Log2ofOutputTruncationNoiseStandardDeviation
+ ),
+ HalfLog2of6overN
+ )
+ );
+ return DotSum(RegSize, Bin);
+
+ end function;
+
+end package body;
diff --git a/cicComplex/hdl/integrator.vhd b/cicComplex/hdl/integrator.vhd
new file mode 100644
index 0000000..d834cf8
--- /dev/null
+++ b/cicComplex/hdl/integrator.vhd
@@ -0,0 +1,63 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity integrator is
+ generic (
+ DATA_SIZE : natural := 16
+ );
+ port (
+ clk : in std_ulogic;
+ reset : in std_ulogic;
+ data_en_i : in std_ulogic;
+ data_i_i : in signed(DATA_SIZE-1 downto 0);
+ data_q_i : in signed(DATA_SIZE-1 downto 0);
+ data_i_o : out signed(DATA_SIZE-1 downto 0);
+ data_q_o : out signed(DATA_SIZE-1 downto 0)
+ );
+end integrator;
+
+architecture rtl of integrator is
+ --
+ signal int_out_i_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ signal int_i_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ --
+ signal int_out_q_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ signal int_q_s : signed(DATA_SIZE-1 downto 0) := (others => '0');
+begin
+
+ process(clk)
+ begin
+ if reset = '1' then
+ int_i_s <= (others => '0');
+ int_out_i_s <= (others => '0');
+ elsif (rising_edge(clk)) then
+ if (data_en_i = '1') then
+ int_i_s <= data_i_i;
+ int_out_i_s <= int_out_i_s + data_i_i;
+ end if;
+ end if;
+ end process;
+
+ process(clk)
+ begin
+ if reset = '1' then
+ int_q_s <= (others => '0');
+ int_out_q_s <= (others => '0');
+ elsif (rising_edge(clk)) then
+ if (data_en_i = '1') then
+ int_q_s <= data_q_i;
+ int_out_q_s <= int_out_q_s + data_q_i;
+ end if;
+ end if;
+ end process;
+
+ data_i_o <= int_out_i_s;
+ data_q_o <= int_out_q_s;
+
+end rtl;
diff --git a/cicComplex/simulation/.gitignore b/cicComplex/simulation/.gitignore
new file mode 100644
index 0000000..b0d4b03
--- /dev/null
+++ b/cicComplex/simulation/.gitignore
@@ -0,0 +1,10 @@
+result.txt
+fir16
+*.log
+*.xmsgs
+*.cmd
+*.wdd
+*.wcfg
+isim
+*.wdb
+simu
diff --git a/cicComplex/simulation/bit_pruning/bit_pruning.py b/cicComplex/simulation/bit_pruning/bit_pruning.py
new file mode 100644
index 0000000..14f6fff
--- /dev/null
+++ b/cicComplex/simulation/bit_pruning/bit_pruning.py
@@ -0,0 +1,141 @@
+import math
+import numpy as np
+import scipy.special as ss
+
+#
+# Computes CIC decimation filter accumulator register
+# truncation in each filter stage based on Hogenauer's
+# 'accumulator register pruning' technique.
+#
+# Inputs:
+# N = number of decimation CIC filter stages (filter order).
+# R = CIC filter rate change factor (decimation factor).
+# M = differential delay.
+# Bin = number of bits in an input data word.
+# Bout = number of bits in the filter's final output data word.
+# Outputs:
+# Stage number (ranges from 1 -to- 2*N+1).
+# Bj = number of least significant bits that can be truncated
+# at the input of a filter stage.
+# Accumulator widths = number of a stage's necessary accumulator
+# bits accounting for truncation.
+#
+# Benoit Dubois April, 2024
+#
+# Translated Matlab into Python code, from
+# "Computing CIC Filter Register Pruning Using Matlab"
+# find here:
+# https://www.dsprelated.com/showcode/269.php
+#
+
+# Define CIC filter parameters
+#N = 4; R = 25; M = 1; Bin = 16; Bout = 16; # Hogenauer paper, pp. 159
+#N = 3; R = 32; M = 2; Bin = 8; Bout = 10; # Meyer Baese book, pp. 268
+#N = 3; R = 16; M = 1; Bin = 16; Bout = 16; # Thorwartl's PDF file
+#N = 3; R = 8; M = 1; Bin = 12; Bout = 12; # Lyons' blog Figure 2 example
+N = 4; R = 8; M = 1; Bin = 16; Bout = 16; # Perso
+
+print(f"(R, N, N): ({R}, {N}, {M})")
+# Find h_sub_j and "F_sub_j" values for (N-1) cascaded integrators
+F_sub_j = np.zeros(2*N +1)
+for j in range(N-2, -1, -1):
+ h_sub_j = np.zeros((R*M-1)*N +N)
+ for k in range((R*M-1)*N +j+1):
+ for L in range(math.floor(k/(R*M)) + 1): # Use uppercase "L" for loop variable
+ # print (R, N, M, j, k, L)
+ # print("sum:", N-j-1+k-R*M*L, k-R*M*L)
+ Change_to_Result = \
+ (-1)**L * ss.comb(N, L) * ss.comb(N-j-1+k-R*M*L, k-R*M*L)
+ h_sub_j[k] = h_sub_j[k] + Change_to_Result
+
+ print(j, k, L, h_sub_j[k], Change_to_Result)
+
+ F_sub_j[j] = math.sqrt(sum(h_sub_j**2))
+
+# Define "F_sub_j" values for up to seven cascaded combs
+F_sub_j_for_many_combs = np.sqrt([2, 6, 20, 70, 252, 924, 3432])
+
+# Compute F_sub_j for last integrator stage
+F_sub_j[N-1] = F_sub_j_for_many_combs[N-2]*math.sqrt(R*M) # Last integrator
+
+# Compute F_sub_j for N cascaded filter's comb stages
+for j in range(2*N-1, N-1, -1):
+ F_sub_j[j] = F_sub_j_for_many_combs[2*N -j-1]
+
+# Define "F_sub_j" values for the final output register truncation
+F_sub_j[2*N] = 1 # Final output register truncation
+
+# Compute column vector of minus log base 2 of "F_sub_j" values
+Minus_log2_of_F_sub_j = -np.log2(F_sub_j)
+
+# Compute total "Output_Truncation_Noise_Variance" terms
+CIC_Filter_Gain = (R*M)**N
+Num_of_Bits_Growth = math.ceil(math.log2(CIC_Filter_Gain))
+# The following is from Hogenauer's Eq. (11)
+#Num_Output_Bits_With_No_Truncation = Num_of_Bits_Growth + Bin -1;
+Num_Output_Bits_With_No_Truncation = Num_of_Bits_Growth + Bin
+Num_of_Output_Bits_Truncated = Num_Output_Bits_With_No_Truncation - Bout
+Output_Truncation_Noise_Variance = (2**Num_of_Output_Bits_Truncated)**2 / 12
+
+# Compute log base 2 of "Output_Truncation_Noise_Standard_Deviation" terms
+Output_Truncation_Noise_Standard_Deviation = \
+ math.sqrt(Output_Truncation_Noise_Variance)
+Log_base2_of_Output_Truncation_Noise_Standard_Deviation = \
+ math.log2(Output_Truncation_Noise_Standard_Deviation)
+
+# Compute column vector of "half log base 2 of 6/N" terms
+Half_Log_Base2_of_6_over_N = 0.5 * math.log2(6/N)
+
+# Compute desired "B_sub_j" vector
+#print("\nCompute floor", Minus_log2_of_F_sub_j,
+# Log_base2_of_Output_Truncation_Noise_Standard_Deviation \
+# , Half_Log_Base2_of_6_over_N)
+B_sub_j = np.floor(Minus_log2_of_F_sub_j \
+ + Log_base2_of_Output_Truncation_Noise_Standard_Deviation \
+ + Half_Log_Base2_of_6_over_N)
+
+print(' ')
+print('N = ',str(N),', R = ',str(R),', M = ',str(M), \
+ ', Bin = ', str(Bin),', Bout = ',str(Bout))
+print('Num of Bits Growth Due To CIC Filter Gain = ', \
+ str(Num_of_Bits_Growth))
+print('Num of Accumulator Bits With No Truncation = ', \
+ str(Num_Output_Bits_With_No_Truncation))
+# print(['Output Truncation Noise Variance = ', ...
+# str(Output_Truncation_Noise_Variance)])
+# print(['Log Base2 of Output Truncation Noise Standard Deviation = ',...
+# str(Log_base2_of_Output_Truncation_Noise_Standard_Deviation)])
+# print(['Half Log Base2 of 6/N = ', str(Half_Log_Base2_of_6_over_N)])
+
+# Create and printlay "Results" matrix
+Results = np.zeros([2*N+1, 5])
+for Stage in range(2*N):
+ Results[Stage, 0] = Stage + 1
+ Results[Stage, 1] = F_sub_j[Stage]
+ Results[Stage, 2] = Minus_log2_of_F_sub_j[Stage]
+ Results[Stage, 3] = B_sub_j[Stage]
+ Results[Stage, 4] = Num_Output_Bits_With_No_Truncation -B_sub_j[Stage]
+
+# Include final output stage truncation in "Results" matrix
+Results[2*N, 0] = 2*N+1 # Output stage number
+Results[2*N, 1] = 1
+Results[2*N, 3] = Num_of_Output_Bits_Truncated
+Results[2*N, 4] = Bout
+#Results # printlay "Results" matrix in raw float-pt.form
+
+# # printlay "F_sub_j" values if you wish
+# print(' ')
+# print(' Stage Fj -log2(Fj) Bj Accum width')
+# for Stage = 1:2*N+1
+# print([' ',sprintf('#2.2g',Results[Stage,1)),sprintf('\t'),sprintf('#12.3g',Results[Stage,2)),...
+# sprintf('\t'),sprintf('#7.5g',Results[Stage,3)),sprintf('\t'),...
+# sprintf('#7.5g',Results[Stage,4)),sprintf('\t'),sprintf('#7.5g',Results[Stage,5))])
+# end
+
+# printlay Stage number, # of truncated input bits, & Accumulator word widths
+print(' ')
+print(' Stage(j) Bj Accum (adder) width')
+for Stage in range(2*N):
+ print(f' {Results[Stage,0]:#2.0g}\t{Results[Stage,3]:#5.0f}\t{Results[Stage,4]:#7.0f}')
+
+print(f' {Results[2*N,0]:#2.0f}\t{Results[2*N,3]:#5.0f}\t{Results[2*N,4]:#7.0f} (final truncation)')
diff --git a/cicComplex/simulation/bit_pruning/test.py b/cicComplex/simulation/bit_pruning/test.py
new file mode 100644
index 0000000..df1e11b
--- /dev/null
+++ b/cicComplex/simulation/bit_pruning/test.py
@@ -0,0 +1,57 @@
+import math
+
+def calculate_bit_growth(N, R, M, D):
+ """
+ Calculate bit growth at each stage of the CIC filter based on Hogenauer's pruning theory.
+
+ Args:
+ - N: Input sample size
+ - R: Output sample size
+ - M: Differential delay
+ - D: Decimation factor
+
+ Returns:
+ - List of bit growth at each stage
+ """
+ bit_growth = []
+ for i in range(1, M + 1):
+ growth = math.ceil(math.log2((N * i) / (R * D)))
+ bit_growth.append(growth)
+ return bit_growth
+
+def prune_bits(bit_growth, target_bits):
+ """
+ Prune bits at each stage of the filter to achieve the desired output bit width.
+
+ Args:
+ - bit_growth: List of bit growth at each stage
+ - target_bits: Desired output bit width
+
+ Returns:
+ - List of pruned bits at each stage
+ """
+ pruned_bits = []
+ cumulative_growth = 0
+ for growth in bit_growth:
+ if cumulative_growth + growth > target_bits:
+ pruned_bits.append(target_bits - cumulative_growth)
+ break
+ pruned_bits.append(growth)
+ cumulative_growth += growth
+ return pruned_bits
+
+# Example parameters
+N = 16 # Input sample size
+R = 16 # Output sample size
+M = 4 # Differential delay
+D = 16 # Decimation factor
+
+target_bits = 12 # Desired output bit width
+
+# Calculate bit growth
+bit_growth = calculate_bit_growth(N, R, M, D)
+print("Bit growth at each stage:", bit_growth)
+
+# Prune bits
+pruned_bits = prune_bits(bit_growth, target_bits)
+print("Pruned bits at each stage:", pruned_bits)
diff --git a/cicComplex/simulation/cfir_filter/cfir_coeff_calculation.py b/cicComplex/simulation/cfir_filter/cfir_coeff_calculation.py
new file mode 100644
index 0000000..58928cc
--- /dev/null
+++ b/cicComplex/simulation/cfir_filter/cfir_coeff_calculation.py
@@ -0,0 +1,160 @@
+#!/usr/bin/env python
+
+"""
+From https://www.gibbard.me/cic_filters/cic_filters_ipython.html
+"""
+from math import log
+import numpy as np
+from scipy.signal import firwin2
+from scipy.signal import freqz
+import matplotlib.pyplot as plt
+
+np.seterr(divide='ignore', invalid='ignore');
+FFT_RESOLUTION = 1024
+
+# CIC
+CIC_DECIMATION_FACTOR = 32
+ORDER = 4
+DIFFERENTIAL_DELAY = 4
+
+# FIR
+FIR_DECIMATION_FACTOR = 1
+NUM_TAPS = 32
+CUT_OFF = 0.18
+
+FS = 125e6
+F_CIC_OUT = FS / CIC_DECIMATION_FACTOR
+F_FIR_OUT = F_CIC_OUT / FIR_DECIMATION_FACTOR
+
+PLOT_FIGURE = True
+NORMALIZE_FREQUENCY = False
+
+
+# =============================================================================
+def float2integer(ftaps, n_bit=16):
+ max_ = (2**(n_bit-1))-1
+ min_ = (2**(n_bit-1))
+ taps_norm = np.array(ftaps)/max(ftaps)
+ ptr_neg = [idx for idx, samp in enumerate(ftaps) if samp < 0]
+ taps_Q = taps_norm * max_
+ for i in ptr_neg:
+ taps_Q[i] = taps_norm[i] * min_
+ taps_Q = np.rint(taps_Q)
+ return taps_Q
+
+
+# =============================================================================
+def plotCICCompFilter(R,M,N):
+ plt.figure(figsize=(12,4))
+ w = np.arange(FFT_RESOLUTION) * np.pi/FFT_RESOLUTION
+ xAxis = np.arange(FFT_RESOLUTION) / (FFT_RESOLUTION * 2)
+ Hcomp = lambda w : ((M*R)**N)*(np.abs((np.sin(w/(2.*R))) / (np.sin((w*M)/2.)) ) **N)
+ Hcic = lambda w : (1/((M*R)**N))*np.abs( (np.sin((w*M)/2.)) / (np.sin(w/(2.*R))) )**N
+
+ cicMagResponse = np.array(list(map(Hcic, w)))
+ cicCompResponse = np.array(list(map(Hcomp, w)))
+
+ # Multiply frequency responses is a convolution in the time domain
+ combine = cicCompResponse * cicMagResponse
+
+ plt.plot(xAxis, 20.0*np.log10(cicMagResponse), label="CIC Filter")
+ plt.plot(xAxis, 20.0*np.log10(cicCompResponse), label="Compensation Filter")
+ plt.plot(xAxis, 20.0*np.log10(combine), label="Combined Response")
+
+ axes = plt.gca(); axes.set_xlim([0,0.5]);
+ plt.grid(); plt.legend()
+ plt.title("Ideal CIC Compensation filter M={}, N={}".format(M,N))
+ plt.xlabel('Normalised freq (2$\pi$ radians/sample)')
+ plt.ylabel('Normalised Filter Magnitude Response (dB)')
+
+ plt.show()
+
+
+#plotCICCompFilter(R=8,M=1,N=3)
+#plotCICCompFilter(R=8,M=1,N=5)
+#plotCICCompFilter(R=8,M=2,N=3)
+#plotCICCompFilter(R=8,M=2,N=5)
+
+
+# =============================================================================
+def getFIRCompensationFilter(R,M,N,cutOff,numTaps,calcRes=1024):
+ """Cut off is the cutOff as a fraction of the sample rate
+ i.e 0.5 = nyquist frequency
+ """
+ w = np.arange(calcRes) * np.pi/(calcRes - 1)
+ Hcomp = lambda w : ((M*R)**N)*(np.abs((np.sin(w/(2.*R))) / (np.sin((w*M)/2.)) ) **N)
+ cicCompResponse = np.array(list(map(Hcomp, w)))
+ # Set DC response to 1 as it is calculated as 'nan' by Hcomp
+ cicCompResponse[0] = 1
+ # Set stopband response to 0
+ cicCompResponse[int(calcRes*cutOff*2):] = 0
+ normFreq = np.arange(calcRes) / (calcRes - 1)
+ taps = firwin2(numTaps, normFreq, cicCompResponse)
+ return taps
+
+
+def plotFIRCompFilter(R,M,N,cutOff,taps, yMin, yMax, wideband=False, fs=1):
+ """
+ wideband = True : 0 to R*pi
+ wideband = False : 0 to pi
+ """
+ plt.figure(figsize=(12,4))
+
+ if wideband:
+ interp = np.zeros(len(taps)*R)
+ interp[::R] = taps
+ freqs,response = freqz(interp)
+ else:
+ freqs,response = freqz(taps)
+
+ if NORMALIZE_FREQUENCY is False:
+ freqs *= fs
+ if wideband is False:
+ freqs /= CIC_DECIMATION_FACTOR
+
+ if wideband:
+ w = np.arange(len(freqs)) * np.pi/len(freqs) * R
+ else:
+ w = np.arange(len(freqs)) * np.pi/len(freqs)
+
+ Hcic = lambda w : (1/((M*R)**N))*np.abs( (np.sin((w*M)/2.)) / (np.sin(w/(2.*R))) )**N
+ cicMagResponse = np.array(list(map(Hcic, w)))
+
+ combinedResponse = cicMagResponse * response
+
+ plt.plot(freqs/(2*np.pi),20*np.log10(abs(cicMagResponse)), label="CIC Filter")
+ plt.plot(freqs/(2*np.pi),20*np.log10(abs(response)), label="Compensation Filter")
+ plt.plot(freqs/(2*np.pi),20*np.log10(abs(combinedResponse)), label="Combined Response")
+ if NORMALIZE_FREQUENCY is True:
+ plt.xlabel('Normalized Frequency')
+ else:
+ plt.xlabel('Absolute Frequency')
+ if wideband is False:
+ fs /= CIC_DECIMATION_FACTOR
+ axes = plt.gca(); axes.set_xlim([0,fs/2]); axes.set_ylim([yMin,yMax])
+ plt.grid(); plt.legend()
+ plt.title("CIC Compensation filter M={}, N={}, Cutoff={}fs, Taps={}, Wideband={}".format(M,N,cutOff,len(taps),wideband))
+ plt.ylabel('Normalised Filter Magnitude Response (dB)')
+
+
+
+print("Float coeffs")
+ftaps = getFIRCompensationFilter(R=CIC_DECIMATION_FACTOR,M=DIFFERENTIAL_DELAY,N=ORDER,cutOff=CUT_OFF,numTaps=NUM_TAPS)
+print(ftaps)
+
+print("Integer coeffs")
+itaps = float2integer(ftaps, 23)
+with open("coeffs.txt", "+w") as fd:
+ for tap in itaps:
+ fd.write(f"{int(tap):d}\n")
+print(itaps)
+
+if PLOT_FIGURE is True:
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ plotFIRCompFilter(R=CIC_DECIMATION_FACTOR,M=DIFFERENTIAL_DELAY,N=ORDER,cutOff=CUT_OFF,taps=itaps,yMin=-150,yMax=150,wideband=False,fs=fs)
+ plotFIRCompFilter(R=CIC_DECIMATION_FACTOR,M=DIFFERENTIAL_DELAY,N=ORDER,cutOff=CUT_OFF,taps=itaps,yMin=-150,yMax=150,wideband=True,fs=fs)
+ plt.show()
+
diff --git a/cicComplex/simulation/cfir_filter/coeffs.txt b/cicComplex/simulation/cfir_filter/coeffs.txt
new file mode 100644
index 0000000..1bcca4a
--- /dev/null
+++ b/cicComplex/simulation/cfir_filter/coeffs.txt
@@ -0,0 +1,32 @@
+-133066
+-168118
+-215
+329603
+437545
+-90345
+-932555
+-988092
+344082
+1944924
+1769908
+-691663
+-3202791
+-2819256
+708593
+4194303
+4194303
+708593
+-2819256
+-3202791
+-691663
+1769908
+1944924
+344082
+-988092
+-932555
+-90345
+437545
+329603
+-215
+-168118
+-133066
diff --git a/cicComplex/simulation/test/cicComplex_tb.py b/cicComplex/simulation/test/cicComplex_tb.py
new file mode 100644
index 0000000..639a143
--- /dev/null
+++ b/cicComplex/simulation/test/cicComplex_tb.py
@@ -0,0 +1,403 @@
+import os
+import random
+import math
+import logging
+import pathlib
+import numpy as np
+import matplotlib.pyplot as plt
+from scipy.signal import lfilter
+import cocotb
+from cocotb.clock import Clock
+from cocotb.triggers import Timer, RisingEdge, FallingEdge
+from cocotb.types import LogicArray
+from cocotb.runner import get_runner, Simulator
+
+np.seterr(divide='ignore', invalid='ignore');
+
+NORMALIZE_FREQUENCY = False
+
+BIT_PRUNING = True
+Bin = 16 # Input sample precision
+DECIMATE_FACTOR = 32 # decimate or interpolation ratio
+ORDER = 4 # Number of stages in filter
+DIFFERENTIAL_DELAY = 4 # Number of samples per stage (usually 1 or 2)
+Bout = ORDER * int(round(math.log2(DECIMATE_FACTOR*DIFFERENTIAL_DELAY))) + Bin # Output sample precision
+
+FS = 125e6 # Sampling frequency (in Hz)
+CLOCK_PERIOD = 8 # in ns
+F_MOD = FS / 128 # About 1 MHz
+
+STIMULI_SIZE = 4096 # Length of stimuli input
+
+
+# ============================================================================
+def wave(amp, f, fs, clks):
+ clks = np.arange(0, clks)
+ sample = np.rint(amp*np.sin(2.0*np.pi*f/fs*clks))
+ return sample
+
+def comb(source, ddelay, outDataIni=[0]):
+ """'Theoritical' comb.
+ from https://www.gibbard.me/cic_filters/cic_filters_ipython.html
+ """
+ outData = outDataIni
+ delay = [0] * ddelay
+ for sample in source:
+ outData.append(sample - delay[-1])
+ delay = [sample] + delay
+ delay.pop()
+ return outData
+
+def comb_n(source, ddelay, order):
+ """Cascade 'order' Comb
+ """
+ if order == 1:
+ return comb(source, ddelay, [0])
+ elif order == ORDER:
+ return comb_n(comb(source, ddelay, [0]), ddelay, order-1)
+ return comb_n(comb(source, ddelay, [0]), ddelay, order-1)
+
+def integrator(source, outDataIni=[0]):
+ """'Theoritical' integrator.
+ from https://www.gibbard.me/cic_filters/cic_filters_ipython.html
+ """
+ delay = 0
+ outData = outDataIni
+ for sample in source:
+ y = delay + sample
+ outData.append(y)
+ delay = y
+ return outData
+
+def integrator_n(source, order):
+ """Cascade 'order' Integrator
+ """
+ if order == 1:
+ return integrator(source, [0])
+ elif order == ORDER:
+ return integrator_n(integrator(source, [0]), order-1)
+ return integrator_n(integrator(source, [0]), order-1)
+
+def cic_decimator(source, decimate_factor, order, ibits, obits, delayed_delay=1):
+ # Integration stage
+ int_result = integrator_n(source, order)
+ # Decimation
+ dec_result = np.array(int_result[ : : decimate_factor])
+ # Comb stage
+ comb_result = comb_n(dec_result, delayed_delay, order)
+ # Calculate the total number of bits used internally, and the output
+ # shift and mask required.
+ #numbits = order * int(round(math.log(decimate_factor)*delayed_delay / math.log(2))) + ibits
+ #shift_gain = 0 #int(math.log((decimate_factor * delayed_delay)**order)/math.log(2))
+ #comb_result = np.int64(comb_result) >> shift_gain
+ #outmask = (1 << obits) - 1
+ #comb_result &= outmask
+
+ return int_result, dec_result, comb_result
+
+
+# ============================================================================
+async def reset_dut(reset_n, clk, duration):
+ reset_n.value = 0
+ await FallingEdge(clk)
+ reset_n.value = 1
+ await Timer(duration)
+ await RisingEdge(clk)
+ reset_n.value = 0
+ reset_n._log.debug("Reset complete")
+
+
+# ============================================================================
+#@cocotb.test()
+async def cic_wave_test(dut):
+ """Test that wave propagates to output with respect to frequency"""
+ print("Begin cic_wave_test()")
+
+ Freq_in = F_MOD
+
+ # Set initial input value to prevent it from floating
+ dut.data_i_i.value = 0
+
+ clock = Clock(dut.clk, CLOCK_PERIOD, units="ns")
+ # Start the clock. Start it low to avoid issues on the first RisingEdge
+ cocotb.start_soon(clock.start(start_high=False))
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ # Synchronize with the clock. This will regisiter the initial input value
+ await RisingEdge(dut.clk)
+
+ #expected_val = 0 # Matches initial input value
+ for freq in [Freq_in/2, 3*Freq_in/4, Freq_in, 4*Freq_in/3, 2*Freq_in, 3*Freq_in ]:
+ amp = 2**(Bin-4)
+ f = freq
+ fs = FS
+ nb_pts = 5000
+ stimuli = wave(amp, f, fs, nb_pts) + freq/1024
+ for i in range(nb_pts):
+ dut.data_i_i.value = int(stimuli[i] )
+ await RisingEdge(dut.clk)
+ #assert dut.data_o.value == expected_val, f"output was incorrect on the {i}th cycle"
+ #expected_val = val # Save random value for next RisingEdge
+
+ # Check the final input on the next clock
+ await RisingEdge(dut.clk)
+ #assert dut.q.value == expected_val, "output q was incorrect on the last cycle"
+
+
+# ============================================================================
+#@cocotb.test()
+async def cic_filter_impulse_response_test(dut):
+ """Analyze filter frequency response.
+ """
+ print("Begin cic_filter_impulse_response_test()")
+
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ num_clks = STIMULI_SIZE
+ nfft = num_clks
+
+ # Initialize
+ dut.data_i_i.value = 0
+ dut.data_q_i.value = 0
+
+ # stimuli input -> Impulse
+ impulse_amplitude = 1
+ input_signal = [impulse_amplitude]+[0]*(nfft-1)
+
+ # predictor values
+ cic_int_theo_response, cic_dec_theo_response, cic_theo_response = cic_decimator(
+ input_signal,
+ int(dut.DECIMATE_FACTOR),
+ int(dut.ORDER),
+ int(dut.DATA_IN_SIZE),
+ int(dut.DATA_OUT_SIZE),
+ int(dut.DIFFERENTIAL_DELAY))
+ # Repeat data sample to handle input vs output data number mismatch
+ # due to decimation.
+ cic_theo_response = np.repeat(cic_theo_response[1:], dut.DECIMATE_FACTOR)
+ cic_dec_theo_response = np.repeat(cic_dec_theo_response, dut.DECIMATE_FACTOR)
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, CLOCK_PERIOD, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ cic_int_complex_response_i = []
+ for _ in range(ORDER):
+ cic_int_complex_response_i.append(np.zeros(int(num_clks)))
+ cic_dec_complex_response_i = np.zeros(int(num_clks))
+ cic_complex_response_i = np.zeros(int(num_clks))
+ cic_complex_response_q = np.zeros(int(num_clks))
+
+ # Alway enable input data
+ dut.data_en_i.value = 0
+
+ # run through each clock
+ for samp in range(num_clks):
+
+ dut.data_i_i.value = int(input_signal[samp])
+ dut.data_q_i.value = int(input_signal[samp])
+
+ await RisingEdge(dut.clk)
+ # feed a new input in
+
+ # get the output at rising edge
+ dir(dut.gen_integrator_n)
+ dir(dut.gen_integrator_n._id('integrator_n(1)', extended=False))
+ dir(dut.gen_integrator_n._id('integrator_n(1)', extended=False)._id('gen_int_1', extended=False))
+ dir(dut.gen_integrator_n._id('integrator_n(1)', extended=False)._id('gen_int_1', extended=False)._id('int_n1', extended=False))
+ cic_int_complex_response_i[1][samp] = dut.gen_integrator_n._id('integrator_n(1)', extended=False)._id('gen_int_1', extended=False)._id('int_n1', extended=False).data_i_o.value
+ for i in range(1,ORDER-2):
+ dir(dut.gen_integrator_n)
+ dir(dut.gen_integrator_n._id(f'integrator_n({i+1})', extended=False))
+ dir(dut.gen_integrator_n._id(f'integrator_n({i+1})', extended=False)._id('gen_int_i', extended=False))
+ dir(dut.gen_integrator_n._id(f'integrator_n({i+1})', extended=False)._id('gen_int_i', extended=False)._id('int_ni', extended=False))
+ cic_int_complex_response_i[i][samp] = dut.gen_integrator_n._id(f'integrator_n({i+1})', extended=False)._id('gen_int_i', extended=False)._id('int_ni', extended=False)._id('data_i_o', extended=False).value
+ dir(dut.gen_integrator_n)
+ dir(dut.gen_integrator_n._id(f'integrator_n({ORDER})', extended=False))
+ dir(dut.gen_integrator_n._id(f'integrator_n({ORDER})', extended=False)._id('gen_int_order', extended=False))
+ dir(dut.gen_integrator_n._id(f'integrator_n({ORDER})', extended=False)._id('gen_int_order', extended=False)._id('int_norder', extended=False))
+ dir(dut.gen_integrator_n._id(f'integrator_n({ORDER})', extended=False)._id('gen_int_order', extended=False)._id('int_norder', extended=False)._id('data_i_o', extended=False))
+ cic_int_complex_response_i[ORDER-1][samp] = dut.gen_integrator_n._id(f'integrator_n({ORDER})', extended=False)._id('gen_int_order', extended=False)._id('int_norder', extended=False)._id('data_i_o', extended=False).value
+
+ #cic_complex_response_i[samp] = dut.comb_out_i_s.value.signed_integer # No Output Normalization
+ cic_complex_response_i[samp] = dut.data_i_o.value.signed_integer # Output Normalization
+ cic_complex_response_q[samp] = dut.data_q_o.value.signed_integer
+
+ # wait until reset is over, then start the assertion checking
+ #if(samp>=2):
+ # assert cic_complex_response_i[samp] == cic_theo_response[samp], "filter result is incorrect: %d != %d" % (cic_complex_response_i[samp], cic_theo_response[samp])
+
+ time_max_idx = num_clks
+ plt.figure(1)
+ plt.plot(cic_complex_response_i[1:time_max_idx], marker='x')
+ plt.plot(cic_theo_response[:time_max_idx], marker='.')
+ plt.plot(input_signal[:time_max_idx])
+ plt.legend(['DUT I', 'Theory Out', 'Impulse'])
+ plt.title('Time domain: Impulse response')
+
+ if NORMALIZE_FREQUENCY is True:
+ xaxis = np.arange(0, 0.5, 1/nfft)
+ else:
+ xaxis = np.arange(0, fs/2, fs/nfft)
+
+ cic_complex_fft_i = 20*np.log10(np.abs(np.fft.fft(cic_complex_response_i[1:time_max_idx+1])))
+ cic_theo_fft = 20*np.log10(np.abs(np.fft.fft(cic_theo_response[:time_max_idx])))
+
+ plt.figure(2)
+ plt.plot(xaxis, cic_complex_fft_i[0:int(nfft/2)], marker='x')
+ plt.plot(xaxis, cic_theo_fft[0:int(nfft/2)], marker='.')
+ plt.legend(['DUT I', 'Theory'])
+ plt.title('Filter frequency Domain Response')
+
+ plt.grid()
+ if NORMALIZE_FREQUENCY is True:
+ plt.xlabel('Normalized Frequency')
+ plt.xlim([0, .5])
+ else:
+ plt.xlabel('Absolute Frequency')
+ plt.xlim([0, fs/2])
+ plt.ylabel('dB')
+
+ plt.show()
+
+
+#@cocotb.test()
+async def cic_filter_step_response_test(dut):
+ """Analyze filter step response.
+ """
+ print("Begin cic_filter_impulse_response_test()")
+
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ num_clks = STIMULI_SIZE
+ nfft = num_clks
+
+ # Initialize
+ dut.data_i_i.value = 0
+ dut.data_q_i.value = 0
+
+ # stimuli input -> step
+ impulse_amplitude = 1
+ input_signal = [impulse_amplitude]*(nfft)
+
+ # bit accurate predictor values
+ cic_theo_response = cic_decimator(
+ input_signal,
+ int(dut.DECIMATE_FACTOR),
+ int(dut.ORDER),
+ int(dut.DATA_IN_SIZE),
+ int(dut.DATA_OUT_SIZE))
+ # Repeat data sample to handle input vs output data number mismatch
+ # due to decimate.
+ cic_theo_response = np.repeat(cic_theo_response, DECIMATE_FACTOR)
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, CLOCK_PERIOD, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ cic_complex_response_i = np.zeros(int(num_clks))
+ cic_complex_response_q = np.zeros(int(num_clks))
+
+ dut.data_en_i.value = 1
+
+ # run through each clock
+ for samp in range(num_clks):
+ # feed a new input in
+ dut.data_i_i.value = int(input_signal[samp])
+ dut.data_q_i.value = int(input_signal[samp])
+
+ await RisingEdge(dut.clk)
+
+ # get the output at rising edge
+ cic_complex_response_i[samp] = dut.data_i_o.value.signed_integer
+ cic_complex_response_q[samp] = dut.data_q_o.value.signed_integer
+
+ # wait until reset is over, then start the assertion checking
+ if(samp>=2):
+ assert cic_complex_response_i[samp] == cic_theo_response[samp], "filter result is incorrect: %d != %d" % (cic_complex_response_i[samp], cic_theo_response[samp])
+
+ time_max_idx = num_clks
+ plt.figure()
+ plt.plot(cic_complex_response_i[:time_max_idx], marker='x')
+ plt.plot(cic_complex_response_q[:time_max_idx], marker='<')
+ plt.plot(cic_theo_response[:time_max_idx], marker='.')
+ plt.plot(input_signal[:time_max_idx])
+ plt.legend(['DUT I', 'DUT Q', 'Theory', 'Impulse'])
+ plt.title('Time domain: Impulse response')
+ plt.show()
+
+
+# ============================================================================
+@cocotb.test()
+async def cic_filter_code_generation_test(dut):
+ """Only check code generation"""
+ print("Begin cic_filter_code_generation_test()")
+ print("End cic_filter_code_generation_test()")
+
+
+# ============================================================================
+def cic_tb_runner():
+ print("Begin cic_tb_runner()")
+ hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "vhdl")
+ sim = os.getenv("SIM", "ghdl")
+
+ proj_path = pathlib.Path(__file__).resolve().parent
+ vhdl_sources = [
+ proj_path / "../../hdl/common.vhd",
+ proj_path / "../../hdl/comb.vhd",
+ proj_path / "../../hdl/integrator.vhd",
+ proj_path / "../../hdl/cicComplex_top.vhd",
+ ]
+
+ runner = get_runner(sim)
+
+ print("Build HDL")
+ runner.build(
+ vhdl_sources=vhdl_sources,
+ hdl_toplevel="ciccomplex_top",
+ parameters={"BIT_PRUNING": BIT_PRUNING,
+ "DATA_IN_SIZE": Bin,
+ "DECIMATE_FACTOR": DECIMATE_FACTOR,
+ "ORDER": ORDER,
+ "DIFFERENTIAL_DELAY": DIFFERENTIAL_DELAY,
+ "DATA_OUT_SIZE": Bout},
+ always=True,
+ build_args=['--ieee=synopsys', '-fexplicit',],
+ build_dir=proj_path / "sim_build/",
+ )
+
+ print("Start test")
+ runner.test(
+ hdl_toplevel="ciccomplex_top",
+ test_module="cicComplex_tb,",
+ waves=True,
+ test_args=['--ieee=synopsys', '-fexplicit', '-v'],
+ plusargs=['--wave=cic_waves.ghw',],
+ build_dir=proj_path / "sim_build/",
+ test_dir=proj_path / "sim_build/",
+ )
+
+
+# ============================================================================
+if __name__ == "__main__":
+ # From https://www.dsprelated.com/blogimages/RickLyons/CIC_Filter_Testing_Lyons.pdf
+ D = DECIMATE_FACTOR #* DIFFERENTIAL_DELAY
+ S = ORDER
+
+ print(f"y_Impulse(1) = {math.factorial(D+S-1)/math.factorial(D)/math.factorial(S-1) - S}")
+ print(f"y_Step(1) = {math.factorial(D+S)/math.factorial(D)/math.factorial(S) - S}")
+
+ cic_tb_runner()
diff --git a/cicComplex/simulation/test/comb_tb.py b/cicComplex/simulation/test/comb_tb.py
new file mode 100644
index 0000000..106f531
--- /dev/null
+++ b/cicComplex/simulation/test/comb_tb.py
@@ -0,0 +1,186 @@
+import os
+import random
+import math
+import logging
+import pathlib
+import numpy as np
+import matplotlib.pyplot as plt
+from scipy.signal import lfilter
+import cocotb
+from cocotb.clock import Clock
+from cocotb.triggers import Timer, RisingEdge, FallingEdge
+from cocotb.types import LogicArray
+from cocotb.runner import get_runner, Simulator
+
+np.seterr(divide='ignore', invalid='ignore');
+
+DIFFERENTIAL_DELAY_TESTED = [1, 2, 4]
+
+DATA_SIZE = 16
+NORMALIZE_FREQUENCY = False
+CLOCK_PERIOD = 8 # in ns
+FS = 125e6 # Sampling frequency (in Hz)
+STIMULI_SIZE = 256
+
+# ============================================================================
+def wave(amp, f, fs, clks):
+ clks = np.arange(0, clks)
+ sample = np.rint(amp*np.sin(2.0*np.pi*f/fs*clks))
+ print(amp)
+ return sample
+
+
+def comb(source, ddelay):
+ """'Theoritical' comb.
+ from https://www.gibbard.me/cic_filters/cic_filters_ipython.html
+ """
+ outData = [0]
+ delay = [0] * ddelay
+ for sample in source:
+ outData.append(sample - delay[-1])
+ delay = [sample] + delay
+ delay.pop()
+ return outData[:-1]
+
+
+# ============================================================================
+async def reset_dut(reset_n, clk, duration):
+ reset_n.value = 0
+ await FallingEdge(clk)
+ reset_n.value = 1
+ await Timer(duration)
+ await RisingEdge(clk)
+ reset_n.value = 0
+ reset_n._log.debug("Reset complete")
+
+
+# ============================================================================
+@cocotb.test()
+async def comb_filter_impulse_response_test(dut):
+ """Analyze filter frequency response.
+ """
+ # Initialize
+ dut.data_i_i.value = 0
+ dut.data_q_i.value = 0
+
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ num_clks = STIMULI_SIZE #Â Length of stimuli input
+ nfft = num_clks;
+
+ # stimuli input -> Impulse
+ impulse_amplitude = 1
+ input_signal = [impulse_amplitude]+[0]*(nfft-1)
+
+ # bit accurate predictor values
+ comb_theo_response = comb(input_signal, int(dut.DIFFERENTIAL_DELAY))
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, CLOCK_PERIOD, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ comb_complex_response_i = np.zeros(int(num_clks))
+ comb_complex_response_q = np.zeros(int(num_clks))
+
+ # Enable data input sampling
+ dut.sample_i = 1
+
+ # run through each clock
+ for samp in range(num_clks):
+ # feed a new input in
+ dut.data_i_i.value = int(input_signal[samp])
+ dut.data_q_i.value = int(input_signal[samp])
+ await RisingEdge(dut.clk)
+ # get the output at rising edge
+ comb_complex_response_i[samp] = dut.data_i_o.value.signed_integer
+ comb_complex_response_q[samp] = dut.data_q_o.value.signed_integer
+ # wait until reset is over, then start the assertion checking
+ if(samp>=2):
+ assert comb_complex_response_i[samp] == comb_theo_response[samp], "filter result is incorrect: %d != %d" % (comb_complex_response_i[samp], comb_theo_response[samp])
+ assert comb_complex_response_q[samp] == comb_theo_response[samp], "filter result is incorrect: %d != %d" % (comb_complex_response_q[samp], comb_theo_response[samp])
+
+ comb_theo_fft = 20*np.log10(np.abs(np.fft.fft(comb_theo_response)))
+ comb_complex_fft_i = 20*np.log10(np.abs(np.fft.fft(comb_complex_response_i)))
+
+ time_max_idx = num_clks
+ plt.figure(1)
+ plt.plot(comb_complex_response_i[:time_max_idx], marker='x')
+ plt.plot(comb_theo_response[:time_max_idx], marker='.')
+ plt.plot(input_signal[:time_max_idx])
+ plt.legend(['DUT I', 'Theory', 'Impulse'])
+ plt.title(f'Time domain: Impulse response Comb delay {int(dut.DIFFERENTIAL_DELAY)}')
+
+ if NORMALIZE_FREQUENCY is True:
+ xaxis = np.arange(0, 0.5, 1/nfft)
+ else:
+ xaxis = np.arange(0, fs/2, fs/nfft)
+
+ plt.figure(2)
+ plt.plot(xaxis, comb_complex_fft_i[0:int(nfft/2)], marker='x')
+ plt.plot(xaxis, comb_theo_fft[0:int(nfft/2)], marker='.')
+ plt.legend(['DUT I', 'Theory'])
+ plt.title(f'Comb delay {int(dut.DIFFERENTIAL_DELAY)} frequency Domain Response')
+
+ plt.grid()
+ if NORMALIZE_FREQUENCY is True:
+ plt.xlabel('Normalized Frequency')
+ plt.xlim([0, .5])
+ else:
+ plt.xlabel('Absolute Frequency')
+ plt.xlim([0, fs/2])
+ plt.ylabel('dB')
+
+ plt.show()
+
+
+# ============================================================================
+def comb_tb_runner(differential_delay: int) -> None:
+ print("Begin comb_tb_runner()")
+ hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "vhdl")
+ sim = os.getenv("SIM", "ghdl")
+
+ proj_path = pathlib.Path(__file__).resolve().parent
+ vhdl_sources = [
+ proj_path / "../../hdl/common.vhd",
+ proj_path / "../../hdl/comb.vhd",
+ ]
+
+ runner = get_runner(sim)
+
+ print("Build HDL")
+ runner.build(
+ vhdl_sources=vhdl_sources,
+ hdl_toplevel="comb",
+ parameters={"DIFFERENTIAL_DELAY": differential_delay,
+ "DATA_SIZE": DATA_SIZE},
+ always=True,
+ build_args=['--ieee=synopsys', '-fexplicit',],
+ build_dir=proj_path / "sim_build/",
+ )
+
+ print("Start test")
+ runner.test(
+ hdl_toplevel="comb",
+ test_module="comb_tb,",
+ waves=True,
+ test_args=['--ieee=synopsys', '-fexplicit', '-v'],
+ plusargs=['--wave=comb_waves.ghw',],
+ build_dir=proj_path / "sim_build/",
+ test_dir=proj_path / "sim_build/",
+ )
+
+
+# ============================================================================
+if __name__ == "__main__":
+ for dd in DIFFERENTIAL_DELAY_TESTED:
+ print('# ------------------------------------------------------ #')
+ print('# - - #')
+ print(f'# - Differential Delay = {dd} - #')
+ print('# - - #')
+ print('# ------------------------------------------------------ #')
+ comb_tb_runner(dd)
diff --git a/cicComplex/simulation/test/common_tb.vhd b/cicComplex/simulation/test/common_tb.vhd
new file mode 100644
index 0000000..c48ea0c
--- /dev/null
+++ b/cicComplex/simulation/test/common_tb.vhd
@@ -0,0 +1,31 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+library work;
+use work.common.all;
+
+
+Entity common_tb is
+ generic (
+ BIT_PRUNING : boolean := true;
+ data_signed : boolean := true;
+ DECIMATE_FACTOR : natural := 8;
+ DIFFERENTIAL_DELAY : natural := 1;
+ ORDER : natural := 4;
+ DATA_IN_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 23
+ );
+end entity common_tb;
+
+Architecture rtl of common_tb is
+ signal REGISTER_SIZE : coeff_t(1 to ORDER*2);
+begin
+ process
+ begin
+ REGISTER_SIZE <= CicRegSize(BIT_PRUNING, DATA_IN_SIZE, DATA_OUT_SIZE,
+ DECIMATE_FACTOR, ORDER, DIFFERENTIAL_DELAY);
+ wait;
+ end process;
+end architecture rtl;
\ No newline at end of file
diff --git a/cicComplex/simulation/test/gtkwave_cic_tb.cfg.gtkw b/cicComplex/simulation/test/gtkwave_cic_tb.cfg.gtkw
new file mode 100644
index 0000000..ec8ac83
--- /dev/null
+++ b/cicComplex/simulation/test/gtkwave_cic_tb.cfg.gtkw
@@ -0,0 +1,50 @@
+[*]
+[*] GTKWave Analyzer v3.3.116 (w)1999-2023 BSI
+[*] Fri Apr 12 09:46:31 2024
+[*]
+[dumpfile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/sim_build/cic_waves.vcd"
+[dumpfile_mtime] "Fri Apr 12 09:43:25 2024"
+[dumpfile_size] 766324
+[savefile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/gtkwave_cic_tb.cfg.gtkw"
+[timestart] 0
+[size] 1872 1152
+[pos] -46 -46
+*-28.019623 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] ciccomplex_top.
+[treeopen] ciccomplex_top.comb_cascade(1).
+[treeopen] ciccomplex_top.comb_cascade(1).gen_comb_1.
+[treeopen] ciccomplex_top.comb_cascade(2).
+[treeopen] ciccomplex_top.comb_cascade(2).gen_comb_i.
+[treeopen] ciccomplex_top.comb_cascade(3).
+[treeopen] ciccomplex_top.comb_cascade(3).gen_comb_order.
+[treeopen] ciccomplex_top.int_cascade(1).
+[treeopen] ciccomplex_top.int_cascade(1).gen_int_1.
+[treeopen] ciccomplex_top.int_cascade(2).
+[treeopen] ciccomplex_top.int_cascade(2).gen_int_i.
+[treeopen] ciccomplex_top.int_cascade(3).
+[treeopen] ciccomplex_top.int_cascade(3).gen_int_order.
+[sst_width] 209
+[signals_width] 126
+[sst_expanded] 1
+[sst_vpaned_height] 347
+@28
+ciccomplex_top.clk
+ciccomplex_top.reset
+@22
+ciccomplex_top.data_i[15:0]
+@28
+ciccomplex_top.data_en_i
+@421
+ciccomplex_top.int_cascade(1).gen_int_1.int_1.data_i[33:0]
+ciccomplex_top.int_cascade(1).gen_int_1.int_1.data_o[33:0]
+ciccomplex_top.int_cascade(2).gen_int_i.int_1.data_o[33:0]
+ciccomplex_top.int_cascade(3).gen_int_order.int_1.data_o[33:0]
+@28
+ciccomplex_top.sample_s
+@421
+ciccomplex_top.comb_cascade(1).gen_comb_1.cmb_1.data_o[33:0]
+ciccomplex_top.comb_cascade(2).gen_comb_i.cmb_1.data_o[33:0]
+ciccomplex_top.comb_cascade(3).gen_comb_order.cmb_1.data_o[33:0]
+ciccomplex_top.data_o[22:0]
+[pattern_trace] 1
+[pattern_trace] 0
diff --git a/cicComplex/simulation/test/integrator_tb.py b/cicComplex/simulation/test/integrator_tb.py
new file mode 100644
index 0000000..c424a00
--- /dev/null
+++ b/cicComplex/simulation/test/integrator_tb.py
@@ -0,0 +1,178 @@
+import os
+import random
+import math
+import logging
+import pathlib
+import numpy as np
+import matplotlib.pyplot as plt
+from scipy.signal import lfilter
+import cocotb
+from cocotb.clock import Clock
+from cocotb.triggers import Timer, RisingEdge, FallingEdge
+from cocotb.types import LogicArray
+from cocotb.runner import get_runner, Simulator
+
+np.seterr(divide='ignore', invalid='ignore');
+
+#DIFFERENTIAL_DELAY = 2 # Number of samples per stage (usually 1)
+DATA_SIZE = 16
+NORMALIZE_FREQUENCY = False
+CLOCK_PERIOD = 8 # in ns
+FS = 125e6 # Sampling frequency (in Hz)
+STIMULI_SIZE = 256
+
+
+# ============================================================================
+def integrator(source):
+ """'Theoritical' integrator.
+ from https://www.gibbard.me/cic_filters/cic_filters_ipython.html
+ """
+ delay = 0
+ outData = [0]
+ for sample in source:
+ y = delay + sample
+ outData.append(y)
+ delay = y
+ return outData[:-1]
+
+
+# ============================================================================
+async def reset_dut(reset_n, clk, duration):
+ reset_n.value = 1
+ await FallingEdge(clk)
+ reset_n.value = 0
+ await Timer(duration)
+ await RisingEdge(clk)
+ reset_n.value = 1
+ reset_n._log.debug("Reset complete")
+
+
+# ============================================================================
+@cocotb.test()
+async def integrator_filter_impulse_response_test(dut):
+ """Analyze filter frequency response.
+ """
+ # Initialize
+ dut.data_i_i.value = 0
+ dut.data_q_i.value = 0
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ num_clks = 128 #Â Length of stimuli input
+ nfft = num_clks;
+
+ # Check generic parameter values consistency between DUT and simulator
+ assert int(dut.DATA_SIZE) == DATA_SIZE, ("Generic value mismatch: DATA_SIZE")
+
+ # stimuli input -> Impulse
+ impulse_amplitude = 1
+ input_signal = [impulse_amplitude]+[0]*(nfft-1)
+
+ # bit accurate predictor values
+ integrator_theo_response = integrator(input_signal)
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, CLOCK_PERIOD, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ integrator_complex_response_i = np.zeros(int(num_clks))
+ integrator_complex_response_q = np.zeros(int(num_clks))
+
+ # run through each clock
+ for samp in range(num_clks):
+ # feed a new input in
+ dut.data_i_i.value = int(input_signal[samp])
+ dut.data_q_i.value = int(input_signal[samp])
+
+ await RisingEdge(dut.clk)
+
+ # get the output at rising edge
+ integrator_complex_response_i[samp] = dut.data_i_o.value.signed_integer
+ integrator_complex_response_q[samp] = dut.data_i_o.value.signed_integer
+
+ # wait until reset is over, then start the assertion checking
+ if(samp>=2):
+ assert integrator_complex_response_i[samp] == integrator_theo_response[samp], "filter result is incorrect: %d != %d" % (integrator_complex_response_i[samp], integrator_theo_response[samp])
+ assert integrator_complex_response_q[samp] == integrator_theo_response[samp], "filter result is incorrect: %d != %d" % (integrator_complex_response_q[samp], integrator_theo_response[samp])
+
+ integrator_theo_fft = 20*np.log10(np.abs(np.fft.fft(integrator_theo_response)))
+ integrator_complex_fft_i = 20*np.log10(np.abs(np.fft.fft(integrator_complex_response_i)))
+
+ time_max_idx = num_clks
+ plt.figure(1)
+ plt.subplot(1,2,1)
+ plt.plot(integrator_complex_response_i[:time_max_idx], marker='x')
+ plt.plot(integrator_theo_response[:time_max_idx], marker='.')
+ plt.plot(input_signal[:time_max_idx])
+ plt.legend(['DUT I', 'Theory', 'Impulse'])
+ plt.title('Time domain: Impulse response')
+ plt.subplot(1,2,2)
+ plt.stem(integrator_complex_response_i-integrator_theo_response)
+ plt.title('error : DUT - Golden Reference')
+
+ if NORMALIZE_FREQUENCY is True:
+ xaxis = np.arange(0, 0.5, 1/nfft)
+ else:
+ xaxis = np.arange(0, fs/2, fs/nfft)
+
+ plt.figure(2)
+ plt.plot(xaxis, integrator_complex_fft_i[0:int(nfft/2)], marker='x')
+ plt.plot(xaxis, integrator_theo_fft[0:int(nfft/2)], marker='.')
+ plt.legend(['DUT I', 'Theory'])
+ plt.title('Filter frequency Domain Response')
+
+ plt.grid()
+ if NORMALIZE_FREQUENCY is True:
+ plt.xlabel('Normalized Frequency')
+ plt.xlim([0, .5])
+ else:
+ plt.xlabel('Absolute Frequency')
+ plt.xlim([0, fs/2])
+ plt.ylabel('dB')
+
+ plt.show()
+
+
+# ============================================================================
+def integrator_tb_runner():
+ print("Begin integrator_tb_runner()")
+ hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "vhdl")
+ sim = os.getenv("SIM", "ghdl")
+
+ proj_path = pathlib.Path(__file__).resolve().parent
+ vhdl_sources = [
+ proj_path / "../../hdl/common.vhd",
+ proj_path / "../../hdl/integrator.vhd",
+ ]
+
+ runner = get_runner(sim)
+
+ print("Build HDL")
+ runner.build(
+ vhdl_sources=vhdl_sources,
+ hdl_toplevel="integrator",
+ parameters={"DATA_SIZE": DATA_SIZE},
+ always=True,
+ build_args=['--ieee=synopsys', '-fexplicit',],
+ build_dir=proj_path / "sim_build/",
+ )
+
+ print("Start test")
+ runner.test(
+ hdl_toplevel="integrator",
+ test_module="integrator_tb,",
+ waves=True,
+ test_args=['--ieee=synopsys', '-fexplicit', '-v'],
+ plusargs=['--wave=integrator_waves.ghw',],
+ build_dir=proj_path / "sim_build/",
+ test_dir=proj_path / "sim_build/",
+ )
+
+
+# ============================================================================
+if __name__ == "__main__":
+ integrator_tb_runner()
diff --git a/cicComplex/simulation/test/sim_build/cicFIlter_tb.gtkw b/cicComplex/simulation/test/sim_build/cicFIlter_tb.gtkw
new file mode 100644
index 0000000..a221269
--- /dev/null
+++ b/cicComplex/simulation/test/sim_build/cicFIlter_tb.gtkw
@@ -0,0 +1,38 @@
+[*]
+[*] GTKWave Analyzer v3.3.116 (w)1999-2023 BSI
+[*] Wed Apr 24 09:48:09 2024
+[*]
+[dumpfile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/sim_build/cic_waves.ghw"
+[dumpfile_mtime] "Wed Apr 24 09:45:46 2024"
+[dumpfile_size] 954528
+[savefile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/sim_build/cicFIlter_tb.gtkw"
+[timestart] 0
+[size] 1920 1152
+[pos] -461 -277
+*-31.051895 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] top.
+[treeopen] top.ciccomplex_top.
+[sst_width] 209
+[signals_width] 142
+[sst_expanded] 1
+[sst_vpaned_height] 656
+@28
+top.ciccomplex_top.clk
+top.ciccomplex_top.reset
+top.ciccomplex_top.data_en_i
+@420
+top.ciccomplex_top.count_s
+@28
+top.ciccomplex_top.sample_s
+@8420
+#{top.ciccomplex_top.data_i_i[15:0]} top.ciccomplex_top.data_i_i[15] top.ciccomplex_top.data_i_i[14] top.ciccomplex_top.data_i_i[13] top.ciccomplex_top.data_i_i[12] top.ciccomplex_top.data_i_i[11] top.ciccomplex_top.data_i_i[10] top.ciccomplex_top.data_i_i[9] top.ciccomplex_top.data_i_i[8] top.ciccomplex_top.data_i_i[7] top.ciccomplex_top.data_i_i[6] top.ciccomplex_top.data_i_i[5] top.ciccomplex_top.data_i_i[4] top.ciccomplex_top.data_i_i[3] top.ciccomplex_top.data_i_i[2] top.ciccomplex_top.data_i_i[1] top.ciccomplex_top.data_i_i[0]
+@20000
+-
+-
+@8421
+#{top.ciccomplex_top.data_i_o[43:0]} top.ciccomplex_top.data_i_o[43] top.ciccomplex_top.data_i_o[42] top.ciccomplex_top.data_i_o[41] top.ciccomplex_top.data_i_o[40] top.ciccomplex_top.data_i_o[39] top.ciccomplex_top.data_i_o[38] top.ciccomplex_top.data_i_o[37] top.ciccomplex_top.data_i_o[36] top.ciccomplex_top.data_i_o[35] top.ciccomplex_top.data_i_o[34] top.ciccomplex_top.data_i_o[33] top.ciccomplex_top.data_i_o[32] top.ciccomplex_top.data_i_o[31] top.ciccomplex_top.data_i_o[30] top.ciccomplex_top.data_i_o[29] top.ciccomplex_top.data_i_o[28] top.ciccomplex_top.data_i_o[27] top.ciccomplex_top.data_i_o[26] top.ciccomplex_top.data_i_o[25] top.ciccomplex_top.data_i_o[24] top.ciccomplex_top.data_i_o[23] top.ciccomplex_top.data_i_o[22] top.ciccomplex_top.data_i_o[21] top.ciccomplex_top.data_i_o[20] top.ciccomplex_top.data_i_o[19] top.ciccomplex_top.data_i_o[18] top.ciccomplex_top.data_i_o[17] top.ciccomplex_top.data_i_o[16] top.ciccomplex_top.data_i_o[15] top.ciccomplex_top.data_i_o[14] top.ciccomplex_top.data_i_o[13] top.ciccomplex_top.data_i_o[12] top.ciccomplex_top.data_i_o[11] top.ciccomplex_top.data_i_o[10] top.ciccomplex_top.data_i_o[9] top.ciccomplex_top.data_i_o[8] top.ciccomplex_top.data_i_o[7] top.ciccomplex_top.data_i_o[6] top.ciccomplex_top.data_i_o[5] top.ciccomplex_top.data_i_o[4] top.ciccomplex_top.data_i_o[3] top.ciccomplex_top.data_i_o[2] top.ciccomplex_top.data_i_o[1] top.ciccomplex_top.data_i_o[0]
+@20000
+-
+-
+[pattern_trace] 1
+[pattern_trace] 0
diff --git a/cicComplex/simulation/test/sim_build/cic_waves.ghw b/cicComplex/simulation/test/sim_build/cic_waves.ghw
new file mode 100644
index 0000000..e69de29
diff --git a/cicComplex/simulation/test/sim_build/comb_waves.ghw b/cicComplex/simulation/test/sim_build/comb_waves.ghw
new file mode 100644
index 0000000..e2dcec0
Binary files /dev/null and b/cicComplex/simulation/test/sim_build/comb_waves.ghw differ
diff --git a/cicComplex/simulation/test/sim_build/integrator_waves.ghw b/cicComplex/simulation/test/sim_build/integrator_waves.ghw
new file mode 100644
index 0000000..a93376b
Binary files /dev/null and b/cicComplex/simulation/test/sim_build/integrator_waves.ghw differ
diff --git a/cicComplex/simulation/test/sim_build/top-obj93.cf b/cicComplex/simulation/test/sim_build/top-obj93.cf
new file mode 100644
index 0000000..1d26cd2
--- /dev/null
+++ b/cicComplex/simulation/test/sim_build/top-obj93.cf
@@ -0,0 +1,13 @@
+v 4
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/comb.vhd" "faa9aeb72c668bfdae2edbb0779e1bd36c9a06f8" "20240426123732.759":
+ entity comb at 6( 279) + 0 on 15;
+ architecture rtl of comb at 26( 729) + 0 on 16;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/common.vhd" "6fcb4bcbdc0df46403695a4c1284bc86afc8c0cf" "20240426123732.759":
+ package common at 6( 280) + 0 on 11 body;
+ package body common at 25( 1148) + 0 on 12;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/integrator.vhd" "90e884edc62d4b392485dd8794139c60f79d54b5" "20240426123732.759":
+ entity integrator at 6( 280) + 0 on 13;
+ architecture rtl of integrator at 25( 705) + 0 on 14;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/cicComplex_top.vhd" "7f7ed4cd648cb58c62380d7e538d91317d7e2ac3" "20240426123732.759":
+ entity ciccomplex_top at 6( 279) + 0 on 17;
+ architecture rtl of ciccomplex_top at 40( 1208) + 0 on 18;
diff --git a/cicComplex/simulation/test/test_common_tb.sh b/cicComplex/simulation/test/test_common_tb.sh
new file mode 100755
index 0000000..732e78c
--- /dev/null
+++ b/cicComplex/simulation/test/test_common_tb.sh
@@ -0,0 +1,5 @@
+#!/bin/bash
+
+ghdl -i -v --std=08 --work=top --ieee=synopsys -fexplicit /home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/common.vhd /home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/common_tb.vhd
+ghdl -m -v --std=08 --work=top --ieee=synopsys -fexplicit common_tb
+ghdl -r -v --std=08 --work=top --ieee=synopsys -fexplicit common_tb
\ No newline at end of file
diff --git a/cicComplex/simulation/test/top-obj08.cf b/cicComplex/simulation/test/top-obj08.cf
new file mode 100644
index 0000000..94901c9
--- /dev/null
+++ b/cicComplex/simulation/test/top-obj08.cf
@@ -0,0 +1,7 @@
+v 4
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/common.vhd" "7129d587f356b9e8c47ae28ded97f854fa55cf01" "20240426162141.598":
+ package common at 6( 280) + 0 on 4;
+ package body common at 35( 1679) + 0 on 4;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/common_tb.vhd" "9a785f396d17645a50855b72fc212fd3a0a256ef" "20240426162141.598":
+ entity common_tb at 1( 0) + 0 on 4;
+ architecture rtl of common_tb at 22( 415) + 0 on 4;
diff --git a/cicComplex/top-obj08.cf b/cicComplex/top-obj08.cf
new file mode 100644
index 0000000..79b0c18
--- /dev/null
+++ b/cicComplex/top-obj08.cf
@@ -0,0 +1,7 @@
+v 4
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/common.vhd" "88f583479ae3af7f062140e0d36a3c7989879f5b" "20240426123104.046":
+ package common at 6( 280) + 0 on 11 body;
+ package body common at 25( 1148) + 0 on 12;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/common_tb.vhd" "df979170c9a199124ebe4a06918032cee58310e4" "20240426123104.046":
+ entity common_tb at 1( 0) + 0 on 13;
+ architecture rtl of common_tb at 22( 416) + 0 on 14;
diff --git a/cicComplex/top-obj93.cf b/cicComplex/top-obj93.cf
new file mode 100644
index 0000000..8ed760a
--- /dev/null
+++ b/cicComplex/top-obj93.cf
@@ -0,0 +1,7 @@
+v 4
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/simulation/test/common_tb.vhd" "b164e267db7883beffc867bcde230c74be4b22d7" "20240426121520.608":
+ entity common_tb at 1( 0) + 0 on 4;
+ architecture rtl of common_tb at 22( 416) + 0 on 4;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicComplex/hdl/common.vhd" "11eaa26ce3cd7b21f382db3b9a55c0fc9e8c1de2" "20240426121520.608":
+ package common at 6( 280) + 0 on 4;
+ package body common at 25( 1148) + 0 on 4;
diff --git a/cicComplex/xgui/cicComplex_v1_0.tcl b/cicComplex/xgui/cicComplex_v1_0.tcl
new file mode 100644
index 0000000..0bbebb2
--- /dev/null
+++ b/cicComplex/xgui/cicComplex_v1_0.tcl
@@ -0,0 +1,103 @@
+# Definitional proc to organize widgets for parameters.
+proc init_gui { IPINST } {
+ ipgui::add_param $IPINST -name "Component_Name"
+ #Adding Page
+ set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
+ ipgui::add_param $IPINST -name "data_signed" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DATA_IN_SIZE" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DATA_OUT_SIZE" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "ORDER" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DECIMATE_FACTOR" -parent ${Page_0}
+}
+
+
+proc update_PARAM_VALUE.ORDER { PARAM_VALUE.ORDER } {
+ # Procedure called to update ORDER when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.ORDER { PARAM_VALUE.ORDER } {
+ # Procedure called to validate ORDER
+ return true
+}
+
+
+proc update_PARAM_VALUE.DATA_IN_SIZE { PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to update DATA_IN_SIZE when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DATA_IN_SIZE { PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to validate DATA_IN_SIZE
+ return true
+}
+
+
+proc update_PARAM_VALUE.DATA_OUT_SIZE { PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to update DATA_OUT_SIZE when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DATA_OUT_SIZE { PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to validate DATA_OUT_SIZE
+ return true
+}
+
+
+proc update_PARAM_VALUE.DECIMATE_FACTOR { PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to update DECIMATE_FACTOR when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DECIMATE_FACTOR { PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to validate DECIMATE_FACTOR
+ return true
+}
+
+
+proc update_PARAM_VALUE.ID { PARAM_VALUE.ID } {
+ # Procedure called to update ID when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.ID { PARAM_VALUE.ID } {
+ # Procedure called to validate ID
+ return true
+}
+
+
+proc update_PARAM_VALUE.data_signed { PARAM_VALUE.data_signed } {
+ # Procedure called to update data_signed when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.data_signed { PARAM_VALUE.data_signed } {
+ # Procedure called to validate data_signed
+ return true
+}
+
+
+proc update_MODELPARAM_VALUE.ID { MODELPARAM_VALUE.ID PARAM_VALUE.ID } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.ID}] ${MODELPARAM_VALUE.ID}
+}
+
+proc update_MODELPARAM_VALUE.ORDER { MODELPARAM_VALUE.ORDER PARAM_VALUE.ORDER } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.ORDER}] ${MODELPARAM_VALUE.ORDER}
+}
+
+proc update_MODELPARAM_VALUE.DECIMATE_FACTOR { MODELPARAM_VALUE.DECIMATE_FACTOR PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DECIMATE_FACTOR}] ${MODELPARAM_VALUE.DECIMATE_FACTOR}
+}
+
+proc update_MODELPARAM_VALUE.DATA_IN_SIZE { MODELPARAM_VALUE.DATA_IN_SIZE PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DATA_IN_SIZE}] ${MODELPARAM_VALUE.DATA_IN_SIZE}
+}
+
+proc update_MODELPARAM_VALUE.DATA_OUT_SIZE { MODELPARAM_VALUE.DATA_OUT_SIZE PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DATA_OUT_SIZE}] ${MODELPARAM_VALUE.DATA_OUT_SIZE}
+}
+
+proc update_MODELPARAM_VALUE.data_signed { MODELPARAM_VALUE.data_signed PARAM_VALUE.data_signed } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.data_signed}] ${MODELPARAM_VALUE.data_signed}
+}
+
diff --git a/cicReal/cicReal_hw.tcl b/cicReal/cicReal_hw.tcl
new file mode 100644
index 0000000..e6f4ee1
--- /dev/null
+++ b/cicReal/cicReal_hw.tcl
@@ -0,0 +1,245 @@
+# TCL File Generated by Component Editor 18.0
+# Thu Nov 22 06:29:41 CET 2018
+# DO NOT MODIFY
+
+
+#
+# cicReal "cicReal" v1.0
+# ggm 2018.11.22.06:29:41
+# cicReal
+#
+
+#
+# request TCL package from ACDS 16.1
+#
+package require -exact qsys 16.1
+
+
+#
+# module cicReal
+#
+set_module_property DESCRIPTION cicReal
+set_module_property NAME cicReal
+set_module_property VERSION 1.0
+set_module_property INTERNAL false
+set_module_property OPAQUE_ADDRESS_MAP true
+set_module_property GROUP cogen
+set_module_property AUTHOR ggm
+set_module_property DISPLAY_NAME cicReal
+set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
+set_module_property EDITABLE true
+set_module_property REPORT_TO_TALKBACK false
+set_module_property ALLOW_GREYBOX_GENERATION false
+set_module_property REPORT_HIERARCHY false
+
+
+#
+# file sets
+#
+add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
+set_fileset_property QUARTUS_SYNTH TOP_LEVEL cicReal
+set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
+set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
+add_fileset_file cicReal.vhd VHDL PATH hdl/cicReal.vhd TOP_LEVEL_FILE
+add_fileset_file cicReal_axi.vhd VHDL PATH hdl/cicReal_axi.vhd
+add_fileset_file cicReal_handCom.vhd VHDL PATH hdl/cicReal_handCom.vhd
+add_fileset_file cicReal_proc.vhd VHDL PATH hdl/cicReal_proc.vhd
+add_fileset_file cicReal_ram.vhd VHDL PATH hdl/cicReal_ram.vhd
+add_fileset_file cicReal_top.vhd VHDL PATH hdl/cicReal_top.vhd
+
+
+#
+# parameters
+#
+add_parameter ID NATURAL 1
+set_parameter_property ID DEFAULT_VALUE 1
+set_parameter_property ID DISPLAY_NAME ID
+set_parameter_property ID TYPE NATURAL
+set_parameter_property ID UNITS None
+set_parameter_property ID ALLOWED_RANGES 0:2147483647
+set_parameter_property ID HDL_PARAMETER true
+add_parameter coeff_format STRING signed ""
+set_parameter_property coeff_format DEFAULT_VALUE signed
+set_parameter_property coeff_format DISPLAY_NAME coeff_format
+set_parameter_property coeff_format WIDTH ""
+set_parameter_property coeff_format TYPE STRING
+set_parameter_property coeff_format UNITS None
+set_parameter_property coeff_format DESCRIPTION ""
+set_parameter_property coeff_format HDL_PARAMETER true
+add_parameter NB_COEFF NATURAL 128
+set_parameter_property NB_COEFF DEFAULT_VALUE 128
+set_parameter_property NB_COEFF DISPLAY_NAME NB_COEFF
+set_parameter_property NB_COEFF TYPE NATURAL
+set_parameter_property NB_COEFF UNITS None
+set_parameter_property NB_COEFF ALLOWED_RANGES 0:2147483647
+set_parameter_property NB_COEFF HDL_PARAMETER true
+add_parameter COEFF_SIZE NATURAL 16
+set_parameter_property COEFF_SIZE DEFAULT_VALUE 16
+set_parameter_property COEFF_SIZE DISPLAY_NAME COEFF_SIZE
+set_parameter_property COEFF_SIZE TYPE NATURAL
+set_parameter_property COEFF_SIZE UNITS None
+set_parameter_property COEFF_SIZE ALLOWED_RANGES 0:2147483647
+set_parameter_property COEFF_SIZE HDL_PARAMETER true
+add_parameter DECIMATE_FACTOR NATURAL 10
+set_parameter_property DECIMATE_FACTOR DEFAULT_VALUE 10
+set_parameter_property DECIMATE_FACTOR DISPLAY_NAME DECIMATE_FACTOR
+set_parameter_property DECIMATE_FACTOR TYPE NATURAL
+set_parameter_property DECIMATE_FACTOR UNITS None
+set_parameter_property DECIMATE_FACTOR ALLOWED_RANGES 0:2147483647
+set_parameter_property DECIMATE_FACTOR HDL_PARAMETER true
+add_parameter DATA_IN_SIZE NATURAL 16
+set_parameter_property DATA_IN_SIZE DEFAULT_VALUE 16
+set_parameter_property DATA_IN_SIZE DISPLAY_NAME DATA_IN_SIZE
+set_parameter_property DATA_IN_SIZE TYPE NATURAL
+set_parameter_property DATA_IN_SIZE UNITS None
+set_parameter_property DATA_IN_SIZE ALLOWED_RANGES 0:2147483647
+set_parameter_property DATA_IN_SIZE HDL_PARAMETER true
+add_parameter DATA_OUT_SIZE NATURAL 39
+set_parameter_property DATA_OUT_SIZE DEFAULT_VALUE 39
+set_parameter_property DATA_OUT_SIZE DISPLAY_NAME DATA_OUT_SIZE
+set_parameter_property DATA_OUT_SIZE TYPE NATURAL
+set_parameter_property DATA_OUT_SIZE UNITS None
+set_parameter_property DATA_OUT_SIZE ALLOWED_RANGES 0:2147483647
+set_parameter_property DATA_OUT_SIZE HDL_PARAMETER true
+add_parameter C_S00_AXI_DATA_WIDTH INTEGER 32 ""
+set_parameter_property C_S00_AXI_DATA_WIDTH DEFAULT_VALUE 32
+set_parameter_property C_S00_AXI_DATA_WIDTH DISPLAY_NAME C_S00_AXI_DATA_WIDTH
+set_parameter_property C_S00_AXI_DATA_WIDTH WIDTH ""
+set_parameter_property C_S00_AXI_DATA_WIDTH TYPE INTEGER
+set_parameter_property C_S00_AXI_DATA_WIDTH UNITS None
+set_parameter_property C_S00_AXI_DATA_WIDTH ALLOWED_RANGES -2147483648:2147483647
+set_parameter_property C_S00_AXI_DATA_WIDTH DESCRIPTION ""
+set_parameter_property C_S00_AXI_DATA_WIDTH HDL_PARAMETER true
+add_parameter C_S00_AXI_ADDR_WIDTH INTEGER 4 ""
+set_parameter_property C_S00_AXI_ADDR_WIDTH DEFAULT_VALUE 4
+set_parameter_property C_S00_AXI_ADDR_WIDTH DISPLAY_NAME C_S00_AXI_ADDR_WIDTH
+set_parameter_property C_S00_AXI_ADDR_WIDTH WIDTH ""
+set_parameter_property C_S00_AXI_ADDR_WIDTH TYPE INTEGER
+set_parameter_property C_S00_AXI_ADDR_WIDTH UNITS None
+set_parameter_property C_S00_AXI_ADDR_WIDTH ALLOWED_RANGES -2147483648:2147483647
+set_parameter_property C_S00_AXI_ADDR_WIDTH DESCRIPTION ""
+set_parameter_property C_S00_AXI_ADDR_WIDTH HDL_PARAMETER true
+
+
+#
+# display items
+#
+
+
+#
+# connection point data_in
+#
+add_interface data_in conduit end
+set_interface_property data_in associatedClock ""
+set_interface_property data_in associatedReset ""
+set_interface_property data_in ENABLED true
+set_interface_property data_in EXPORT_OF ""
+set_interface_property data_in PORT_NAME_MAP ""
+set_interface_property data_in CMSIS_SVD_VARIABLES ""
+set_interface_property data_in SVD_ADDRESS_GROUP ""
+
+add_interface_port data_in data_i DATA Input data_in_size
+add_interface_port data_in data_en_i DATA_EN Input 1
+add_interface_port data_in data_clk_i DATA_CLK Input 1
+add_interface_port data_in data_rst_i DATA_RST Input 1
+
+
+#
+# connection point data_out
+#
+add_interface data_out conduit end
+set_interface_property data_out associatedClock ""
+set_interface_property data_out associatedReset ""
+set_interface_property data_out ENABLED true
+set_interface_property data_out EXPORT_OF ""
+set_interface_property data_out PORT_NAME_MAP ""
+set_interface_property data_out CMSIS_SVD_VARIABLES ""
+set_interface_property data_out SVD_ADDRESS_GROUP ""
+
+add_interface_port data_out data_o DATA Output data_out_size
+add_interface_port data_out data_en_o DATA_EN Output 1
+add_interface_port data_out data_eof_o DATA_EOF Output 1
+add_interface_port data_out data_clk_o DATA_CLK Output 1
+add_interface_port data_out data_rst_o DATA_RST Output 1
+
+
+#
+# connection point tick_o
+#
+add_interface tick_o conduit end
+set_interface_property tick_o associatedClock ""
+set_interface_property tick_o associatedReset ""
+set_interface_property tick_o ENABLED true
+set_interface_property tick_o EXPORT_OF ""
+set_interface_property tick_o PORT_NAME_MAP ""
+set_interface_property tick_o CMSIS_SVD_VARIABLES ""
+set_interface_property tick_o SVD_ADDRESS_GROUP ""
+
+add_interface_port tick_o tick_o name Output 1
+
+
+#
+# connection point s00_axi_aclk
+#
+add_interface s00_axi_aclk clock end
+set_interface_property s00_axi_aclk clockRate 0
+set_interface_property s00_axi_aclk ENABLED true
+set_interface_property s00_axi_aclk EXPORT_OF ""
+set_interface_property s00_axi_aclk PORT_NAME_MAP ""
+set_interface_property s00_axi_aclk CMSIS_SVD_VARIABLES ""
+set_interface_property s00_axi_aclk SVD_ADDRESS_GROUP ""
+
+add_interface_port s00_axi_aclk s00_axi_aclk clk Input 1
+
+
+#
+# connection point s00_axi_reset
+#
+add_interface s00_axi_reset reset end
+set_interface_property s00_axi_reset associatedClock s00_axi_aclk
+set_interface_property s00_axi_reset synchronousEdges DEASSERT
+set_interface_property s00_axi_reset ENABLED true
+set_interface_property s00_axi_reset EXPORT_OF ""
+set_interface_property s00_axi_reset PORT_NAME_MAP ""
+set_interface_property s00_axi_reset CMSIS_SVD_VARIABLES ""
+set_interface_property s00_axi_reset SVD_ADDRESS_GROUP ""
+
+add_interface_port s00_axi_reset s00_axi_reset reset Input 1
+
+
+#
+# connection point s00_axi
+#
+add_interface s00_axi axi4lite end
+set_interface_property s00_axi associatedClock s00_axi_aclk
+set_interface_property s00_axi associatedReset s00_axi_reset
+set_interface_property s00_axi readAcceptanceCapability 1
+set_interface_property s00_axi writeAcceptanceCapability 1
+set_interface_property s00_axi combinedAcceptanceCapability 1
+set_interface_property s00_axi readDataReorderingDepth 1
+set_interface_property s00_axi bridgesToMaster ""
+set_interface_property s00_axi ENABLED true
+set_interface_property s00_axi EXPORT_OF ""
+set_interface_property s00_axi PORT_NAME_MAP ""
+set_interface_property s00_axi CMSIS_SVD_VARIABLES ""
+set_interface_property s00_axi SVD_ADDRESS_GROUP ""
+
+add_interface_port s00_axi s00_axi_awaddr awaddr Input c_s00_axi_addr_width
+add_interface_port s00_axi s00_axi_awvalid awvalid Input 1
+add_interface_port s00_axi s00_axi_awready awready Output 1
+add_interface_port s00_axi s00_axi_wdata wdata Input c_s00_axi_data_width
+add_interface_port s00_axi s00_axi_wvalid wvalid Input 1
+add_interface_port s00_axi s00_axi_wready wready Output 1
+add_interface_port s00_axi s00_axi_bresp bresp Output 2
+add_interface_port s00_axi s00_axi_bvalid bvalid Output 1
+add_interface_port s00_axi s00_axi_bready bready Input 1
+add_interface_port s00_axi s00_axi_araddr araddr Input c_s00_axi_addr_width
+add_interface_port s00_axi s00_axi_arvalid arvalid Input 1
+add_interface_port s00_axi s00_axi_arready arready Output 1
+add_interface_port s00_axi s00_axi_rdata rdata Output c_s00_axi_data_width
+add_interface_port s00_axi s00_axi_rresp rresp Output 2
+add_interface_port s00_axi s00_axi_rvalid rvalid Output 1
+add_interface_port s00_axi s00_axi_rready rready Input 1
+add_interface_port s00_axi s00_axi_arprot arprot Input 3
+add_interface_port s00_axi s00_axi_awprot awprot Input 3
+add_interface_port s00_axi s00_axi_wstrb wstrb Input c_s00_axi_data_width/8
diff --git a/cicReal/component.xml b/cicReal/component.xml
new file mode 100644
index 0000000..5fc72e1
--- /dev/null
+++ b/cicReal/component.xml
@@ -0,0 +1,1097 @@
+
+
+ ggm
+ cogen
+ cicReal
+ 1.0
+
+
+ s00_axi
+
+
+
+
+
+
+
+
+ AWADDR
+
+
+ s00_axi_awaddr
+
+
+
+
+ AWVALID
+
+
+ s00_axi_awvalid
+
+
+
+
+ AWREADY
+
+
+ s00_axi_awready
+
+
+
+
+ WDATA
+
+
+ s00_axi_wdata
+
+
+
+
+ WVALID
+
+
+ s00_axi_wvalid
+
+
+
+
+ WREADY
+
+
+ s00_axi_wready
+
+
+
+
+ BRESP
+
+
+ s00_axi_bresp
+
+
+
+
+ BVALID
+
+
+ s00_axi_bvalid
+
+
+
+
+ BREADY
+
+
+ s00_axi_bready
+
+
+
+
+ ARADDR
+
+
+ s00_axi_araddr
+
+
+
+
+ ARVALID
+
+
+ s00_axi_arvalid
+
+
+
+
+ ARREADY
+
+
+ s00_axi_arready
+
+
+
+
+ RDATA
+
+
+ s00_axi_rdata
+
+
+
+
+ RRESP
+
+
+ s00_axi_rresp
+
+
+
+
+ RVALID
+
+
+ s00_axi_rvalid
+
+
+
+
+ RREADY
+
+
+ s00_axi_rready
+
+
+
+
+ AWPROT
+
+
+ s00_axi_awprot
+
+
+
+
+ ARPROT
+
+
+ s00_axi_arprot
+
+
+
+
+ WSTRB
+
+
+ s00_axi_wstrb
+
+
+
+
+
+ s00_axi_signal_reset
+
+
+
+
+
+
+ RST
+
+
+ s00_axi_reset
+
+
+
+
+
+ POLARITY
+ ACTIVE_HIGH
+
+
+
+
+ s00_axi_signal_clock
+
+
+
+
+
+
+ CLK
+
+
+ s00_axi_aclk
+
+
+
+
+
+ ASSOCIATED_BUSIF
+ s00_axi
+
+
+ ASSOCIATED_RESET
+ s00_axi_reset
+
+
+
+
+ data_in
+
+
+
+
+
+
+ DATA
+
+
+ data_i
+
+
+
+
+ DATA_EN
+
+
+ data_en_i
+
+
+
+
+ DATA_CLK
+
+
+ data_clk_i
+
+
+
+
+ DATA_RST
+
+
+ data_rst_i
+
+
+
+
+
+ data_out
+
+
+
+
+
+
+ DATA
+
+
+ data_o
+
+
+
+
+ DATA_EN
+
+
+ data_en_o
+
+
+
+
+ DATA_CLK
+
+
+ data_clk_o
+
+
+
+
+ DATA_RST
+
+
+ data_rst_o
+
+
+
+
+ DATA_EOF
+
+
+ data_eof_o
+
+
+
+
+
+
+
+ s00_axi
+
+ reg0
+ 0
+ 4096
+ 32
+ register
+
+
+
+
+
+
+ xilinx_anylanguagesynthesis
+ Synthesis
+ :vivado.xilinx.com:synthesis
+ VHDL
+ cicReal
+
+ xilinx_anylanguagesynthesis_view_fileset
+
+
+
+ viewChecksum
+ d5bbe5ce
+
+
+
+
+ xilinx_anylanguagebehavioralsimulation
+ Simulation
+ :vivado.xilinx.com:simulation
+ VHDL
+ cicReal
+
+ xilinx_anylanguagebehavioralsimulation_view_fileset
+
+
+
+ viewChecksum
+ d5bbe5ce
+
+
+
+
+ xilinx_xpgui
+ UI Layout
+ :vivado.xilinx.com:xgui.ui
+
+ xilinx_xpgui_view_fileset
+
+
+
+ viewChecksum
+ 5cc683a7
+
+
+
+
+
+
+ data_i
+
+ in
+
+ 15
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_en_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_clk_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_rst_i
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_o
+
+ out
+
+ 38
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_en_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_eof_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_clk_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ data_rst_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ tick_o
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_aclk
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_reset
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_awaddr
+
+ in
+
+ 3
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_awprot
+
+ in
+
+ 2
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_awvalid
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_awready
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_wdata
+
+ in
+
+ 31
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_wstrb
+
+ in
+
+ 3
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_wvalid
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_wready
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_bresp
+
+ out
+
+ 1
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_bvalid
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_bready
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_araddr
+
+ in
+
+ 3
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_arprot
+
+ in
+
+ 2
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_arvalid
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+ s00_axi_arready
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_rdata
+
+ out
+
+ 31
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_rresp
+
+ out
+
+ 1
+ 0
+
+
+
+ std_logic_vector
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_rvalid
+
+ out
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+
+
+ s00_axi_rready
+
+ in
+
+
+ std_logic
+ xilinx_anylanguagesynthesis
+ xilinx_anylanguagebehavioralsimulation
+
+
+
+ 0
+
+
+
+
+
+
+ ID
+ Id
+ 1
+
+
+ coeff_format
+ Coeff Format
+ signed
+
+
+ NB_COEFF
+ Nb Coeff
+ 128
+
+
+ COEFF_SIZE
+ Coeff Size
+ 16
+
+
+ DECIMATE_FACTOR
+ Decimate Factor
+ 10
+
+
+ DATA_IN_SIZE
+ Data In Size
+ 16
+
+
+ DATA_OUT_SIZE
+ Data Out Size
+ 39
+
+
+ C_S00_AXI_DATA_WIDTH
+ C S00 Axi Data Width
+ 32
+
+
+ C_S00_AXI_ADDR_WIDTH
+ C S00 Axi Addr Width
+ 4
+
+
+ data_signed
+ Data Signed
+ true
+
+
+
+
+
+ xilinx_anylanguagesynthesis_view_fileset
+
+ hdl/cicReal_axi.vhd
+ vhdlSource
+
+
+ hdl/cicReal_proc.vhd
+ vhdlSource
+
+
+ hdl/cicReal_ram.vhd
+ vhdlSource
+
+
+ hdl/cicReal_top.vhd
+ vhdlSource
+
+
+ hdl/cicReal_handCom.vhd
+ vhdlSource
+
+
+ hdl/cicReal.vhd
+ vhdlSource
+ CHECKSUM_c3d11f15
+
+
+
+ xilinx_anylanguagebehavioralsimulation_view_fileset
+
+ hdl/cicReal_axi.vhd
+ vhdlSource
+
+
+ hdl/cicReal_proc.vhd
+ vhdlSource
+
+
+ hdl/cicReal_ram.vhd
+ vhdlSource
+
+
+ hdl/cicReal_top.vhd
+ vhdlSource
+
+
+ hdl/cicReal_handCom.vhd
+ vhdlSource
+
+
+ hdl/cicReal.vhd
+ vhdlSource
+
+
+
+ xilinx_xpgui_view_fileset
+
+ xgui/cicReal_v1_0.tcl
+ tclSource
+ CHECKSUM_5cc683a7
+ XGUI_VERSION_2
+
+
+
+ cicReal_v1_0
+
+
+ ID
+ Id
+ Unique Id.
+ 1
+
+
+ coeff_format
+ Coeff Format
+ Coefficient (un)signed.
+ signed
+
+
+ NB_COEFF
+ Nb COEFF
+ number of coefficient.
+ 128
+
+
+ COEFF_SIZE
+ COEFF Size
+ Coefficient size.
+ 16
+
+
+ DECIMATE_FACTOR
+ Decimate Factor
+ Decimate Factor.
+ 10
+
+
+ DATA_IN_SIZE
+ Data In Size
+ Size of input data bus.
+ 16
+
+
+ DATA_OUT_SIZE
+ Data Out Size
+ Size of output data bus.
+ 39
+
+
+ C_S00_AXI_DATA_WIDTH
+ C S00 Axi Data Width
+ Width of the S_AXI data bus.
+ 32
+
+
+
+ false
+
+
+
+
+
+ C_S00_AXI_ADDR_WIDTH
+ C S00 Axi Addr Width
+ Width of the S_AXI addr bus.
+ 4
+
+
+
+ false
+
+
+
+
+
+ Component_Name
+ cicReal_v1_0
+
+
+ data_signed
+ Data Signed
+ true
+
+
+
+
+
+ artix7
+ artix7l
+ aartix7
+ zynq
+ azynq
+
+
+ /BaseIP
+
+ cicReal_v1_0
+ package_project
+ Benoit Duboit
+ http://www.femto-engineering.fr
+ 5
+ 2022-11-28T11:06:25Z
+
+ /home/gwe/projets/oscimp/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+ /home/gwe/projets/oscimp/pourGithub/oscimpDigital/fpga_ip/cicReal
+
+
+
+ 2019.2
+
+
+
+
+
+
+
+
+
diff --git a/cicReal/hdl/cicReal.vhd b/cicReal/hdl/cicReal.vhd
new file mode 100644
index 0000000..0fea092
--- /dev/null
+++ b/cicReal/hdl/cicReal.vhd
@@ -0,0 +1,165 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+entity cicReal is
+ generic (
+ -- Users to add parameters here
+ ID: natural := 1;
+ data_signed: boolean := true;
+ DECIMATE_FACTOR : natural := 8;
+ ORDER : natural := 3;
+ DATA_IN_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 23;
+ -- Parameters of Axi Slave Bus Interface S00_AXI
+ C_S00_AXI_DATA_WIDTH : integer := 32;
+ C_S00_AXI_ADDR_WIDTH : integer := 4
+ );
+ port (
+ -- input data
+ data_i : in std_logic_vector(DATA_IN_SIZE-1 downto 0);
+ data_en_i: in std_logic;
+ data_clk_i: in std_logic;
+ data_rst_i: in std_logic;
+ -- for the next component
+ data_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_en_o : out std_logic;
+ data_eof_o : out std_logic;
+ data_clk_o : out std_logic;
+ data_rst_o : out std_logic;
+ -- ctrl
+ --tick_o : out std_logic;
+ -- User ports ends
+ -- Do not modify the ports beyond this line
+
+
+ -- Ports of Axi Slave Bus Interface S00_AXI
+ s00_axi_aclk : in std_logic;
+ s00_axi_reset : in std_logic;
+ s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0);
+ s00_axi_awprot : in std_logic_vector(2 downto 0);
+ s00_axi_awvalid : in std_logic;
+ s00_axi_awready : out std_logic;
+ s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
+ s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0);
+ s00_axi_wvalid : in std_logic;
+ s00_axi_wready : out std_logic;
+ s00_axi_bresp : out std_logic_vector(1 downto 0);
+ s00_axi_bvalid : out std_logic;
+ s00_axi_bready : in std_logic;
+ s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0);
+ s00_axi_arprot : in std_logic_vector(2 downto 0);
+ s00_axi_arvalid : in std_logic;
+ s00_axi_arready : out std_logic;
+ s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
+ s00_axi_rresp : out std_logic_vector(1 downto 0);
+ s00_axi_rvalid : out std_logic;
+ s00_axi_rready : in std_logic
+ );
+end cicReal;
+
+architecture arch_imp of cicReal is
+ constant COEFF_ADDR_SZ : natural := natural(ceil(log2(real(NB_COEFF))));
+ signal coeff_en_s : std_logic;
+ signal coeff_val_s : std_logic_vector(COEFF_SIZE-1 downto 0);
+ signal coeff_addr_s : std_logic_vector(COEFF_ADDR_SZ-1 downto 0);
+ constant INTERNAL_ADDR_WIDTH : natural := 2;
+ signal addr_s : std_logic_vector(1 downto 0);
+ signal write_en_s, read_en_s : std_logic;
+
+ signal r_coeff_addr_s : std_logic_vector(9 downto 0);
+ signal r_coeff_val_s : std_logic_vector(COEFF_SIZE-1 downto 0);
+
+ signal data_en_s : std_logic;
+begin
+ --tick_o <= data_en_s;
+ data_en_o <= data_en_s;
+ data_eof_o <= '0';
+
+ data_clk_o <= data_clk_i;
+ data_rst_o <= data_rst_i;
+
+ -- Instantiation of Axi Bus Interface S00_AXI
+ cicReal_axi_inst : entity work.cicReal_axi
+ generic map (
+ ID=>ID,
+ COEFF_SIZE => COEFF_SIZE,
+ COEFF_ADDR_SZ => COEFF_ADDR_SZ,
+ C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH
+ )
+ port map (
+ S_AXI_ACLK => s00_axi_aclk,
+ reset => s00_axi_reset,
+
+ addr_i => addr_s,
+ write_en_i => write_en_s,
+ writedata => s00_axi_wdata,
+ read_en_i => read_en_s,
+ read_ack_o => s00_axi_rvalid,
+ readdata => s00_axi_rdata,
+ -- end
+ coeff_en_o => coeff_en_s,
+ coeff_val_o => coeff_val_s,
+ coeff_addr_o => coeff_addr_s
+ );
+
+ -- Add user logic here
+ cic_top_inst : entity work.cicReal_top
+ generic map (
+ data_signed => data_signed,
+ DECIMATE_FACTOR => DECIMATE_FACTOR,
+ ORDER => ORDER,
+ DATA_SIZE => DATA_IN_SIZE,
+ DATA_OUT_SIZE => DATA_OUT_SIZE
+ )
+ port map (
+ -- Syscon signals
+ clk => data_clk_i,
+ reset => data_rst_i,
+ -- input data
+ data_i => data_i,
+ data_en_i => data_en_i,
+ -- for the next component
+ data_o => data_o,
+ data_en_o => data_en_s
+ );
+
+-- Instantiation of Axi Bus Interface S00_AXI
+handle_comm : entity work.cicReal_handCom
+ generic map (
+ C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH,
+ C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH,
+ INTERNAL_ADDR_WIDTH => INTERNAL_ADDR_WIDTH
+ )
+ port map (
+ S_AXI_ACLK => s00_axi_aclk,
+ S_AXI_RESET => s00_axi_reset,
+ S_AXI_AWADDR => s00_axi_awaddr,
+ --S_AXI_AWPROT => s00_axi_awprot,
+ S_AXI_AWVALID => s00_axi_awvalid,
+ S_AXI_AWREADY => s00_axi_awready,
+ --S_AXI_WSTRB => s00_axi_wstrb,
+ S_AXI_WVALID => s00_axi_wvalid,
+ S_AXI_WREADY => s00_axi_wready,
+ S_AXI_BRESP => s00_axi_bresp,
+ S_AXI_BVALID => s00_axi_bvalid,
+ S_AXI_BREADY => s00_axi_bready,
+ S_AXI_ARADDR => s00_axi_araddr,
+ --S_AXI_ARPROT => s00_axi_arprot,
+ S_AXI_ARVALID => s00_axi_arvalid,
+ S_AXI_ARREADY => s00_axi_arready,
+ S_AXI_RRESP => s00_axi_rresp,
+ S_AXI_RVALID => open,--s00_axi_rvalid,
+ S_AXI_RREADY => s00_axi_rready,
+ read_en_o => read_en_s,
+ write_en_o => write_en_s,
+ addr_o => addr_s
+ );
+
+end arch_imp;
diff --git a/cicReal/hdl/cicReal_axi.vhd b/cicReal/hdl/cicReal_axi.vhd
new file mode 100644
index 0000000..03999fa
--- /dev/null
+++ b/cicReal/hdl/cicReal_axi.vhd
@@ -0,0 +1,101 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity cicReal_axi is
+ generic (
+ ID : natural := 1;
+ COEFF_SIZE : natural := 16;
+ COEFF_ADDR_SZ : natural := 10;
+ -- Width of S_AXI data bus
+ C_S_AXI_DATA_WIDTH : integer := 32
+ );
+ port (
+ S_AXI_ACLK : in std_logic;
+ reset : in std_logic;
+ addr_i : in std_logic_vector(1 downto 0);
+ write_en_i : in std_logic;
+ writedata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
+ read_en_i : in std_logic;
+ read_ack_o : out std_logic;
+ readdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);--;
+ -- end of test
+ coeff_en_o : out std_logic;
+ coeff_val_o : out std_logic_vector(COEFF_SIZE-1 downto 0);
+ coeff_addr_o : out std_logic_vector(COEFF_ADDR_SZ-1 downto 0)
+ );
+end cicReal_axi;
+
+architecture arch_imp of cicReal_axi is
+ constant REG_ID : std_logic_vector(1 downto 0) := "00";
+ constant REG_cic_COEFF : std_logic_vector(1 downto 0) := "01";
+
+ signal readdata_s :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
+ signal coeff_en_s : std_logic;
+ signal coeff_val_s : std_logic_vector(COEFF_SIZE-1 downto 0);
+ signal coeff_addr_s : std_logic_vector(COEFF_ADDR_SZ-1 downto 0);
+ signal coeff_addr_uns_s : natural range 0 to 2**COEFF_ADDR_SZ-1;
+begin
+ coeff_en_o <= coeff_en_s;
+ coeff_val_o <= coeff_val_s;
+ coeff_addr_o <= coeff_addr_s;
+ readdata <= readdata_s;
+
+ write_process : process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if reset = '1' then
+ coeff_en_s <= '0';
+ coeff_val_s <= (others => '0');
+ coeff_addr_s <= (others => '0');
+ coeff_addr_uns_s <= 0;
+ else
+ coeff_addr_uns_s <= coeff_addr_uns_s;
+ coeff_en_s <= '0';
+ coeff_val_s <= coeff_val_s;
+ coeff_addr_s <= coeff_addr_s;
+ if (write_en_i = '1') then
+ case addr_i is --write_addr is
+ when REG_ID =>
+ coeff_addr_s <= (others => '0');
+ coeff_addr_uns_s <= 0;
+ when REG_cic_COEFF =>
+ coeff_en_s <= '1';
+ coeff_val_s <= writedata(COEFF_SIZE-1 downto 0);
+ coeff_addr_uns_s <= coeff_addr_uns_s + 1;
+ coeff_addr_s <=
+ std_logic_vector(to_unsigned(coeff_addr_uns_s,COEFF_ADDR_SZ));
+ when others =>
+ end case;
+ end if;
+ end if;
+ end if;
+ end process;
+
+ read_process : process( S_AXI_ACLK ) is
+ begin
+ if (rising_edge (S_AXI_ACLK)) then
+ if (reset = '1') then
+ readdata_s <= (others => '0');
+ read_ack_o <= '0';
+ else
+ read_ack_o <= '0';
+ readdata_s <= readdata_s;
+ if (read_en_i = '1') then
+ read_ack_o <= '1';
+ case addr_i is --read_addr is
+ when REG_ID =>
+ readdata_s <= std_logic_vector(to_unsigned(ID, C_S_AXI_DATA_WIDTH));
+ when others =>
+ readdata_s <= x"55AA55AA";
+ end case;
+ end if;
+ end if;
+ end if;
+ end process read_process;
+end arch_imp;
diff --git a/cicReal/hdl/cicReal_handCom.vhd b/cicReal/hdl/cicReal_handCom.vhd
new file mode 100644
index 0000000..e6109cf
--- /dev/null
+++ b/cicReal/hdl/cicReal_handCom.vhd
@@ -0,0 +1,306 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity cicReal_handCom is
+ generic (
+ -- Users to add parameters here
+
+ -- User parameters ends
+ -- Do not modify the parameters beyond this line
+
+ -- Width of S_AXI data bus
+ C_S_AXI_DATA_WIDTH : integer := 32;
+ -- Width of S_AXI address bus
+ C_S_AXI_ADDR_WIDTH : integer := 4;
+ INTERNAL_ADDR_WIDTH : integer := 2
+ );
+ port (
+ -- Users to add ports here
+
+ -- User ports ends
+ -- Do not modify the ports beyond this line
+
+ -- Global Clock Signal
+ S_AXI_ACLK : in std_logic;
+ -- Global Reset Signal. This Signal is Active LOW
+ S_AXI_RESET : in std_logic;
+ -- Write address (issued by master, acceped by Slave)
+ S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
+ -- Write channel Protection type. This signal indicates the
+ -- privilege and security level of the transaction, and whether
+ -- the transaction is a data access or an instruction access.
+ --S_AXI_AWPROT : in std_logic_vector(2 downto 0);
+ -- Write address valid. This signal indicates that the master signaling
+ -- valid write address and control information.
+ S_AXI_AWVALID : in std_logic;
+ -- Write address ready. This signal indicates that the slave is ready
+ -- to accept an address and associated control signals.
+ S_AXI_AWREADY : out std_logic;
+ -- Write strobes. This signal indicates which byte lanes hold
+ -- valid data. There is one write strobe bit for each eight
+ -- bits of the write data bus.
+ --S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
+ -- Write valid. This signal indicates that valid write
+ -- data and strobes are available.
+ S_AXI_WVALID : in std_logic;
+ -- Write ready. This signal indicates that the slave
+ -- can accept the write data.
+ S_AXI_WREADY : out std_logic;
+ -- Write response. This signal indicates the status
+ -- of the write transaction.
+ S_AXI_BRESP : out std_logic_vector(1 downto 0);
+ -- Write response valid. This signal indicates that the channel
+ -- is signaling a valid write response.
+ S_AXI_BVALID : out std_logic;
+ -- Response ready. This signal indicates that the master
+ -- can accept a write response.
+ S_AXI_BREADY : in std_logic;
+ -- Read address (issued by master, acceped by Slave)
+ S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
+ -- Protection type. This signal indicates the privilege
+ -- and security level of the transaction, and whether the
+ -- transaction is a data access or an instruction access.
+ --S_AXI_ARPROT : in std_logic_vector(2 downto 0);
+ -- Read address valid. This signal indicates that the channel
+ -- is signaling valid read address and control information.
+ S_AXI_ARVALID : in std_logic;
+ -- Read address ready. This signal indicates that the slave is
+ -- ready to accept an address and associated control signals.
+ S_AXI_ARREADY : out std_logic;
+ -- Read response. This signal indicates the status of the
+ -- read transfer.
+ S_AXI_RRESP : out std_logic_vector(1 downto 0);
+ -- Read valid. This signal indicates that the channel is
+ -- signaling the required read data.
+ S_AXI_RVALID : out std_logic;
+ -- Read ready. This signal indicates that the master can
+ -- accept the read data and response information.
+ S_AXI_RREADY : in std_logic;
+ addr_o : out std_logic_vector(1 downto 0);
+ read_en_o : out std_logic;
+-- read_addr_o : out std_logic_vector(1 downto 0);
+ write_en_o : out std_logic--;
+-- write_addr_o : out std_logic_vector(1 downto 0)
+ );
+end cicReal_handCom;
+
+architecture arch_imp of cicReal_handCom is
+
+ -- AXI4LITE signals
+ signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
+ signal axi_awready : std_logic;
+ signal axi_wready : std_logic;
+ signal axi_bresp : std_logic_vector(1 downto 0);
+ signal axi_bvalid : std_logic;
+ signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
+ signal axi_arready : std_logic;
+-- signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
+ signal axi_rresp : std_logic_vector(1 downto 0);
+ signal axi_rvalid : std_logic;
+
+ -- Example-specific design signals
+ -- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
+ -- ADDR_LSB is used for addressing 32/64 bit registers/memories
+ -- ADDR_LSB = 2 for 32 bits (n downto 2)
+ -- ADDR_LSB = 3 for 64 bits (n downto 3)
+ constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
+ constant OPT_MEM_ADDR_BITS : integer := 1;
+ ------------------------------------------------
+ ---- Signals for user logic register space example
+ --------------------------------------------------
+ ---- Number of Slave Registers 4
+ signal slv_reg_rden : std_logic;
+ signal slv_reg_wren : std_logic;
+ signal addr_reg, addr_s, write_addr_s, read_addr_s : std_logic_vector(INTERNAL_ADDR_WIDTH-1 downto 0);
+begin
+ read_en_o <= slv_reg_rden;
+ write_en_o <= slv_reg_wren;
+ addr_o <= addr_s;
+ addr_s <= write_addr_s when slv_reg_wren = '1'
+ else read_addr_s when slv_reg_rden = '1'
+ else addr_reg;
+
+ addr_reg <= addr_s when rising_edge(S_AXI_ACLK);
+
+ diff_addr_size : if INTERNAL_ADDR_WIDTH /= C_S_AXI_ADDR_WIDTH generate
+ write_addr_s <= axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
+ read_addr_s <= axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
+ end generate diff_addr_size;
+ -- We assume in and out size is the same it's not needed to shift addr
+ same_addr_size : if INTERNAL_ADDR_WIDTH = C_S_AXI_ADDR_WIDTH generate
+ write_addr_s <= axi_awaddr;
+ read_addr_s <= axi_araddr;
+ end generate same_addr_size;
+
+ -- Implement memory mapped register select and write logic generation
+ -- The write data is accepted and written to memory mapped registers when
+ -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
+ -- select byte enables of slave registers while writing.
+ -- These registers are cleared when reset (active low) is applied.
+ -- Slave register write enable is asserted when valid address and data are available
+ -- and the slave is ready to accept the write address and write data.
+ slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
+
+ -- Implement memory mapped register select and read logic generation
+ -- Slave register read enable is asserted when valid address is available
+ -- and the slave is ready to accept the read address.
+ slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
+
+ -- I/O Connections assignments
+
+ S_AXI_AWREADY <= axi_awready;
+ S_AXI_WREADY <= axi_wready;
+ S_AXI_BRESP <= axi_bresp;
+ S_AXI_BVALID <= axi_bvalid;
+ S_AXI_ARREADY <= axi_arready;
+ S_AXI_RRESP <= axi_rresp;
+ S_AXI_RVALID <= axi_rvalid;
+ -- Implement axi_awready generation
+ -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
+ -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
+ -- de-asserted when reset is low.
+
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_awready <= '0';
+ else
+ if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
+ -- slave is ready to accept write address when
+ -- there is a valid write address and write data
+ -- on the write address and data bus. This design
+ -- expects no outstanding transactions.
+ axi_awready <= '1';
+ else
+ axi_awready <= '0';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ -- Implement axi_awaddr latching
+ -- This process is used to latch the address when both
+ -- S_AXI_AWVALID and S_AXI_WVALID are valid.
+
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_awaddr <= (others => '0');
+ else
+ if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
+ -- Write Address latching
+ axi_awaddr <= S_AXI_AWADDR;
+ end if;
+ end if;
+ end if;
+ end process;
+
+ -- Implement axi_wready generation
+ -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
+ -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
+ -- de-asserted when reset is low.
+
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_wready <= '0';
+ else
+ if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
+ -- slave is ready to accept write data when
+ -- there is a valid write address and write data
+ -- on the write address and data bus. This design
+ -- expects no outstanding transactions.
+ axi_wready <= '1';
+ else
+ axi_wready <= '0';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ -- Implement write response logic generation
+ -- The write response and response valid signals are asserted by the slave
+ -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
+ -- This marks the acceptance of address and indicates the status of
+ -- write transaction.
+
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_bvalid <= '0';
+ axi_bresp <= "00"; --need to work more on the responses
+ else
+ if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
+ axi_bvalid <= '1';
+ axi_bresp <= "00";
+ elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
+ axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
+ end if;
+ end if;
+ end if;
+ end process;
+
+ -- Implement axi_arready generation
+ -- axi_arready is asserted for one S_AXI_ACLK clock cycle when
+ -- S_AXI_ARVALID is asserted. axi_awready is
+ -- de-asserted when reset (active low) is asserted.
+ -- The read address is also latched when S_AXI_ARVALID is
+ -- asserted. axi_araddr is reset to zero on reset assertion.
+
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_arready <= '0';
+ axi_araddr <= (others => '1');
+ else
+ if (axi_arready = '0' and S_AXI_ARVALID = '1') then
+ -- indicates that the slave has acceped the valid read address
+ axi_arready <= '1';
+ -- Read Address latching
+ axi_araddr <= S_AXI_ARADDR;
+ else
+ axi_arready <= '0';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ -- Implement axi_arvalid generation
+ -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
+ -- S_AXI_ARVALID and axi_arready are asserted. The slave registers
+ -- data are available on the axi_rdata bus at this instance. The
+ -- assertion of axi_rvalid marks the validity of read data on the
+ -- bus and axi_rresp indicates the status of read transaction.axi_rvalid
+ -- is deasserted on reset (active low). axi_rresp and axi_rdata are
+ -- cleared to zero on reset (active low).
+ process (S_AXI_ACLK)
+ begin
+ if rising_edge(S_AXI_ACLK) then
+ if S_AXI_RESET = '1' then
+ axi_rvalid <= '0';
+ axi_rresp <= "00";
+ else
+ if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
+ -- Valid read data is available at the read data bus
+ axi_rvalid <= '1';
+ axi_rresp <= "00"; -- 'OKAY' response
+ elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
+ -- Read data is accepted by the master
+ axi_rvalid <= '0';
+ end if;
+ end if;
+ end if;
+ end process;
+
+end arch_imp;
diff --git a/cicReal/hdl/cicReal_top.vhd b/cicReal/hdl/cicReal_top.vhd
new file mode 100644
index 0000000..e9340f2
--- /dev/null
+++ b/cicReal/hdl/cicReal_top.vhd
@@ -0,0 +1,202 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+library work;
+use work.common.all;
+
+Entity cicReal_top is
+ generic (
+ data_signed : boolean := true;
+ DECIMATE_FACTOR : natural := 8;
+ ORDER : natural := 3;
+ N : natural := 1;
+ DATA_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 23
+ );
+ port (
+ -- Syscon signals
+ reset : in std_ulogic;
+ clk : in std_ulogic;
+ -- input data
+ data_en_i : in std_ulogic;
+ data_i : in std_logic_vector(DATA_SIZE-1 downto 0);
+ -- for the next component
+ data_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_en_o : out std_ulogic
+ );
+end entity cicReal_top;
+
+---------------------------------------------------------------------------
+Architecture rtl of cicReal_top is
+---------------------------------------------------------------------------
+ function getIntInputSize(data_in_size : natural; is_signed: boolean) return natural is
+ begin
+ if (is_signed) then
+ return data_in_size;
+ end if;
+ return data_in_size + 1;
+ end function getIntInputSize;
+ --
+ constant DATA_INT_SIZE : natural := getIntInputSize(DATA_SIZE, data_signed);
+ -- Internal register size (Compututed to avoid overflow)
+ constant REGISTER_SIZE : integer := Bmax(DATA_INT_SIZE, DECIMATE_FACTOR, ORDER, N);
+ -- Try and make sure the CIC gain is designed to be a power of 2.
+ constant SHIFT_GAIN : natural := Gain(DECIMATE_FACTOR, ORDER, N);
+ --
+ subtype reg_t is signed(REGISTER_SIZE-1 downto 0);
+ type reg_array_t is array (1 to ORDER) of reg_t;
+ --
+ signal data_d0_s : signed(DATA_INT_SIZE-1 downto 0);
+ signal data_se_s : reg_t := (others => '0');
+ --
+ signal int_data_s : reg_array_t;
+ signal int_out_s : reg_t := (others => '0');
+ -- Decimator state.
+ signal sample_s : std_logic := '0';
+ signal comb_data_s : reg_array_t;
+ signal comb_out_s : reg_t := (others => '0');
+begin
+
+ is_unsigned_format: if data_signed /= true generate
+ data_d0_s <= '0' & signed(data_i);
+ end generate is_unsigned_format;
+ is_signed_format: if data_signed = true generate
+ data_d0_s <= signed(data_i);
+ end generate is_signed_format;
+
+ -- -------------------------------------------------------------------------
+ -- Sign extension.
+ -- -------------------------------------------------------------------------
+ SE : process(data_d0_s)
+ begin
+ data_se_s(DATA_INT_SIZE-1 downto 0) <= data_d0_s;
+ for k in (REGISTER_SIZE-1) downto DATA_INT_SIZE loop
+ data_se_s(k) <= data_d0_s(data_d0_s'high);
+ end loop;
+ end process SE;
+
+ -- -------------------------------------------------------------------------
+ -- Integrator
+ -- -------------------------------------------------------------------------
+ GEN_INTEGRATOR: for i in 1 to ORDER generate
+ begin
+ -- Generate the first integrator filter.
+ GEN_INT_1 : if i = 1 generate
+ begin
+ INT_1 : entity work.integrator
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => data_se_s,
+ data_o => int_data_s(1)
+ );
+ end generate GEN_INT_1;
+ -- Generate the i'th integrator filter.
+ GEN_INT_I : if ((i > 1) and (i < ORDER)) generate
+ begin
+ INT_1 : entity work.integrator
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => int_data_s(i-1),
+ data_o => int_data_s(i)
+ );
+ end generate GEN_INT_I;
+ -- Generate the ORDER'th integrator filter.
+ GEN_INT_ORDER : if i = ORDER generate
+ begin
+ INT_1 : entity work.integrator
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => int_data_s(ORDER-1),
+ data_o => int_out_s
+ );
+ end generate GEN_INT_ORDER;
+ end generate GEN_INTEGRATOR;
+
+ -- -------------------------------------------------------------------------
+ -- Decimator
+ -- -------------------------------------------------------------------------
+ GeN_DECIMATOR : process(clk, reset)
+ variable count_v : integer range 0 to (DECIMATE_FACTOR-1) := 0;
+ begin
+ if reset = '0' then
+ count_v := 0;
+ sample_s <= '0';
+ elsif rising_edge(clk) then
+ if count_v >= (DECIMATE_FACTOR - 1) then
+ count_v := 0;
+ sample_s <= '1';
+ else
+ count_v := count_v + 1;
+ sample_s <= '0';
+ end if;
+ end if;
+ end process GEN_DECIMATOR;
+
+ -- -------------------------------------------------------------------------
+ -- Comb
+ -- -------------------------------------------------------------------------
+ GEN_COMB : for i in 1 to ORDER generate
+ begin
+ -- Generate the first comb filter.
+ GEN_COMB_1 : if i = 1 generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => int_out_s,
+ data_o => comb_data_s(i),
+ sample_i => sample_s
+ );
+ end generate GEN_COMB_1;
+ -- Generate the i'th comb filter.
+ GEN_COMB_I : if ((i > 1) and (i < ORDER)) generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => comb_data_s(i - 1),
+ data_o => comb_data_s(i),
+ sample_i => sample_s
+ );
+ end generate GEN_COMB_I;
+ -- Generate the ORDER'th comb filter.
+ GEN_COMB_ORDER : if i = ORDER generate
+ begin
+ CMB_1 : entity work.comb
+ generic map(
+ DATA_SIZE=>REGISTER_SIZE)
+ port map (
+ clk => clk,
+ data_i => comb_data_s(i - 1),
+ data_o => comb_out_s,
+ sample_i => sample_s
+ );
+ end generate GEN_COMB_ORDER;
+ end generate GEN_COMB;
+
+ -- Data output enable generation
+ data_en_o <= sample_s;
+
+ -- -------------------------------------------------------------------------
+ -- Remove DC Gain and final bit truncation.
+ -- -------------------------------------------------------------------------
+ data_o <= std_logic_vector(resize(shift_right(comb_out_s, SHIFT_GAIN), data_o'length)); --(DATA_OUT_SIZE - 1 downto DATA_OUT_SIZE-DATA_OUT_SIZE);
+
+end architecture rtl;
diff --git a/cicReal/hdl/comb.vhd b/cicReal/hdl/comb.vhd
new file mode 100644
index 0000000..dbaf8e1
--- /dev/null
+++ b/cicReal/hdl/comb.vhd
@@ -0,0 +1,42 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity comb is
+ generic (
+ DATA_SIZE : natural := 16
+ );
+ port (
+ clk : in std_ulogic;
+ data_i : in signed(DATA_SIZE-1 downto 0);
+ data_o : out signed(DATA_SIZE-1 downto 0);
+ sample_i : in std_ulogic
+ );
+end comb;
+
+architecture A of comb is
+ signal comb_r : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ signal comb_delay_r : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ signal comb_out : signed(DATA_SIZE-1 downto 0) := (others => '0');
+begin
+
+ process(clk)
+ variable comb_r_v : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ begin
+ if (rising_edge(clk)) then
+ if (sample_i = '1') then
+ comb_r_v := data_i;
+ comb_delay_r <= comb_r_v;
+ comb_out <= comb_r_v - comb_delay_r;
+ end if;
+ end if;
+ end process;
+
+ data_o <= comb_out;
+
+end A;
diff --git a/cicReal/hdl/common.vhd b/cicReal/hdl/common.vhd
new file mode 100644
index 0000000..19325bf
--- /dev/null
+++ b/cicReal/hdl/common.vhd
@@ -0,0 +1,74 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+package common is
+ --constant taps_hb_filter : integer := 32;
+ --constant data_width : integer := 24;
+ --constant coeff_width : integer := 24;
+
+ --type data_array is array (0 to taps_hb_filter - 1) of signed(data_width - 1 downto 0);
+ --type coeff_array is array (0 to taps_hb_filter - 1) of std_logic_vector(coeff_width - 1 downto 0);
+ --type product_array is array (0 to taps_hb_filter - 1) of signed((data_width + coeff_width) - 1 downto 0);
+
+ function Gain(R : natural; M : natural; N : natural) return natural;
+ function Bmax(Bin : natural; R : natural; M : natural; N : natural) return natural;
+ function calc_R_N(R : natural; N : natural) return real;
+ function sqrt(d : unsigned) return unsigned;
+end package;
+
+package body common is
+
+ function Gain(R : natural; M : natural; N : natural) return natural is
+ constant a : real := real(R * N);
+ constant x : real := real(a ** real(M));
+ begin
+ return natural(log2(x));
+ end function;
+
+ function Bmax(Bin : natural; R : natural; M : natural; N : natural) return natural is
+ constant a : real := real(R * N);
+ constant b : real := log2(a);
+ constant c : real := real(real(M) * b);
+ begin
+ return natural(c) + Bin;
+ end function;
+
+ function calc_R_N(R : natural; N : natural) return real is
+ begin
+ return real(R * N);
+ end function;
+
+ function sqrt(d : unsigned) return unsigned is
+ variable a : unsigned(31 downto 0) := d; --original input.
+ variable q : unsigned(15 downto 0) := (others => '0'); --result.
+ variable left, right, r : unsigned(17 downto 0) := (others => '0'); --input to adder/sub.r-remainder.
+ variable i : integer := 0;
+ begin
+ for i in 0 to 15 loop
+ right(0) := '1';
+ right(1) := r(17);
+ right(17 downto 2) := q;
+ left(1 downto 0) := a(31 downto 30);
+ left(17 downto 2) := r(15 downto 0);
+ a(31 downto 2) := a(29 downto 0); --shifting by 2 bit.
+
+ if (r(17) = '1') then
+ r := left + right;
+ else
+ r := left - right;
+ end if;
+
+ q(15 downto 1) := q(14 downto 0);
+ q(0) := not r(17);
+ end loop;
+
+ return q;
+ end sqrt;
+end package body;
diff --git a/cicReal/hdl/firReal_top.vhd b/cicReal/hdl/firReal_top.vhd
new file mode 100644
index 0000000..df8d239
--- /dev/null
+++ b/cicReal/hdl/firReal_top.vhd
@@ -0,0 +1,208 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: OscillatorIMP Digital
+-- Author : Gwenhael Goavec-Merou
+-- Creation date : 2018/05/26
+---------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+
+Entity firReal_top is
+ generic (
+ coeff_format : string := "signed";
+ data_signed : boolean := true;
+ NB_COEFF : natural := 128;
+ DECIMATE_FACTOR : natural := 32;
+ COEFF_SIZE : natural := 16;
+ COEFF_ADDR_SZ : natural := 10;
+ DATA_SIZE : natural := 16;
+ DATA_OUT_SIZE : natural := 32
+ );
+ port (
+ -- Syscon signals
+ reset : in std_logic;
+ clk : in std_logic;
+ clk_axi : in std_logic;
+ -- coeff configuration
+ wr_coeff_en_i : in std_logic;
+ wr_coeff_addr_i : in std_logic_vector(COEFF_ADDR_SZ-1 downto 0);
+ wr_coeff_val_i : in std_logic_vector(COEFF_SIZE-1 downto 0);
+ -- input data
+ data_en_i : in std_logic;
+ data_i : in std_logic_vector(DATA_SIZE-1 downto 0);
+ -- for the next component
+ data_o : out std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ data_en_o : out std_logic
+ );
+end entity;
+
+---------------------------------------------------------------------------
+Architecture bhv of firReal_top is
+---------------------------------------------------------------------------
+ function getIntInputSize(data_in_size : natural; is_signed: boolean) return natural is
+ begin
+ if (is_signed) then
+ return data_in_size;
+ end if;
+ return data_in_size + 1;
+ end function getIntInputSize;
+ constant DATA_INT_SIZE : natural := getIntInputSize(DATA_SIZE, data_signed);
+
+ constant NB_THREAD : natural :=
+ natural(ceil(real(real(NB_COEFF)/real(DECIMATE_FACTOR))));
+ constant READY_SZ : natural := NB_THREAD * DECIMATE_FACTOR;
+ signal ready_s, ready_next_s : std_logic_vector(READY_SZ-1 downto 0);
+ signal end_macc_s : std_logic;
+ signal end_s, end_next_s : std_logic_vector(READY_SZ-1 downto 0);
+
+ signal cpt_s : natural range 0 to READY_SZ-1;
+ signal cpt_next_s, mux_cpt_s : natural range 0 to READY_SZ-1;
+ signal rst_cpt_s : std_logic;
+ signal rd_coeff_addr_s: std_logic_vector(COEFF_ADDR_SZ-1 downto 0);
+
+ signal coeff_s : std_logic_vector(COEFF_SIZE-1 downto 0);
+
+ type data_tab is array (natural range <>) of std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ type coeff_tab is array (natural range <>) of std_logic_vector(COEFF_SIZE-1 downto 0);
+
+
+ signal coeff_tab_s, coeff_tab_next_s : coeff_tab(READY_SZ-1 downto 0);
+ signal coeff2_tab_s : coeff_tab(READY_SZ-1 downto 0);
+
+ signal data_in_en_s : std_logic;
+ signal data_d0_i : std_logic_vector(DATA_INT_SIZE-1 downto 0);
+ signal data_in_s : std_logic_vector(DATA_INT_SIZE-1 downto 0);
+ signal end_delay_macc_s : std_logic;
+
+ -- last
+ signal cpt_store_s, cpt_store_next_s : natural range 0 to NB_THREAD-1;
+ signal clr_cpt_store_s : std_logic;
+ signal data_out_s, data_out_next_s : std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+ signal data_s : data_tab(NB_THREAD-1 downto 0);
+ signal data_en_s : std_logic_vector(NB_THREAD-1 downto 0);
+ signal data_en_next : std_logic;
+begin
+
+ ready_next_s <= ready_s(READY_SZ-2 downto 0) & ready_s(READY_SZ-1);
+ end_next_s <= end_s(READY_SZ-2 downto 0) & end_delay_macc_s;
+
+ coeff_tab_next_s(0) <= coeff_s;
+ coeff_tab_next_s(READY_SZ-1 downto 1) <= coeff_tab_s(READY_SZ-2 downto 0);
+
+ is_unsigned_format: if data_signed /= true generate
+ data_d0_i <= '0' & data_i;
+ end generate is_unsigned_format;
+ is_signed_format: if data_signed = true generate
+ data_d0_i <= data_i;
+ end generate is_signed_format;
+
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ data_in_en_s <= '0';
+ end_delay_macc_s <= '0';
+ data_in_s <= (others => '0');
+ else
+ data_in_en_s <= data_en_i;
+ end_delay_macc_s <= end_macc_s;
+ data_in_s <= data_d0_i;
+ end if;
+ if reset = '1' then
+ ready_s <= (READY_SZ-1 downto 1 => '0') & '1';
+ end_s <= (others => '0');
+ coeff_tab_s <= (others => (others => '0'));
+ elsif data_in_en_s = '1' then
+ ready_s <= ready_next_s;
+ end_s <= end_next_s;
+ coeff_tab_s <= coeff_tab_next_s;
+ else
+ ready_s <= ready_s;
+ end_s <= end_s;
+ coeff_tab_s <= coeff_tab_s;
+ end if;
+ end if;
+ end process;
+
+ gen_macc : for i in 0 to NB_THREAD-1 generate
+ logic_inst: entity work.firReal_proc
+ generic map (NB_COEFF => NB_COEFF,
+ coeff_format => coeff_format,
+ DATA_SIZE => DATA_INT_SIZE, DATA_OUT_SIZE => DATA_OUT_SIZE,
+ COEFF_SIZE => COEFF_SIZE)
+ port map (reset => reset, clk => clk,
+ end_i => end_s(i*DECIMATE_FACTOR),
+ ready_i => ready_s(i*DECIMATE_FACTOR),
+ coeff_i => coeff_tab_s(i*DECIMATE_FACTOR),
+ data_en_i => data_in_en_s, data_i => data_in_s,
+ data_en_o => data_en_s(i), data_o => data_s(i)
+ );
+ end generate gen_macc;
+
+ end_macc_s <= '1' when cpt_s = NB_COEFF-1 else '0';
+ rst_cpt_s <= '1' when cpt_s = READY_SZ-1 else '0';
+
+ cpt_next_s <= 0 when cpt_s = READY_SZ-1 else cpt_s + 1;
+ mux_cpt_s <= cpt_next_s when rst_cpt_s = '0' else 0;
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ cpt_s <= 0;
+ elsif data_en_i = '1' then
+ cpt_s <= mux_cpt_s;
+ else
+ cpt_s <= cpt_s;
+ end if;
+ end if;
+ end process;
+
+ data_en_next <= '1' when data_en_s /= (NB_THREAD-1 downto 0 => '0') else '0';
+
+ clr_cpt_store_s <= '1' when cpt_store_s = NB_THREAD-1 else '0';
+ cpt_store_next_s <= 0 when clr_cpt_store_s = '1' or cpt_store_s = NB_THREAD-1
+ else cpt_store_s + 1;
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ cpt_store_s <= 0;
+ elsif data_en_next = '1' then
+ cpt_store_s <= cpt_store_next_s;
+ else
+ cpt_store_s <= cpt_store_s;
+ end if;
+ end if;
+ end process;
+
+ data_out_next_s <= data_s(cpt_store_s);
+
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ data_en_o <= '0';
+ else
+ data_en_o <= data_en_next;
+ end if;
+ if reset = '1' then
+ data_out_s <= (others => '0');
+ elsif data_en_next = '1' then
+ data_out_s <= data_out_next_s;
+ else
+ data_out_s <= data_out_s;
+ end if;
+ end if;
+ end process;
+
+ data_o <= data_out_s;
+
+ rd_coeff_addr_s <= std_logic_vector(to_unsigned(cpt_s, COEFF_ADDR_SZ));
+
+ ram_coeff: entity work.firReal_ram
+ generic map (DATA => COEFF_SIZE, ADDR => COEFF_ADDR_SZ)
+ port map(clk_a => clk_axi, clk_b => clk,
+ we_a => wr_coeff_en_i, addr_a => wr_coeff_addr_i,
+ din_a => wr_coeff_val_i,
+ addr_b => rd_coeff_addr_s, dout_b => coeff_s
+ );
+
+end architecture bhv;
+
diff --git a/cicReal/hdl/integrate.vhd b/cicReal/hdl/integrate.vhd
new file mode 100644
index 0000000..c078ad0
--- /dev/null
+++ b/cicReal/hdl/integrate.vhd
@@ -0,0 +1,35 @@
+---------------------------------------------------------------------------
+-- (c) Copyright: FemtoEngineering
+-- Author : Benoit Dubois
+-- Creation date : 2024/04/15
+---------------------------------------------------------------------------
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity integrator is
+ generic (
+ DATA_SIZE : natural := 16
+ );
+ port (
+ clk : in std_ulogic;
+ data_i : in signed(DATA_SIZE-1 downto 0);
+ data_o : out signed(DATA_SIZE-1 downto 0)
+ );
+end integrator;
+
+architecture A of integrator is
+ signal int_r : signed(DATA_SIZE-1 downto 0) := (others => '0');
+ signal int_out : signed(DATA_SIZE-1 downto 0) := (others => '0');
+begin
+
+ process(clk)
+ begin
+ if (rising_edge(clk)) then
+ int_r <= data_i;
+ int_out <= int_r + int_out;
+ end if;
+ end process;
+
+ data_o <= int_out;
+end A;
diff --git a/cicReal/simulation/.gitignore b/cicReal/simulation/.gitignore
new file mode 100644
index 0000000..b0d4b03
--- /dev/null
+++ b/cicReal/simulation/.gitignore
@@ -0,0 +1,10 @@
+result.txt
+fir16
+*.log
+*.xmsgs
+*.cmd
+*.wdd
+*.wcfg
+isim
+*.wdb
+simu
diff --git a/cicReal/simulation/Makefile b/cicReal/simulation/Makefile
new file mode 100644
index 0000000..56d04b4
--- /dev/null
+++ b/cicReal/simulation/Makefile
@@ -0,0 +1,43 @@
+PROJECT=cicReal
+TESTBENCH_FILE=$(PROJECT)_tb.py
+#SIMTOP =$(PROJECT)_tb
+
+GHDL=ghdl
+GHDLFLAGS=--workdir=simu --work=work
+GHDLRUNFLAGS=--assert-level=error
+GHDL_SIMU_FLAGS = --ieee=synopsys --warn-no-vital-generic --warn-default-binding
+FILES=../hdl/firReal_ram.vhd ../hdl/firReal_proc.vhd ../hdl/firReal_top.vhd
+FILES+=ram_storage16.vhd readFromFile.vhd
+#FILES=../readFromFile.vhd ../ram_storage16.vhd \
+# ../../hdl/firReal_dspmanu.vhd \
+# ../../hdl/firReal_reg_delay.vhd \
+# ../../hdl/firReal_cpt.vhd \
+# ../../hdl/firReal_ram.vhd \
+# ../../hdl/firReal_proc.vhd \
+# ../../hdl/firReal_global.vhd \
+# ../../hdl/firReal_ng_top.vhd
+SIMDIR=simu
+
+VIEW_CMD = gtkwave
+
+all : run
+
+# Run target
+run:$(SIMDIR)/$(SIMTOP).ghw
+$(SIMDIR)/$(SIMTOP).ghw: $(SIMDIR)/work-obj93.cf
+ $(GHDL) -c $(GHDLFLAGS) -e $(SIMTOP)
+ $(GHDL) -c $(GHDLFLAGS) -r $(SIMTOP) $(GHDLRUNFLAGS) \
+ --vcdgz=$(SIMDIR)/$(SIMTOP).vcdgz --wave=$(SIMDIR)/$(SIMTOP).ghw
+
+# Targets to analyze libraries
+$(SIMDIR)/work-obj93.cf:$(FILES) $(TESTBENCH_FILE)
+ mkdir -p $(SIMDIR)
+ $(GHDL) -a $(GHDLFLAGS) $(GHDL_SIMU_FLAGS) $(FILES) $(TESTBENCH_FILE)
+
+ghdl-view: $(SIMDIR)/$(SIMTOP).ghw
+ $(VIEW_CMD) $(SIMDIR)/$(SIMTOP).ghw -a $(PROJECT).gtkw
+
+clean:
+ $(GHDL) --clean --workdir=simu
+ -rm -rf simu
+force:
diff --git a/cicReal/simulation/data2q.dat b/cicReal/simulation/data2q.dat
new file mode 100644
index 0000000..1f0e85f
--- /dev/null
+++ b/cicReal/simulation/data2q.dat
@@ -0,0 +1,1024 @@
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
diff --git a/cicReal/simulation/dataq.dat b/cicReal/simulation/dataq.dat
new file mode 100644
index 0000000..1f0e85f
--- /dev/null
+++ b/cicReal/simulation/dataq.dat
@@ -0,0 +1,1024 @@
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
diff --git a/cicReal/simulation/readFromFile.vhd b/cicReal/simulation/readFromFile.vhd
new file mode 100644
index 0000000..a45ec8c
--- /dev/null
+++ b/cicReal/simulation/readFromFile.vhd
@@ -0,0 +1,80 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+USE std.textio.ALL;
+--use work.sp_vision_test_pkg.all;
+
+entity readFromFile is
+generic (
+ DATA_SIZE : natural := 16;
+ ADDR_SIZE : natural := 10;
+ filename : string := ""
+);
+port (
+ reset : in std_logic;
+ clk : in std_logic;
+ sl_clk_i : in std_logic;
+ --fichier : in text;
+
+ start_read_i : in std_logic;
+ data_o : out std_logic_vector(DATA_SIZE-1 downto 0);
+ addr_o : out std_logic_vector(ADDR_SIZE-1 downto 0);
+ data_en_o : out std_logic;
+ end_of_read_o : out std_logic
+);
+end entity readFromFile;
+
+architecture RTL of readFromFile is
+ file fichier: text open read_mode is filename;
+ signal counter_s : natural range 0 to 2**ADDR_SIZE-1;
+ signal read_data_val_s : std_logic_vector(DATA_SIZE-1 downto 0);
+ signal read_data_en_s : std_logic;
+ signal end_read_s : std_logic;
+begin
+ data_o <= read_data_val_s;
+ addr_o <= std_logic_vector(to_unsigned(counter_s,ADDR_SIZE));
+ data_en_o <= read_data_en_s;
+ end_of_read_o <= end_read_s;
+
+ read_data : process(clk, reset)
+ variable vectorline : line;
+ variable content : integer;
+ begin
+ if (reset = '1') then
+ read_data_en_s <= '0';
+ read_data_val_s <= (others => '0');
+ read_data_val_s <= (others => '0');
+ end_read_s <= '0';
+ elsif rising_edge(clk) then
+ end_read_s <= end_read_s;
+ read_data_en_s <= '0';
+ if sl_clk_i = '1' and start_read_i = '1' then
+ if not endfile(fichier) then
+ readline(fichier, vectorline);
+ read(vectorline, content);
+ read_data_val_s <= std_logic_vector(to_signed(content,DATA_SIZE));
+ read_data_en_s <= '1';
+ else
+ end_read_s <= '1';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ counter_coeff_storage : process(clk, reset)
+ begin
+ if reset = '1' then
+ counter_s <= 0;
+ elsif rising_edge(clk) then
+ counter_s <= counter_s;
+ if read_data_en_s = '1' then
+ if counter_s = (2**ADDR_SIZE)-1 then
+ counter_s <= 0;
+ else
+ counter_s <= counter_s + 1;
+ end if;
+ end if;
+ end if;
+ end process;
+
+end architecture RTL;
diff --git a/cicReal/simulation/test/cicReal_tb.py b/cicReal/simulation/test/cicReal_tb.py
new file mode 100644
index 0000000..8ea86ec
--- /dev/null
+++ b/cicReal/simulation/test/cicReal_tb.py
@@ -0,0 +1,343 @@
+import os
+import random
+import math
+import logging
+import pathlib
+import numpy as np
+import matplotlib.pyplot as plt
+from scipy.signal import lfilter
+import cocotb
+from cocotb.clock import Clock
+from cocotb.triggers import Timer, RisingEdge, FallingEdge
+from cocotb.types import LogicArray
+from cocotb.runner import get_runner, Simulator
+
+np.seterr(divide='ignore', invalid='ignore');
+
+NORMALIZE_FREQUENCY = False
+
+CLOCK_PERIOD = 8 # in ns
+
+Bin = 16 # Input sample precision
+DECIMATE_FACTOR = 64 # decimate or interpolation ratio
+ORDER = 3 # Number of stages in filter
+N = 1 # Number of samples per stage (usually 1)
+Bout = 23 #M * int(round(math.log(R) / math.log(2))) + Bin # Output sample precision
+
+FS = 125e6 # Sampling frequency (in Hz)
+
+# ============================================================================
+async def reset_dut(reset_n, clk, duration):
+ reset_n.value = 1
+ await FallingEdge(clk)
+ reset_n.value = 0
+ await Timer(duration)
+ await RisingEdge(clk)
+ reset_n.value = 1
+ reset_n._log.debug("Reset complete")
+
+
+# ============================================================================
+#@cocotb.test()
+async def cic_simple_test(dut):
+ """Test that input propagates to output"""
+ FREQ_IN = 10 # in Hz
+
+ print("Begin cic_simple_test()")
+
+ # Set initial input value to prevent it from floating
+ dut.data_i.value = 0
+
+ clock = Clock(dut.clk, CLOCK_PERIOD, units="ns")
+ # Start the clock. Start it low to avoid issues on the first RisingEdge
+ cocotb.start_soon(clock.start(start_high=False))
+
+ # Reset DUT
+ await reset_dut(dut.rst_n, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ # Synchronize with the clock. This will regisiter the initial input value
+ await RisingEdge(dut.clk)
+ #expected_val = 0 # Matches initial input value
+ for i in range(1000):
+ val = int(2**(Bin-3) * math.sin(2*3.14*FREQ_IN*CLOCK_PERIOD*i))
+ dut.data_i.value = val # Assign the random value val to the input port
+ await RisingEdge(dut.clk)
+ #assert dut.data_o.value == expected_val, f"output was incorrect on the {i}th cycle"
+ #expected_val = val # Save random value for next RisingEdge
+
+ # Check the final input on the next clock
+ await RisingEdge(dut.clk)
+ #assert dut.q.value == expected_val, "output q was incorrect on the last cycle"
+
+
+# ============================================================================
+def wave(amp, f, fs, clks):
+ clks = np.arange(0, clks)
+ sample = np.rint(amp*np.sin(2.0*np.pi*f/fs*clks))
+ return sample
+
+def predictor(signal,coefs):
+ output = lfilter(coefs,1.0,signal)
+ return output
+
+def cic_decimator(source, decimate_factor=DECIMATE_FACTOR, order=ORDER, ibits=Bin, obits=Bout):
+ """'Theoritical' CIC decimator.
+ From https://stackoverflow.com/questions/21879676/filtering-signal-with-python-lfilter
+ """
+ # Calculate the total number of bits used internally, and the output
+ # shift and mask required.
+ numbits = order * int(round(math.log(decimate_factor) / math.log(2))) + ibits
+ shift_gain = int(np.log2((decimate_factor * N)**order))
+ outmask = (1 << obits) - 1
+
+ # If we need more than 64 bits, we can't do it...
+ assert numbits <= 64
+
+ # Create a numpy array with the source
+ result = np.array(source, np.int64)
+
+ # Do the integration stages
+ for i in range(order):
+ result.cumsum(out=result)
+
+ # Decimate
+ result = np.array(result[ : : decimate_factor])
+
+ # Do the comb stages. Iterate backwards through the array,
+ # because we use each value before we replace it.
+ for i in range(order):
+ result[len(result) - 1 : 0 : -1] -= result[len(result) - 2 : : -1]
+
+ # Normalize the output
+ result = np.int64(result) >> shift_gain
+
+ result &= outmask
+ return result
+
+
+@cocotb.test()
+async def cic_filter_frequency_response_test(dut):
+ """Analyze filter frequency response.
+ """
+ # Initialize
+ dut.data_i.value = 0
+ if NORMALIZE_FREQUENCY is True:
+ fs = 1
+ else:
+ fs = FS
+ num_clks = 2048 #Â Length of stimuli input
+ nfft = num_clks;
+ ##f0 = 50*(1.0/nfft)
+
+ # Check generic parameter values consistency between DUT and simulator
+ assert int(dut.DECIMATE_FACTOR) == DECIMATE_FACTOR, ("Generic value mismatch: DECIMATE_FACTOR")
+ assert int(dut.ORDER) == ORDER, ("Generic value mismatch: ORDER")
+ assert int(dut.N) == N, ("Generic value mismatch: N")
+
+ # stimuli input -> Impulse
+ impulse_amplitude = 1024 # 2**(Bin-1)-1
+ input_signal = [impulse_amplitude]+[0]*(nfft)
+
+ # bit accurate predictor values
+ cic_theo_response = cic_decimator(input_signal)
+ # Repeat data sample to handle input vs output data number mismatch
+ # due to decimate.
+ cic_theo_response = np.repeat(cic_theo_response, DECIMATE_FACTOR)
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, 1, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ cic_real_response = np.zeros(int(num_clks))
+ # run through each clock
+ for samp in range(num_clks):
+ await RisingEdge(dut.clk)
+ # get the output at rising edge
+ dut_data_out = dut.data_o.value.signed_integer
+
+ # feed a new input in
+ dut.data_i.value = int(input_signal[samp])
+
+ cic_real_response[samp] = dut_data_out
+
+ # wait until reset is over, then start the assertion checking
+ #if(cnt>=2):
+ # assert dut_data_out == data_out_pred[cnt-2], "filter result is incorrect: %d != %d" % (dut_data_out, data_out_pred[cnt-2])
+
+ cic_theo_fft = 20*np.log10(np.abs(np.fft.fft(cic_theo_response)))
+ cic_real_fft = 20*np.log10(np.abs(np.fft.fft(cic_real_response)))
+
+ time_max_idx = 100
+ plt.figure(1)
+ #plt.subplot(1,2,1)
+ plt.plot(input_signal[:time_max_idx])
+ plt.plot(cic_real_response[:time_max_idx], marker='x')
+ plt.plot(cic_theo_response[:time_max_idx], marker='o')
+ plt.legend(['Impulse', 'DUT', 'Theory'])
+ plt.title('Time domain: Impulse response')
+ #plt.subplot(1,2,2)
+ #plt.stem(cic_real_response[2:-1]-cic_theo_response)
+ #plt.stem(cic_real_response-cic_theo_response)
+ #plt.title('error : DUT - Golden Reference')
+
+ if NORMALIZE_FREQUENCY is True:
+ xaxis = np.arange(0, 0.5, 1/nfft)
+ else:
+ xaxis = np.arange(0, fs/2, fs/nfft)
+
+ plt.figure(2)
+ plt.plot(xaxis, cic_real_fft[0:int(nfft/2)], marker='x')
+ plt.plot(xaxis, cic_theo_fft[0:int(nfft/2)], marker='o')
+ plt.grid()
+ if NORMALIZE_FREQUENCY is True:
+ plt.xlabel('Normalized Frequency')
+ plt.xlim([0, .5])
+ else:
+ plt.xlabel('Absolute Frequency')
+ plt.xlim([0, fs/2])
+ plt.ylabel('dB')
+
+ #plt.ylim([min(cic_real_fft),max(max(cic_theo_fft), max(cic_real_fft))])
+ plt.legend(['DUT', 'Theory'])
+ plt.title('Filter frequency Domain Response')
+
+ plt.show()
+
+
+#@cocotb.test()
+async def cic_IO_frequency_reponse_test(dut):
+ """Analyse input to output filter response to a sinus wave signal.
+ """
+ #initialize
+ dut.data_i.value = 0
+ fs = 1
+ amp0 = 80
+ num_clks = 512
+ nfft = num_clks;
+ f0 = 50*(1.0/nfft)
+ coefs = np.array([-1., -7., -4., 4., 18., 32., 38., 32., 18., 4., -4., -7., -1.])
+ cnt = 0
+
+ # input data
+ input_signal = wave(amp0, f0, fs, num_clks) + wave(amp0/2, 200.5*(1.0/nfft), fs, num_clks)
+
+ # bit accurate predictor values
+ #data_out_fir_pred = predictor(input_signal, coefs)
+ data_out_pred = cic_decimator(input_signal)
+
+ # start simulator clock
+ cocotb.start_soon(Clock(dut.clk, 1, units="ns").start())
+
+ # Reset DUT
+ await reset_dut(dut.reset, dut.clk, 20)
+ print("!!! After reset !!! ")
+
+ output_signal = np.zeros(int(num_clks / R))
+
+ # run through each clock
+ for samp in range(num_clks):
+ await RisingEdge(dut.clk)
+ # get the output at rising edge
+ dut_data_out = dut.data_o.value.signed_integer
+
+ # feed a new input in
+ dut.data_i.value = int(input_signal[samp])
+
+ # Get output result rated with decimate ratio
+ if samp % 8 == 0:
+ output_signal[cnt] = dut_data_out
+
+ # wait until reset is over, then start the assertion checking
+ #if(cnt>=2):
+ # assert dut_data_out == data_out_pred[cnt-2], "filter result is incorrect: %d != %d" % (dut_data_out, data_out_pred[cnt-2])
+ cnt = cnt + 1
+
+ # CIC filter frequency response
+ impulse = [1]+[0]*(nfft)
+ cic_theo_response = np.array(cic_decimator(impulse, R))
+
+ in_fft = np.fft.fftshift(20*np.log10(np.abs(np.fft.fft(input_signal, nfft))))
+ out_fft = np.fft.fftshift(20*np.log10(np.abs(np.fft.fft(output_signal[2:], nfft))))
+ pred_fft = np.fft.fftshift(20*np.log10(np.abs(np.fft.fft(data_out_pred[:-2], nfft))))
+ #filt_fft = np.fft.fftshift(20*np.log10(np.abs(np.fft.fft(coefs/sum(coefs), nfft))))
+ filt_fft = 20*np.log10(np.fft.fft(cic_theo_response))
+
+ # normalize FFTs lazy style
+ in_fft = in_fft - np.max(in_fft)
+ out_fft = out_fft - np.max(out_fft)
+ pred_fft = pred_fft - np.max(pred_fft)
+ xaxis = np.arange(-0.5, 0.5, 1/nfft)
+
+ plt.figure(1)
+ plt.subplot(1,2,1)
+ plt.plot(output_signal[2:], marker='x')
+ plt.plot(data_out_pred[:-2], marker='o')
+ plt.legend(['DUT', 'Theory'])
+ plt.title('time domain')
+ plt.subplot(1,2,2)
+ plt.stem(output_signal[2:]-data_out_pred[:-2])
+ plt.title('error : DUT - Golden Reference')
+
+ plt.figure(2)
+ plt.subplot(2,1,1)
+ #plt.plot(xaxis, in_fft)
+ plt.plot(xaxis, filt_fft)
+ plt.title('Input to DUT: Frequency Domain Response')
+ #plt.legend(['input', 'filter'])
+ plt.subplot(2,1,2)
+ plt.plot(xaxis, out_fft, marker='x')
+ plt.plot(xaxis, pred_fft, marker='o')
+ plt.title('Output of DUT: Frequency Domain Response')
+ plt.plot(xaxis, filt_fft)
+ plt.grid()
+ plt.xlabel('Normalized Frequency')
+ plt.ylabel('dB')
+ plt.title('Filter Response')
+ plt.xlim([-.5, .5])
+ plt.legend(['output', 'pred', 'filter'])
+ plt.show()
+
+
+# ============================================================================
+def cic_tb_runner():
+ print("Begin cic_tb_runner()")
+ hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "vhdl")
+ sim = os.getenv("SIM", "ghdl")
+
+ proj_path = pathlib.Path(__file__).resolve().parent
+ vhdl_sources = [
+ proj_path / "../../hdl/common.vhd",
+ proj_path / "../../hdl/comb.vhd",
+ proj_path / "../../hdl/integrate.vhd",
+ proj_path / "../../hdl/cicReal_top.vhd",
+ ]
+
+ runner = get_runner(sim)
+
+ print("Build HDL")
+ runner.build(
+ vhdl_sources=vhdl_sources,
+ hdl_toplevel="cicreal_top",
+ parameters={"DECIMATE_FACTOR": DECIMATE_FACTOR, "ORDER": ORDER},
+ always=True,
+ build_args=['--ieee=synopsys', '-fexplicit',],
+ )
+
+ print("Start test")
+ runner.test(
+ hdl_toplevel="cicreal_top",
+ test_module="cicReal_tb,",
+ waves=True,
+ test_args=['--ieee=synopsys', '-fexplicit', '-v'],
+ #test_args=['-gDEC_RATIO=64', '--ieee=synopsys', '-fexplicit',],
+ plusargs=['--vcd=cic_waves.vcd',],
+ )
+
+
+# ============================================================================
+if __name__ == "__main__":
+ cic_tb_runner()
\ No newline at end of file
diff --git a/cicReal/simulation/test/gtkwave_cic_tb.cfg.gtkw b/cicReal/simulation/test/gtkwave_cic_tb.cfg.gtkw
new file mode 100644
index 0000000..7030df9
--- /dev/null
+++ b/cicReal/simulation/test/gtkwave_cic_tb.cfg.gtkw
@@ -0,0 +1,50 @@
+[*]
+[*] GTKWave Analyzer v3.3.116 (w)1999-2023 BSI
+[*] Fri Apr 12 09:46:31 2024
+[*]
+[dumpfile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/simulation/test/sim_build/cic_waves.vcd"
+[dumpfile_mtime] "Fri Apr 12 09:43:25 2024"
+[dumpfile_size] 766324
+[savefile] "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/simulation/test/gtkwave_cic_tb.cfg.gtkw"
+[timestart] 0
+[size] 1872 1152
+[pos] -46 -46
+*-28.019623 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] cicreal_top.
+[treeopen] cicreal_top.comb_cascade(1).
+[treeopen] cicreal_top.comb_cascade(1).gen_comb_1.
+[treeopen] cicreal_top.comb_cascade(2).
+[treeopen] cicreal_top.comb_cascade(2).gen_comb_i.
+[treeopen] cicreal_top.comb_cascade(3).
+[treeopen] cicreal_top.comb_cascade(3).gen_comb_order.
+[treeopen] cicreal_top.int_cascade(1).
+[treeopen] cicreal_top.int_cascade(1).gen_int_1.
+[treeopen] cicreal_top.int_cascade(2).
+[treeopen] cicreal_top.int_cascade(2).gen_int_i.
+[treeopen] cicreal_top.int_cascade(3).
+[treeopen] cicreal_top.int_cascade(3).gen_int_order.
+[sst_width] 209
+[signals_width] 126
+[sst_expanded] 1
+[sst_vpaned_height] 347
+@28
+cicreal_top.clk
+cicreal_top.reset
+@22
+cicreal_top.data_i[15:0]
+@28
+cicreal_top.data_en_i
+@421
+cicreal_top.int_cascade(1).gen_int_1.int_1.data_i[33:0]
+cicreal_top.int_cascade(1).gen_int_1.int_1.data_o[33:0]
+cicreal_top.int_cascade(2).gen_int_i.int_1.data_o[33:0]
+cicreal_top.int_cascade(3).gen_int_order.int_1.data_o[33:0]
+@28
+cicreal_top.sample_s
+@421
+cicreal_top.comb_cascade(1).gen_comb_1.cmb_1.data_o[33:0]
+cicreal_top.comb_cascade(2).gen_comb_i.cmb_1.data_o[33:0]
+cicreal_top.comb_cascade(3).gen_comb_order.cmb_1.data_o[33:0]
+cicreal_top.data_o[22:0]
+[pattern_trace] 1
+[pattern_trace] 0
diff --git a/cicReal/simulation/test/sim_build/cic_waves.vcd b/cicReal/simulation/test/sim_build/cic_waves.vcd
new file mode 100644
index 0000000..79f852d
--- /dev/null
+++ b/cicReal/simulation/test/sim_build/cic_waves.vcd
@@ -0,0 +1,49799 @@
+$date
+ Fri Apr 12 11:43:20 2024
+$end
+$version
+ GHDL v0
+$end
+$timescale
+ 1 fs
+$end
+$scope module standard $end
+$upscope $end
+$scope module std_logic_1164 $end
+$upscope $end
+$scope module numeric_std $end
+$upscope $end
+$scope module math_real $end
+$upscope $end
+$scope module common $end
+$upscope $end
+$scope module cicreal_top $end
+$var reg 1 ! reset $end
+$var reg 1 " clk $end
+$var reg 1 # clk_axi $end
+$var reg 1 $ data_en_i $end
+$var reg 16 % data_i[15:0] $end
+$var reg 23 & data_o[22:0] $end
+$var reg 1 ' data_en_o $end
+$var reg 16 ( data_d0_s[15:0] $end
+$var reg 34 ) data_se_s[33:0] $end
+$comment int_data_s is not handled $end
+$var reg 34 * int_out_s[33:0] $end
+$var reg 1 + sample_s $end
+$comment comb_data_s is not handled $end
+$var reg 34 , comb_out_s[33:0] $end
+$scope module is_signed_format $end
+$upscope $end
+$scope module int_cascade(1) $end
+$scope module gen_int_1 $end
+$scope module int_1 $end
+$var reg 1 - clk $end
+$var reg 34 . data_i[33:0] $end
+$var reg 34 / data_o[33:0] $end
+$var reg 34 0 int_r[33:0] $end
+$var reg 34 1 int_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module int_cascade(2) $end
+$scope module gen_int_i $end
+$scope module int_1 $end
+$var reg 1 2 clk $end
+$var reg 34 3 data_i[33:0] $end
+$var reg 34 4 data_o[33:0] $end
+$var reg 34 5 int_r[33:0] $end
+$var reg 34 6 int_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module int_cascade(3) $end
+$scope module gen_int_order $end
+$scope module int_1 $end
+$var reg 1 7 clk $end
+$var reg 34 8 data_i[33:0] $end
+$var reg 34 9 data_o[33:0] $end
+$var reg 34 : int_r[33:0] $end
+$var reg 34 ; int_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module comb_cascade(1) $end
+$scope module gen_comb_1 $end
+$scope module cmb_1 $end
+$var reg 1 < clk $end
+$var reg 34 = data_i[33:0] $end
+$var reg 34 > data_o[33:0] $end
+$var reg 1 ? sample_i $end
+$var reg 34 @ comb_r[33:0] $end
+$var reg 34 A comb_delay_r[33:0] $end
+$var reg 34 B comb_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module comb_cascade(2) $end
+$scope module gen_comb_i $end
+$scope module cmb_1 $end
+$var reg 1 C clk $end
+$var reg 34 D data_i[33:0] $end
+$var reg 34 E data_o[33:0] $end
+$var reg 1 F sample_i $end
+$var reg 34 G comb_r[33:0] $end
+$var reg 34 H comb_delay_r[33:0] $end
+$var reg 34 I comb_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module comb_cascade(3) $end
+$scope module gen_comb_order $end
+$scope module cmb_1 $end
+$var reg 1 J clk $end
+$var reg 34 K data_i[33:0] $end
+$var reg 34 L data_o[33:0] $end
+$var reg 1 M sample_i $end
+$var reg 34 N comb_r[33:0] $end
+$var reg 34 O comb_delay_r[33:0] $end
+$var reg 34 P comb_out[33:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$enddefinitions $end
+#0
+1!
+1"
+U#
+U$
+b0000000000000000 %
+b00000000000000000000000 &
+0'
+b0000000000000000 (
+b0000000000000000000000000000000000 )
+b0000000000000000000000000000000000 *
+0+
+b0000000000000000000000000000000000 ,
+1-
+b0000000000000000000000000000000000 .
+b0000000000000000000000000000000000 /
+b0000000000000000000000000000000000 0
+b0000000000000000000000000000000000 1
+12
+b0000000000000000000000000000000000 3
+b0000000000000000000000000000000000 4
+b0000000000000000000000000000000000 5
+b0000000000000000000000000000000000 6
+17
+b0000000000000000000000000000000000 8
+b0000000000000000000000000000000000 9
+b0000000000000000000000000000000000 :
+b0000000000000000000000000000000000 ;
+1<
+b0000000000000000000000000000000000 =
+b0000000000000000000000000000000000 >
+0?
+b0000000000000000000000000000000000 @
+b0000000000000000000000000000000000 A
+b0000000000000000000000000000000000 B
+1C
+b0000000000000000000000000000000000 D
+b0000000000000000000000000000000000 E
+0F
+b0000000000000000000000000000000000 G
+b0000000000000000000000000000000000 H
+b0000000000000000000000000000000000 I
+1J
+b0000000000000000000000000000000000 K
+b0000000000000000000000000000000000 L
+0M
+b0000000000000000000000000000000000 N
+b0000000000000000000000000000000000 O
+b0000000000000000000000000000000000 P
+#500000
+0!
+0"
+0-
+02
+07
+0<
+0C
+0J
+#500020
+#1000000
+1!
+1"
+1-
+12
+17
+1<
+1C
+1J
+#1500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2000000
+1"
+b0000010000000000 %
+b0000010000000000 (
+b0000000000000000000000010000000000 )
+1-
+b0000000000000000000000010000000000 .
+12
+17
+1<
+1C
+1J
+#2500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#3000000
+1"
+b0000000000000000 %
+b0000000000000000 (
+b0000000000000000000000000000000000 )
+1-
+b0000000000000000000000000000000000 .
+b0000000000000000000000010000000000 0
+12
+17
+1<
+1C
+1J
+#3500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#4000000
+1"
+1-
+b0000000000000000000000010000000000 /
+b0000000000000000000000000000000000 0
+b0000000000000000000000010000000000 1
+12
+b0000000000000000000000010000000000 3
+17
+1<
+1C
+1J
+#4500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#5000000
+1"
+1-
+12
+b0000000000000000000000010000000000 5
+17
+1<
+1C
+1J
+#5500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#6000000
+1"
+1-
+12
+b0000000000000000000000010000000000 4
+b0000000000000000000000010000000000 6
+17
+b0000000000000000000000010000000000 8
+1<
+1C
+1J
+#6500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#7000000
+1"
+1-
+12
+b0000000000000000000000100000000000 4
+b0000000000000000000000100000000000 6
+17
+b0000000000000000000000100000000000 8
+b0000000000000000000000010000000000 :
+1<
+1C
+1J
+#7500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#8000000
+1"
+b0000000000000000000000010000000000 *
+1-
+12
+b0000000000000000000000110000000000 4
+b0000000000000000000000110000000000 6
+17
+b0000000000000000000000110000000000 8
+b0000000000000000000000010000000000 9
+b0000000000000000000000100000000000 :
+b0000000000000000000000010000000000 ;
+1<
+b0000000000000000000000010000000000 =
+1C
+1J
+#8500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#9000000
+1"
+b0000000000000000000000110000000000 *
+1-
+12
+b0000000000000000000001000000000000 4
+b0000000000000000000001000000000000 6
+17
+b0000000000000000000001000000000000 8
+b0000000000000000000000110000000000 9
+b0000000000000000000000110000000000 :
+b0000000000000000000000110000000000 ;
+1<
+b0000000000000000000000110000000000 =
+1C
+1J
+#9500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#10000000
+1"
+b0000000000000000000001100000000000 *
+1-
+12
+b0000000000000000000001010000000000 4
+b0000000000000000000001010000000000 6
+17
+b0000000000000000000001010000000000 8
+b0000000000000000000001100000000000 9
+b0000000000000000000001000000000000 :
+b0000000000000000000001100000000000 ;
+1<
+b0000000000000000000001100000000000 =
+1C
+1J
+#10500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#11000000
+1"
+b0000000000000000000010100000000000 *
+1-
+12
+b0000000000000000000001100000000000 4
+b0000000000000000000001100000000000 6
+17
+b0000000000000000000001100000000000 8
+b0000000000000000000010100000000000 9
+b0000000000000000000001010000000000 :
+b0000000000000000000010100000000000 ;
+1<
+b0000000000000000000010100000000000 =
+1C
+1J
+#11500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#12000000
+1"
+b0000000000000000000011110000000000 *
+1-
+12
+b0000000000000000000001110000000000 4
+b0000000000000000000001110000000000 6
+17
+b0000000000000000000001110000000000 8
+b0000000000000000000011110000000000 9
+b0000000000000000000001100000000000 :
+b0000000000000000000011110000000000 ;
+1<
+b0000000000000000000011110000000000 =
+1C
+1J
+#12500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#13000000
+1"
+b0000000000000000000101010000000000 *
+1-
+12
+b0000000000000000000010000000000000 4
+b0000000000000000000010000000000000 6
+17
+b0000000000000000000010000000000000 8
+b0000000000000000000101010000000000 9
+b0000000000000000000001110000000000 :
+b0000000000000000000101010000000000 ;
+1<
+b0000000000000000000101010000000000 =
+1C
+1J
+#13500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#14000000
+1"
+b0000000000000000000111000000000000 *
+1-
+12
+b0000000000000000000010010000000000 4
+b0000000000000000000010010000000000 6
+17
+b0000000000000000000010010000000000 8
+b0000000000000000000111000000000000 9
+b0000000000000000000010000000000000 :
+b0000000000000000000111000000000000 ;
+1<
+b0000000000000000000111000000000000 =
+1C
+1J
+#14500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#15000000
+1"
+b0000000000000000001001000000000000 *
+1-
+12
+b0000000000000000000010100000000000 4
+b0000000000000000000010100000000000 6
+17
+b0000000000000000000010100000000000 8
+b0000000000000000001001000000000000 9
+b0000000000000000000010010000000000 :
+b0000000000000000001001000000000000 ;
+1<
+b0000000000000000001001000000000000 =
+1C
+1J
+#15500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#16000000
+1"
+b0000000000000000001011010000000000 *
+1-
+12
+b0000000000000000000010110000000000 4
+b0000000000000000000010110000000000 6
+17
+b0000000000000000000010110000000000 8
+b0000000000000000001011010000000000 9
+b0000000000000000000010100000000000 :
+b0000000000000000001011010000000000 ;
+1<
+b0000000000000000001011010000000000 =
+1C
+1J
+#16500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#17000000
+1"
+b0000000000000000001101110000000000 *
+1-
+12
+b0000000000000000000011000000000000 4
+b0000000000000000000011000000000000 6
+17
+b0000000000000000000011000000000000 8
+b0000000000000000001101110000000000 9
+b0000000000000000000010110000000000 :
+b0000000000000000001101110000000000 ;
+1<
+b0000000000000000001101110000000000 =
+1C
+1J
+#17500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#18000000
+1"
+b0000000000000000010000100000000000 *
+1-
+12
+b0000000000000000000011010000000000 4
+b0000000000000000000011010000000000 6
+17
+b0000000000000000000011010000000000 8
+b0000000000000000010000100000000000 9
+b0000000000000000000011000000000000 :
+b0000000000000000010000100000000000 ;
+1<
+b0000000000000000010000100000000000 =
+1C
+1J
+#18500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#19000000
+1"
+b0000000000000000010011100000000000 *
+1-
+12
+b0000000000000000000011100000000000 4
+b0000000000000000000011100000000000 6
+17
+b0000000000000000000011100000000000 8
+b0000000000000000010011100000000000 9
+b0000000000000000000011010000000000 :
+b0000000000000000010011100000000000 ;
+1<
+b0000000000000000010011100000000000 =
+1C
+1J
+#19500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#20000000
+1"
+b0000000000000000010110110000000000 *
+1-
+12
+b0000000000000000000011110000000000 4
+b0000000000000000000011110000000000 6
+17
+b0000000000000000000011110000000000 8
+b0000000000000000010110110000000000 9
+b0000000000000000000011100000000000 :
+b0000000000000000010110110000000000 ;
+1<
+b0000000000000000010110110000000000 =
+1C
+1J
+#20500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#21000000
+1"
+b0000000000000000011010010000000000 *
+1-
+12
+b0000000000000000000100000000000000 4
+b0000000000000000000100000000000000 6
+17
+b0000000000000000000100000000000000 8
+b0000000000000000011010010000000000 9
+b0000000000000000000011110000000000 :
+b0000000000000000011010010000000000 ;
+1<
+b0000000000000000011010010000000000 =
+1C
+1J
+#21500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#22000000
+1"
+b0000000000000000011110000000000000 *
+1-
+12
+b0000000000000000000100010000000000 4
+b0000000000000000000100010000000000 6
+17
+b0000000000000000000100010000000000 8
+b0000000000000000011110000000000000 9
+b0000000000000000000100000000000000 :
+b0000000000000000011110000000000000 ;
+1<
+b0000000000000000011110000000000000 =
+1C
+1J
+#22500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#23000000
+1"
+b0000000000000000100010000000000000 *
+1-
+12
+b0000000000000000000100100000000000 4
+b0000000000000000000100100000000000 6
+17
+b0000000000000000000100100000000000 8
+b0000000000000000100010000000000000 9
+b0000000000000000000100010000000000 :
+b0000000000000000100010000000000000 ;
+1<
+b0000000000000000100010000000000000 =
+1C
+1J
+#23500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#24000000
+1"
+b0000000000000000100110010000000000 *
+1-
+12
+b0000000000000000000100110000000000 4
+b0000000000000000000100110000000000 6
+17
+b0000000000000000000100110000000000 8
+b0000000000000000100110010000000000 9
+b0000000000000000000100100000000000 :
+b0000000000000000100110010000000000 ;
+1<
+b0000000000000000100110010000000000 =
+1C
+1J
+#24500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#25000000
+1"
+b0000000000000000101010110000000000 *
+1-
+12
+b0000000000000000000101000000000000 4
+b0000000000000000000101000000000000 6
+17
+b0000000000000000000101000000000000 8
+b0000000000000000101010110000000000 9
+b0000000000000000000100110000000000 :
+b0000000000000000101010110000000000 ;
+1<
+b0000000000000000101010110000000000 =
+1C
+1J
+#25500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#26000000
+1"
+b0000000000000000101111100000000000 *
+1-
+12
+b0000000000000000000101010000000000 4
+b0000000000000000000101010000000000 6
+17
+b0000000000000000000101010000000000 8
+b0000000000000000101111100000000000 9
+b0000000000000000000101000000000000 :
+b0000000000000000101111100000000000 ;
+1<
+b0000000000000000101111100000000000 =
+1C
+1J
+#26500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#27000000
+1"
+b0000000000000000110100100000000000 *
+1-
+12
+b0000000000000000000101100000000000 4
+b0000000000000000000101100000000000 6
+17
+b0000000000000000000101100000000000 8
+b0000000000000000110100100000000000 9
+b0000000000000000000101010000000000 :
+b0000000000000000110100100000000000 ;
+1<
+b0000000000000000110100100000000000 =
+1C
+1J
+#27500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#28000000
+1"
+b0000000000000000111001110000000000 *
+1-
+12
+b0000000000000000000101110000000000 4
+b0000000000000000000101110000000000 6
+17
+b0000000000000000000101110000000000 8
+b0000000000000000111001110000000000 9
+b0000000000000000000101100000000000 :
+b0000000000000000111001110000000000 ;
+1<
+b0000000000000000111001110000000000 =
+1C
+1J
+#28500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#29000000
+1"
+b0000000000000000111111010000000000 *
+1-
+12
+b0000000000000000000110000000000000 4
+b0000000000000000000110000000000000 6
+17
+b0000000000000000000110000000000000 8
+b0000000000000000111111010000000000 9
+b0000000000000000000101110000000000 :
+b0000000000000000111111010000000000 ;
+1<
+b0000000000000000111111010000000000 =
+1C
+1J
+#29500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#30000000
+1"
+b0000000000000001000101000000000000 *
+1-
+12
+b0000000000000000000110010000000000 4
+b0000000000000000000110010000000000 6
+17
+b0000000000000000000110010000000000 8
+b0000000000000001000101000000000000 9
+b0000000000000000000110000000000000 :
+b0000000000000001000101000000000000 ;
+1<
+b0000000000000001000101000000000000 =
+1C
+1J
+#30500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#31000000
+1"
+b0000000000000001001011000000000000 *
+1-
+12
+b0000000000000000000110100000000000 4
+b0000000000000000000110100000000000 6
+17
+b0000000000000000000110100000000000 8
+b0000000000000001001011000000000000 9
+b0000000000000000000110010000000000 :
+b0000000000000001001011000000000000 ;
+1<
+b0000000000000001001011000000000000 =
+1C
+1J
+#31500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#32000000
+1"
+b0000000000000001010001010000000000 *
+1-
+12
+b0000000000000000000110110000000000 4
+b0000000000000000000110110000000000 6
+17
+b0000000000000000000110110000000000 8
+b0000000000000001010001010000000000 9
+b0000000000000000000110100000000000 :
+b0000000000000001010001010000000000 ;
+1<
+b0000000000000001010001010000000000 =
+1C
+1J
+#32500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#33000000
+1"
+b0000000000000001010111110000000000 *
+1-
+12
+b0000000000000000000111000000000000 4
+b0000000000000000000111000000000000 6
+17
+b0000000000000000000111000000000000 8
+b0000000000000001010111110000000000 9
+b0000000000000000000110110000000000 :
+b0000000000000001010111110000000000 ;
+1<
+b0000000000000001010111110000000000 =
+1C
+1J
+#33500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#34000000
+1"
+b0000000000000001011110100000000000 *
+1-
+12
+b0000000000000000000111010000000000 4
+b0000000000000000000111010000000000 6
+17
+b0000000000000000000111010000000000 8
+b0000000000000001011110100000000000 9
+b0000000000000000000111000000000000 :
+b0000000000000001011110100000000000 ;
+1<
+b0000000000000001011110100000000000 =
+1C
+1J
+#34500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#35000000
+1"
+b0000000000000001100101100000000000 *
+1-
+12
+b0000000000000000000111100000000000 4
+b0000000000000000000111100000000000 6
+17
+b0000000000000000000111100000000000 8
+b0000000000000001100101100000000000 9
+b0000000000000000000111010000000000 :
+b0000000000000001100101100000000000 ;
+1<
+b0000000000000001100101100000000000 =
+1C
+1J
+#35500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#36000000
+1"
+b0000000000000001101100110000000000 *
+1-
+12
+b0000000000000000000111110000000000 4
+b0000000000000000000111110000000000 6
+17
+b0000000000000000000111110000000000 8
+b0000000000000001101100110000000000 9
+b0000000000000000000111100000000000 :
+b0000000000000001101100110000000000 ;
+1<
+b0000000000000001101100110000000000 =
+1C
+1J
+#36500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#37000000
+1"
+b0000000000000001110100010000000000 *
+1-
+12
+b0000000000000000001000000000000000 4
+b0000000000000000001000000000000000 6
+17
+b0000000000000000001000000000000000 8
+b0000000000000001110100010000000000 9
+b0000000000000000000111110000000000 :
+b0000000000000001110100010000000000 ;
+1<
+b0000000000000001110100010000000000 =
+1C
+1J
+#37500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#38000000
+1"
+b0000000000000001111100000000000000 *
+1-
+12
+b0000000000000000001000010000000000 4
+b0000000000000000001000010000000000 6
+17
+b0000000000000000001000010000000000 8
+b0000000000000001111100000000000000 9
+b0000000000000000001000000000000000 :
+b0000000000000001111100000000000000 ;
+1<
+b0000000000000001111100000000000000 =
+1C
+1J
+#38500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#39000000
+1"
+b0000000000000010000100000000000000 *
+1-
+12
+b0000000000000000001000100000000000 4
+b0000000000000000001000100000000000 6
+17
+b0000000000000000001000100000000000 8
+b0000000000000010000100000000000000 9
+b0000000000000000001000010000000000 :
+b0000000000000010000100000000000000 ;
+1<
+b0000000000000010000100000000000000 =
+1C
+1J
+#39500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#40000000
+1"
+b0000000000000010001100010000000000 *
+1-
+12
+b0000000000000000001000110000000000 4
+b0000000000000000001000110000000000 6
+17
+b0000000000000000001000110000000000 8
+b0000000000000010001100010000000000 9
+b0000000000000000001000100000000000 :
+b0000000000000010001100010000000000 ;
+1<
+b0000000000000010001100010000000000 =
+1C
+1J
+#40500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#41000000
+1"
+b0000000000000010010100110000000000 *
+1-
+12
+b0000000000000000001001000000000000 4
+b0000000000000000001001000000000000 6
+17
+b0000000000000000001001000000000000 8
+b0000000000000010010100110000000000 9
+b0000000000000000001000110000000000 :
+b0000000000000010010100110000000000 ;
+1<
+b0000000000000010010100110000000000 =
+1C
+1J
+#41500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#42000000
+1"
+b0000000000000010011101100000000000 *
+1-
+12
+b0000000000000000001001010000000000 4
+b0000000000000000001001010000000000 6
+17
+b0000000000000000001001010000000000 8
+b0000000000000010011101100000000000 9
+b0000000000000000001001000000000000 :
+b0000000000000010011101100000000000 ;
+1<
+b0000000000000010011101100000000000 =
+1C
+1J
+#42500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#43000000
+1"
+b0000000000000010100110100000000000 *
+1-
+12
+b0000000000000000001001100000000000 4
+b0000000000000000001001100000000000 6
+17
+b0000000000000000001001100000000000 8
+b0000000000000010100110100000000000 9
+b0000000000000000001001010000000000 :
+b0000000000000010100110100000000000 ;
+1<
+b0000000000000010100110100000000000 =
+1C
+1J
+#43500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#44000000
+1"
+b0000000000000010101111110000000000 *
+1-
+12
+b0000000000000000001001110000000000 4
+b0000000000000000001001110000000000 6
+17
+b0000000000000000001001110000000000 8
+b0000000000000010101111110000000000 9
+b0000000000000000001001100000000000 :
+b0000000000000010101111110000000000 ;
+1<
+b0000000000000010101111110000000000 =
+1C
+1J
+#44500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#45000000
+1"
+b0000000000000010111001010000000000 *
+1-
+12
+b0000000000000000001010000000000000 4
+b0000000000000000001010000000000000 6
+17
+b0000000000000000001010000000000000 8
+b0000000000000010111001010000000000 9
+b0000000000000000001001110000000000 :
+b0000000000000010111001010000000000 ;
+1<
+b0000000000000010111001010000000000 =
+1C
+1J
+#45500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#46000000
+1"
+b0000000000000011000011000000000000 *
+1-
+12
+b0000000000000000001010010000000000 4
+b0000000000000000001010010000000000 6
+17
+b0000000000000000001010010000000000 8
+b0000000000000011000011000000000000 9
+b0000000000000000001010000000000000 :
+b0000000000000011000011000000000000 ;
+1<
+b0000000000000011000011000000000000 =
+1C
+1J
+#46500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#47000000
+1"
+b0000000000000011001101000000000000 *
+1-
+12
+b0000000000000000001010100000000000 4
+b0000000000000000001010100000000000 6
+17
+b0000000000000000001010100000000000 8
+b0000000000000011001101000000000000 9
+b0000000000000000001010010000000000 :
+b0000000000000011001101000000000000 ;
+1<
+b0000000000000011001101000000000000 =
+1C
+1J
+#47500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#48000000
+1"
+b0000000000000011010111010000000000 *
+1-
+12
+b0000000000000000001010110000000000 4
+b0000000000000000001010110000000000 6
+17
+b0000000000000000001010110000000000 8
+b0000000000000011010111010000000000 9
+b0000000000000000001010100000000000 :
+b0000000000000011010111010000000000 ;
+1<
+b0000000000000011010111010000000000 =
+1C
+1J
+#48500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#49000000
+1"
+b0000000000000011100001110000000000 *
+1-
+12
+b0000000000000000001011000000000000 4
+b0000000000000000001011000000000000 6
+17
+b0000000000000000001011000000000000 8
+b0000000000000011100001110000000000 9
+b0000000000000000001010110000000000 :
+b0000000000000011100001110000000000 ;
+1<
+b0000000000000011100001110000000000 =
+1C
+1J
+#49500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#50000000
+1"
+b0000000000000011101100100000000000 *
+1-
+12
+b0000000000000000001011010000000000 4
+b0000000000000000001011010000000000 6
+17
+b0000000000000000001011010000000000 8
+b0000000000000011101100100000000000 9
+b0000000000000000001011000000000000 :
+b0000000000000011101100100000000000 ;
+1<
+b0000000000000011101100100000000000 =
+1C
+1J
+#50500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#51000000
+1"
+b0000000000000011110111100000000000 *
+1-
+12
+b0000000000000000001011100000000000 4
+b0000000000000000001011100000000000 6
+17
+b0000000000000000001011100000000000 8
+b0000000000000011110111100000000000 9
+b0000000000000000001011010000000000 :
+b0000000000000011110111100000000000 ;
+1<
+b0000000000000011110111100000000000 =
+1C
+1J
+#51500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#52000000
+1"
+b0000000000000100000010110000000000 *
+1-
+12
+b0000000000000000001011110000000000 4
+b0000000000000000001011110000000000 6
+17
+b0000000000000000001011110000000000 8
+b0000000000000100000010110000000000 9
+b0000000000000000001011100000000000 :
+b0000000000000100000010110000000000 ;
+1<
+b0000000000000100000010110000000000 =
+1C
+1J
+#52500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#53000000
+1"
+b0000000000000100001110010000000000 *
+1-
+12
+b0000000000000000001100000000000000 4
+b0000000000000000001100000000000000 6
+17
+b0000000000000000001100000000000000 8
+b0000000000000100001110010000000000 9
+b0000000000000000001011110000000000 :
+b0000000000000100001110010000000000 ;
+1<
+b0000000000000100001110010000000000 =
+1C
+1J
+#53500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#54000000
+1"
+b0000000000000100011010000000000000 *
+1-
+12
+b0000000000000000001100010000000000 4
+b0000000000000000001100010000000000 6
+17
+b0000000000000000001100010000000000 8
+b0000000000000100011010000000000000 9
+b0000000000000000001100000000000000 :
+b0000000000000100011010000000000000 ;
+1<
+b0000000000000100011010000000000000 =
+1C
+1J
+#54500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#55000000
+1"
+b0000000000000100100110000000000000 *
+1-
+12
+b0000000000000000001100100000000000 4
+b0000000000000000001100100000000000 6
+17
+b0000000000000000001100100000000000 8
+b0000000000000100100110000000000000 9
+b0000000000000000001100010000000000 :
+b0000000000000100100110000000000000 ;
+1<
+b0000000000000100100110000000000000 =
+1C
+1J
+#55500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#56000000
+1"
+b0000000000000100110010010000000000 *
+1-
+12
+b0000000000000000001100110000000000 4
+b0000000000000000001100110000000000 6
+17
+b0000000000000000001100110000000000 8
+b0000000000000100110010010000000000 9
+b0000000000000000001100100000000000 :
+b0000000000000100110010010000000000 ;
+1<
+b0000000000000100110010010000000000 =
+1C
+1J
+#56500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#57000000
+1"
+b0000000000000100111110110000000000 *
+1-
+12
+b0000000000000000001101000000000000 4
+b0000000000000000001101000000000000 6
+17
+b0000000000000000001101000000000000 8
+b0000000000000100111110110000000000 9
+b0000000000000000001100110000000000 :
+b0000000000000100111110110000000000 ;
+1<
+b0000000000000100111110110000000000 =
+1C
+1J
+#57500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#58000000
+1"
+b0000000000000101001011100000000000 *
+1-
+12
+b0000000000000000001101010000000000 4
+b0000000000000000001101010000000000 6
+17
+b0000000000000000001101010000000000 8
+b0000000000000101001011100000000000 9
+b0000000000000000001101000000000000 :
+b0000000000000101001011100000000000 ;
+1<
+b0000000000000101001011100000000000 =
+1C
+1J
+#58500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#59000000
+1"
+b0000000000000101011000100000000000 *
+1-
+12
+b0000000000000000001101100000000000 4
+b0000000000000000001101100000000000 6
+17
+b0000000000000000001101100000000000 8
+b0000000000000101011000100000000000 9
+b0000000000000000001101010000000000 :
+b0000000000000101011000100000000000 ;
+1<
+b0000000000000101011000100000000000 =
+1C
+1J
+#59500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#60000000
+1"
+b0000000000000101100101110000000000 *
+1-
+12
+b0000000000000000001101110000000000 4
+b0000000000000000001101110000000000 6
+17
+b0000000000000000001101110000000000 8
+b0000000000000101100101110000000000 9
+b0000000000000000001101100000000000 :
+b0000000000000101100101110000000000 ;
+1<
+b0000000000000101100101110000000000 =
+1C
+1J
+#60500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#61000000
+1"
+b0000000000000101110011010000000000 *
+1-
+12
+b0000000000000000001110000000000000 4
+b0000000000000000001110000000000000 6
+17
+b0000000000000000001110000000000000 8
+b0000000000000101110011010000000000 9
+b0000000000000000001101110000000000 :
+b0000000000000101110011010000000000 ;
+1<
+b0000000000000101110011010000000000 =
+1C
+1J
+#61500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#62000000
+1"
+b0000000000000110000001000000000000 *
+1-
+12
+b0000000000000000001110010000000000 4
+b0000000000000000001110010000000000 6
+17
+b0000000000000000001110010000000000 8
+b0000000000000110000001000000000000 9
+b0000000000000000001110000000000000 :
+b0000000000000110000001000000000000 ;
+1<
+b0000000000000110000001000000000000 =
+1C
+1J
+#62500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#63000000
+1"
+b0000000000000110001111000000000000 *
+1-
+12
+b0000000000000000001110100000000000 4
+b0000000000000000001110100000000000 6
+17
+b0000000000000000001110100000000000 8
+b0000000000000110001111000000000000 9
+b0000000000000000001110010000000000 :
+b0000000000000110001111000000000000 ;
+1<
+b0000000000000110001111000000000000 =
+1C
+1J
+#63500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#64000000
+1"
+b0000000000000110011101010000000000 *
+1-
+12
+b0000000000000000001110110000000000 4
+b0000000000000000001110110000000000 6
+17
+b0000000000000000001110110000000000 8
+b0000000000000110011101010000000000 9
+b0000000000000000001110100000000000 :
+b0000000000000110011101010000000000 ;
+1<
+b0000000000000110011101010000000000 =
+1C
+1J
+#64500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#65000000
+1"
+1'
+b0000000000000110101011110000000000 *
+1+
+1-
+12
+b0000000000000000001111000000000000 4
+b0000000000000000001111000000000000 6
+17
+b0000000000000000001111000000000000 8
+b0000000000000110101011110000000000 9
+b0000000000000000001110110000000000 :
+b0000000000000110101011110000000000 ;
+1<
+b0000000000000110101011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#65500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#66000000
+1"
+0'
+b0000000000000110111010100000000000 *
+0+
+1-
+12
+b0000000000000000001111010000000000 4
+b0000000000000000001111010000000000 6
+17
+b0000000000000000001111010000000000 8
+b0000000000000110111010100000000000 9
+b0000000000000000001111000000000000 :
+b0000000000000110111010100000000000 ;
+1<
+b0000000000000110111010100000000000 =
+b0000000000000110101011110000000000 >
+0?
+b0000000000000110101011110000000000 A
+b0000000000000110101011110000000000 B
+1C
+b0000000000000110101011110000000000 D
+0F
+1J
+0M
+#66500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#67000000
+1"
+b0000000000000111001001100000000000 *
+1-
+12
+b0000000000000000001111100000000000 4
+b0000000000000000001111100000000000 6
+17
+b0000000000000000001111100000000000 8
+b0000000000000111001001100000000000 9
+b0000000000000000001111010000000000 :
+b0000000000000111001001100000000000 ;
+1<
+b0000000000000111001001100000000000 =
+1C
+1J
+#67500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#68000000
+1"
+b0000000000000111011000110000000000 *
+1-
+12
+b0000000000000000001111110000000000 4
+b0000000000000000001111110000000000 6
+17
+b0000000000000000001111110000000000 8
+b0000000000000111011000110000000000 9
+b0000000000000000001111100000000000 :
+b0000000000000111011000110000000000 ;
+1<
+b0000000000000111011000110000000000 =
+1C
+1J
+#68500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#69000000
+1"
+b0000000000000111101000010000000000 *
+1-
+12
+b0000000000000000010000000000000000 4
+b0000000000000000010000000000000000 6
+17
+b0000000000000000010000000000000000 8
+b0000000000000111101000010000000000 9
+b0000000000000000001111110000000000 :
+b0000000000000111101000010000000000 ;
+1<
+b0000000000000111101000010000000000 =
+1C
+1J
+#69500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#70000000
+1"
+b0000000000000111111000000000000000 *
+1-
+12
+b0000000000000000010000010000000000 4
+b0000000000000000010000010000000000 6
+17
+b0000000000000000010000010000000000 8
+b0000000000000111111000000000000000 9
+b0000000000000000010000000000000000 :
+b0000000000000111111000000000000000 ;
+1<
+b0000000000000111111000000000000000 =
+1C
+1J
+#70500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#71000000
+1"
+b0000000000001000001000000000000000 *
+1-
+12
+b0000000000000000010000100000000000 4
+b0000000000000000010000100000000000 6
+17
+b0000000000000000010000100000000000 8
+b0000000000001000001000000000000000 9
+b0000000000000000010000010000000000 :
+b0000000000001000001000000000000000 ;
+1<
+b0000000000001000001000000000000000 =
+1C
+1J
+#71500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#72000000
+1"
+b0000000000001000011000010000000000 *
+1-
+12
+b0000000000000000010000110000000000 4
+b0000000000000000010000110000000000 6
+17
+b0000000000000000010000110000000000 8
+b0000000000001000011000010000000000 9
+b0000000000000000010000100000000000 :
+b0000000000001000011000010000000000 ;
+1<
+b0000000000001000011000010000000000 =
+1C
+1J
+#72500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#73000000
+1"
+b0000000000001000101000110000000000 *
+1-
+12
+b0000000000000000010001000000000000 4
+b0000000000000000010001000000000000 6
+17
+b0000000000000000010001000000000000 8
+b0000000000001000101000110000000000 9
+b0000000000000000010000110000000000 :
+b0000000000001000101000110000000000 ;
+1<
+b0000000000001000101000110000000000 =
+1C
+1J
+#73500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#74000000
+1"
+b0000000000001000111001100000000000 *
+1-
+12
+b0000000000000000010001010000000000 4
+b0000000000000000010001010000000000 6
+17
+b0000000000000000010001010000000000 8
+b0000000000001000111001100000000000 9
+b0000000000000000010001000000000000 :
+b0000000000001000111001100000000000 ;
+1<
+b0000000000001000111001100000000000 =
+1C
+1J
+#74500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#75000000
+1"
+b0000000000001001001010100000000000 *
+1-
+12
+b0000000000000000010001100000000000 4
+b0000000000000000010001100000000000 6
+17
+b0000000000000000010001100000000000 8
+b0000000000001001001010100000000000 9
+b0000000000000000010001010000000000 :
+b0000000000001001001010100000000000 ;
+1<
+b0000000000001001001010100000000000 =
+1C
+1J
+#75500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#76000000
+1"
+b0000000000001001011011110000000000 *
+1-
+12
+b0000000000000000010001110000000000 4
+b0000000000000000010001110000000000 6
+17
+b0000000000000000010001110000000000 8
+b0000000000001001011011110000000000 9
+b0000000000000000010001100000000000 :
+b0000000000001001011011110000000000 ;
+1<
+b0000000000001001011011110000000000 =
+1C
+1J
+#76500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#77000000
+1"
+b0000000000001001101101010000000000 *
+1-
+12
+b0000000000000000010010000000000000 4
+b0000000000000000010010000000000000 6
+17
+b0000000000000000010010000000000000 8
+b0000000000001001101101010000000000 9
+b0000000000000000010001110000000000 :
+b0000000000001001101101010000000000 ;
+1<
+b0000000000001001101101010000000000 =
+1C
+1J
+#77500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#78000000
+1"
+b0000000000001001111111000000000000 *
+1-
+12
+b0000000000000000010010010000000000 4
+b0000000000000000010010010000000000 6
+17
+b0000000000000000010010010000000000 8
+b0000000000001001111111000000000000 9
+b0000000000000000010010000000000000 :
+b0000000000001001111111000000000000 ;
+1<
+b0000000000001001111111000000000000 =
+1C
+1J
+#78500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#79000000
+1"
+b0000000000001010010001000000000000 *
+1-
+12
+b0000000000000000010010100000000000 4
+b0000000000000000010010100000000000 6
+17
+b0000000000000000010010100000000000 8
+b0000000000001010010001000000000000 9
+b0000000000000000010010010000000000 :
+b0000000000001010010001000000000000 ;
+1<
+b0000000000001010010001000000000000 =
+1C
+1J
+#79500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#80000000
+1"
+b0000000000001010100011010000000000 *
+1-
+12
+b0000000000000000010010110000000000 4
+b0000000000000000010010110000000000 6
+17
+b0000000000000000010010110000000000 8
+b0000000000001010100011010000000000 9
+b0000000000000000010010100000000000 :
+b0000000000001010100011010000000000 ;
+1<
+b0000000000001010100011010000000000 =
+1C
+1J
+#80500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#81000000
+1"
+b0000000000001010110101110000000000 *
+1-
+12
+b0000000000000000010011000000000000 4
+b0000000000000000010011000000000000 6
+17
+b0000000000000000010011000000000000 8
+b0000000000001010110101110000000000 9
+b0000000000000000010010110000000000 :
+b0000000000001010110101110000000000 ;
+1<
+b0000000000001010110101110000000000 =
+1C
+1J
+#81500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#82000000
+1"
+b0000000000001011001000100000000000 *
+1-
+12
+b0000000000000000010011010000000000 4
+b0000000000000000010011010000000000 6
+17
+b0000000000000000010011010000000000 8
+b0000000000001011001000100000000000 9
+b0000000000000000010011000000000000 :
+b0000000000001011001000100000000000 ;
+1<
+b0000000000001011001000100000000000 =
+1C
+1J
+#82500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#83000000
+1"
+b0000000000001011011011100000000000 *
+1-
+12
+b0000000000000000010011100000000000 4
+b0000000000000000010011100000000000 6
+17
+b0000000000000000010011100000000000 8
+b0000000000001011011011100000000000 9
+b0000000000000000010011010000000000 :
+b0000000000001011011011100000000000 ;
+1<
+b0000000000001011011011100000000000 =
+1C
+1J
+#83500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#84000000
+1"
+b0000000000001011101110110000000000 *
+1-
+12
+b0000000000000000010011110000000000 4
+b0000000000000000010011110000000000 6
+17
+b0000000000000000010011110000000000 8
+b0000000000001011101110110000000000 9
+b0000000000000000010011100000000000 :
+b0000000000001011101110110000000000 ;
+1<
+b0000000000001011101110110000000000 =
+1C
+1J
+#84500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#85000000
+1"
+b0000000000001100000010010000000000 *
+1-
+12
+b0000000000000000010100000000000000 4
+b0000000000000000010100000000000000 6
+17
+b0000000000000000010100000000000000 8
+b0000000000001100000010010000000000 9
+b0000000000000000010011110000000000 :
+b0000000000001100000010010000000000 ;
+1<
+b0000000000001100000010010000000000 =
+1C
+1J
+#85500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#86000000
+1"
+b0000000000001100010110000000000000 *
+1-
+12
+b0000000000000000010100010000000000 4
+b0000000000000000010100010000000000 6
+17
+b0000000000000000010100010000000000 8
+b0000000000001100010110000000000000 9
+b0000000000000000010100000000000000 :
+b0000000000001100010110000000000000 ;
+1<
+b0000000000001100010110000000000000 =
+1C
+1J
+#86500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#87000000
+1"
+b0000000000001100101010000000000000 *
+1-
+12
+b0000000000000000010100100000000000 4
+b0000000000000000010100100000000000 6
+17
+b0000000000000000010100100000000000 8
+b0000000000001100101010000000000000 9
+b0000000000000000010100010000000000 :
+b0000000000001100101010000000000000 ;
+1<
+b0000000000001100101010000000000000 =
+1C
+1J
+#87500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#88000000
+1"
+b0000000000001100111110010000000000 *
+1-
+12
+b0000000000000000010100110000000000 4
+b0000000000000000010100110000000000 6
+17
+b0000000000000000010100110000000000 8
+b0000000000001100111110010000000000 9
+b0000000000000000010100100000000000 :
+b0000000000001100111110010000000000 ;
+1<
+b0000000000001100111110010000000000 =
+1C
+1J
+#88500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#89000000
+1"
+b0000000000001101010010110000000000 *
+1-
+12
+b0000000000000000010101000000000000 4
+b0000000000000000010101000000000000 6
+17
+b0000000000000000010101000000000000 8
+b0000000000001101010010110000000000 9
+b0000000000000000010100110000000000 :
+b0000000000001101010010110000000000 ;
+1<
+b0000000000001101010010110000000000 =
+1C
+1J
+#89500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#90000000
+1"
+b0000000000001101100111100000000000 *
+1-
+12
+b0000000000000000010101010000000000 4
+b0000000000000000010101010000000000 6
+17
+b0000000000000000010101010000000000 8
+b0000000000001101100111100000000000 9
+b0000000000000000010101000000000000 :
+b0000000000001101100111100000000000 ;
+1<
+b0000000000001101100111100000000000 =
+1C
+1J
+#90500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#91000000
+1"
+b0000000000001101111100100000000000 *
+1-
+12
+b0000000000000000010101100000000000 4
+b0000000000000000010101100000000000 6
+17
+b0000000000000000010101100000000000 8
+b0000000000001101111100100000000000 9
+b0000000000000000010101010000000000 :
+b0000000000001101111100100000000000 ;
+1<
+b0000000000001101111100100000000000 =
+1C
+1J
+#91500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#92000000
+1"
+b0000000000001110010001110000000000 *
+1-
+12
+b0000000000000000010101110000000000 4
+b0000000000000000010101110000000000 6
+17
+b0000000000000000010101110000000000 8
+b0000000000001110010001110000000000 9
+b0000000000000000010101100000000000 :
+b0000000000001110010001110000000000 ;
+1<
+b0000000000001110010001110000000000 =
+1C
+1J
+#92500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#93000000
+1"
+b0000000000001110100111010000000000 *
+1-
+12
+b0000000000000000010110000000000000 4
+b0000000000000000010110000000000000 6
+17
+b0000000000000000010110000000000000 8
+b0000000000001110100111010000000000 9
+b0000000000000000010101110000000000 :
+b0000000000001110100111010000000000 ;
+1<
+b0000000000001110100111010000000000 =
+1C
+1J
+#93500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#94000000
+1"
+b0000000000001110111101000000000000 *
+1-
+12
+b0000000000000000010110010000000000 4
+b0000000000000000010110010000000000 6
+17
+b0000000000000000010110010000000000 8
+b0000000000001110111101000000000000 9
+b0000000000000000010110000000000000 :
+b0000000000001110111101000000000000 ;
+1<
+b0000000000001110111101000000000000 =
+1C
+1J
+#94500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#95000000
+1"
+b0000000000001111010011000000000000 *
+1-
+12
+b0000000000000000010110100000000000 4
+b0000000000000000010110100000000000 6
+17
+b0000000000000000010110100000000000 8
+b0000000000001111010011000000000000 9
+b0000000000000000010110010000000000 :
+b0000000000001111010011000000000000 ;
+1<
+b0000000000001111010011000000000000 =
+1C
+1J
+#95500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#96000000
+1"
+b0000000000001111101001010000000000 *
+1-
+12
+b0000000000000000010110110000000000 4
+b0000000000000000010110110000000000 6
+17
+b0000000000000000010110110000000000 8
+b0000000000001111101001010000000000 9
+b0000000000000000010110100000000000 :
+b0000000000001111101001010000000000 ;
+1<
+b0000000000001111101001010000000000 =
+1C
+1J
+#96500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#97000000
+1"
+b0000000000001111111111110000000000 *
+1-
+12
+b0000000000000000010111000000000000 4
+b0000000000000000010111000000000000 6
+17
+b0000000000000000010111000000000000 8
+b0000000000001111111111110000000000 9
+b0000000000000000010110110000000000 :
+b0000000000001111111111110000000000 ;
+1<
+b0000000000001111111111110000000000 =
+1C
+1J
+#97500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#98000000
+1"
+b0000000000010000010110100000000000 *
+1-
+12
+b0000000000000000010111010000000000 4
+b0000000000000000010111010000000000 6
+17
+b0000000000000000010111010000000000 8
+b0000000000010000010110100000000000 9
+b0000000000000000010111000000000000 :
+b0000000000010000010110100000000000 ;
+1<
+b0000000000010000010110100000000000 =
+1C
+1J
+#98500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#99000000
+1"
+b0000000000010000101101100000000000 *
+1-
+12
+b0000000000000000010111100000000000 4
+b0000000000000000010111100000000000 6
+17
+b0000000000000000010111100000000000 8
+b0000000000010000101101100000000000 9
+b0000000000000000010111010000000000 :
+b0000000000010000101101100000000000 ;
+1<
+b0000000000010000101101100000000000 =
+1C
+1J
+#99500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#100000000
+1"
+b0000000000010001000100110000000000 *
+1-
+12
+b0000000000000000010111110000000000 4
+b0000000000000000010111110000000000 6
+17
+b0000000000000000010111110000000000 8
+b0000000000010001000100110000000000 9
+b0000000000000000010111100000000000 :
+b0000000000010001000100110000000000 ;
+1<
+b0000000000010001000100110000000000 =
+1C
+1J
+#100500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#101000000
+1"
+b0000000000010001011100010000000000 *
+1-
+12
+b0000000000000000011000000000000000 4
+b0000000000000000011000000000000000 6
+17
+b0000000000000000011000000000000000 8
+b0000000000010001011100010000000000 9
+b0000000000000000010111110000000000 :
+b0000000000010001011100010000000000 ;
+1<
+b0000000000010001011100010000000000 =
+1C
+1J
+#101500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#102000000
+1"
+b0000000000010001110100000000000000 *
+1-
+12
+b0000000000000000011000010000000000 4
+b0000000000000000011000010000000000 6
+17
+b0000000000000000011000010000000000 8
+b0000000000010001110100000000000000 9
+b0000000000000000011000000000000000 :
+b0000000000010001110100000000000000 ;
+1<
+b0000000000010001110100000000000000 =
+1C
+1J
+#102500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#103000000
+1"
+b0000000000010010001100000000000000 *
+1-
+12
+b0000000000000000011000100000000000 4
+b0000000000000000011000100000000000 6
+17
+b0000000000000000011000100000000000 8
+b0000000000010010001100000000000000 9
+b0000000000000000011000010000000000 :
+b0000000000010010001100000000000000 ;
+1<
+b0000000000010010001100000000000000 =
+1C
+1J
+#103500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#104000000
+1"
+b0000000000010010100100010000000000 *
+1-
+12
+b0000000000000000011000110000000000 4
+b0000000000000000011000110000000000 6
+17
+b0000000000000000011000110000000000 8
+b0000000000010010100100010000000000 9
+b0000000000000000011000100000000000 :
+b0000000000010010100100010000000000 ;
+1<
+b0000000000010010100100010000000000 =
+1C
+1J
+#104500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#105000000
+1"
+b0000000000010010111100110000000000 *
+1-
+12
+b0000000000000000011001000000000000 4
+b0000000000000000011001000000000000 6
+17
+b0000000000000000011001000000000000 8
+b0000000000010010111100110000000000 9
+b0000000000000000011000110000000000 :
+b0000000000010010111100110000000000 ;
+1<
+b0000000000010010111100110000000000 =
+1C
+1J
+#105500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#106000000
+1"
+b0000000000010011010101100000000000 *
+1-
+12
+b0000000000000000011001010000000000 4
+b0000000000000000011001010000000000 6
+17
+b0000000000000000011001010000000000 8
+b0000000000010011010101100000000000 9
+b0000000000000000011001000000000000 :
+b0000000000010011010101100000000000 ;
+1<
+b0000000000010011010101100000000000 =
+1C
+1J
+#106500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#107000000
+1"
+b0000000000010011101110100000000000 *
+1-
+12
+b0000000000000000011001100000000000 4
+b0000000000000000011001100000000000 6
+17
+b0000000000000000011001100000000000 8
+b0000000000010011101110100000000000 9
+b0000000000000000011001010000000000 :
+b0000000000010011101110100000000000 ;
+1<
+b0000000000010011101110100000000000 =
+1C
+1J
+#107500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#108000000
+1"
+b0000000000010100000111110000000000 *
+1-
+12
+b0000000000000000011001110000000000 4
+b0000000000000000011001110000000000 6
+17
+b0000000000000000011001110000000000 8
+b0000000000010100000111110000000000 9
+b0000000000000000011001100000000000 :
+b0000000000010100000111110000000000 ;
+1<
+b0000000000010100000111110000000000 =
+1C
+1J
+#108500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#109000000
+1"
+b0000000000010100100001010000000000 *
+1-
+12
+b0000000000000000011010000000000000 4
+b0000000000000000011010000000000000 6
+17
+b0000000000000000011010000000000000 8
+b0000000000010100100001010000000000 9
+b0000000000000000011001110000000000 :
+b0000000000010100100001010000000000 ;
+1<
+b0000000000010100100001010000000000 =
+1C
+1J
+#109500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#110000000
+1"
+b0000000000010100111011000000000000 *
+1-
+12
+b0000000000000000011010010000000000 4
+b0000000000000000011010010000000000 6
+17
+b0000000000000000011010010000000000 8
+b0000000000010100111011000000000000 9
+b0000000000000000011010000000000000 :
+b0000000000010100111011000000000000 ;
+1<
+b0000000000010100111011000000000000 =
+1C
+1J
+#110500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#111000000
+1"
+b0000000000010101010101000000000000 *
+1-
+12
+b0000000000000000011010100000000000 4
+b0000000000000000011010100000000000 6
+17
+b0000000000000000011010100000000000 8
+b0000000000010101010101000000000000 9
+b0000000000000000011010010000000000 :
+b0000000000010101010101000000000000 ;
+1<
+b0000000000010101010101000000000000 =
+1C
+1J
+#111500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#112000000
+1"
+b0000000000010101101111010000000000 *
+1-
+12
+b0000000000000000011010110000000000 4
+b0000000000000000011010110000000000 6
+17
+b0000000000000000011010110000000000 8
+b0000000000010101101111010000000000 9
+b0000000000000000011010100000000000 :
+b0000000000010101101111010000000000 ;
+1<
+b0000000000010101101111010000000000 =
+1C
+1J
+#112500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#113000000
+1"
+b0000000000010110001001110000000000 *
+1-
+12
+b0000000000000000011011000000000000 4
+b0000000000000000011011000000000000 6
+17
+b0000000000000000011011000000000000 8
+b0000000000010110001001110000000000 9
+b0000000000000000011010110000000000 :
+b0000000000010110001001110000000000 ;
+1<
+b0000000000010110001001110000000000 =
+1C
+1J
+#113500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#114000000
+1"
+b0000000000010110100100100000000000 *
+1-
+12
+b0000000000000000011011010000000000 4
+b0000000000000000011011010000000000 6
+17
+b0000000000000000011011010000000000 8
+b0000000000010110100100100000000000 9
+b0000000000000000011011000000000000 :
+b0000000000010110100100100000000000 ;
+1<
+b0000000000010110100100100000000000 =
+1C
+1J
+#114500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#115000000
+1"
+b0000000000010110111111100000000000 *
+1-
+12
+b0000000000000000011011100000000000 4
+b0000000000000000011011100000000000 6
+17
+b0000000000000000011011100000000000 8
+b0000000000010110111111100000000000 9
+b0000000000000000011011010000000000 :
+b0000000000010110111111100000000000 ;
+1<
+b0000000000010110111111100000000000 =
+1C
+1J
+#115500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#116000000
+1"
+b0000000000010111011010110000000000 *
+1-
+12
+b0000000000000000011011110000000000 4
+b0000000000000000011011110000000000 6
+17
+b0000000000000000011011110000000000 8
+b0000000000010111011010110000000000 9
+b0000000000000000011011100000000000 :
+b0000000000010111011010110000000000 ;
+1<
+b0000000000010111011010110000000000 =
+1C
+1J
+#116500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#117000000
+1"
+b0000000000010111110110010000000000 *
+1-
+12
+b0000000000000000011100000000000000 4
+b0000000000000000011100000000000000 6
+17
+b0000000000000000011100000000000000 8
+b0000000000010111110110010000000000 9
+b0000000000000000011011110000000000 :
+b0000000000010111110110010000000000 ;
+1<
+b0000000000010111110110010000000000 =
+1C
+1J
+#117500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#118000000
+1"
+b0000000000011000010010000000000000 *
+1-
+12
+b0000000000000000011100010000000000 4
+b0000000000000000011100010000000000 6
+17
+b0000000000000000011100010000000000 8
+b0000000000011000010010000000000000 9
+b0000000000000000011100000000000000 :
+b0000000000011000010010000000000000 ;
+1<
+b0000000000011000010010000000000000 =
+1C
+1J
+#118500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#119000000
+1"
+b0000000000011000101110000000000000 *
+1-
+12
+b0000000000000000011100100000000000 4
+b0000000000000000011100100000000000 6
+17
+b0000000000000000011100100000000000 8
+b0000000000011000101110000000000000 9
+b0000000000000000011100010000000000 :
+b0000000000011000101110000000000000 ;
+1<
+b0000000000011000101110000000000000 =
+1C
+1J
+#119500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#120000000
+1"
+b0000000000011001001010010000000000 *
+1-
+12
+b0000000000000000011100110000000000 4
+b0000000000000000011100110000000000 6
+17
+b0000000000000000011100110000000000 8
+b0000000000011001001010010000000000 9
+b0000000000000000011100100000000000 :
+b0000000000011001001010010000000000 ;
+1<
+b0000000000011001001010010000000000 =
+1C
+1J
+#120500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#121000000
+1"
+b0000000000011001100110110000000000 *
+1-
+12
+b0000000000000000011101000000000000 4
+b0000000000000000011101000000000000 6
+17
+b0000000000000000011101000000000000 8
+b0000000000011001100110110000000000 9
+b0000000000000000011100110000000000 :
+b0000000000011001100110110000000000 ;
+1<
+b0000000000011001100110110000000000 =
+1C
+1J
+#121500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#122000000
+1"
+b0000000000011010000011100000000000 *
+1-
+12
+b0000000000000000011101010000000000 4
+b0000000000000000011101010000000000 6
+17
+b0000000000000000011101010000000000 8
+b0000000000011010000011100000000000 9
+b0000000000000000011101000000000000 :
+b0000000000011010000011100000000000 ;
+1<
+b0000000000011010000011100000000000 =
+1C
+1J
+#122500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#123000000
+1"
+b0000000000011010100000100000000000 *
+1-
+12
+b0000000000000000011101100000000000 4
+b0000000000000000011101100000000000 6
+17
+b0000000000000000011101100000000000 8
+b0000000000011010100000100000000000 9
+b0000000000000000011101010000000000 :
+b0000000000011010100000100000000000 ;
+1<
+b0000000000011010100000100000000000 =
+1C
+1J
+#123500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#124000000
+1"
+b0000000000011010111101110000000000 *
+1-
+12
+b0000000000000000011101110000000000 4
+b0000000000000000011101110000000000 6
+17
+b0000000000000000011101110000000000 8
+b0000000000011010111101110000000000 9
+b0000000000000000011101100000000000 :
+b0000000000011010111101110000000000 ;
+1<
+b0000000000011010111101110000000000 =
+1C
+1J
+#124500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#125000000
+1"
+b0000000000011011011011010000000000 *
+1-
+12
+b0000000000000000011110000000000000 4
+b0000000000000000011110000000000000 6
+17
+b0000000000000000011110000000000000 8
+b0000000000011011011011010000000000 9
+b0000000000000000011101110000000000 :
+b0000000000011011011011010000000000 ;
+1<
+b0000000000011011011011010000000000 =
+1C
+1J
+#125500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#126000000
+1"
+b0000000000011011111001000000000000 *
+1-
+12
+b0000000000000000011110010000000000 4
+b0000000000000000011110010000000000 6
+17
+b0000000000000000011110010000000000 8
+b0000000000011011111001000000000000 9
+b0000000000000000011110000000000000 :
+b0000000000011011111001000000000000 ;
+1<
+b0000000000011011111001000000000000 =
+1C
+1J
+#126500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#127000000
+1"
+b0000000000011100010111000000000000 *
+1-
+12
+b0000000000000000011110100000000000 4
+b0000000000000000011110100000000000 6
+17
+b0000000000000000011110100000000000 8
+b0000000000011100010111000000000000 9
+b0000000000000000011110010000000000 :
+b0000000000011100010111000000000000 ;
+1<
+b0000000000011100010111000000000000 =
+1C
+1J
+#127500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#128000000
+1"
+b0000000000011100110101010000000000 *
+1-
+12
+b0000000000000000011110110000000000 4
+b0000000000000000011110110000000000 6
+17
+b0000000000000000011110110000000000 8
+b0000000000011100110101010000000000 9
+b0000000000000000011110100000000000 :
+b0000000000011100110101010000000000 ;
+1<
+b0000000000011100110101010000000000 =
+1C
+1J
+#128500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#129000000
+1"
+1'
+b0000000000011101010011110000000000 *
+1+
+1-
+12
+b0000000000000000011111000000000000 4
+b0000000000000000011111000000000000 6
+17
+b0000000000000000011111000000000000 8
+b0000000000011101010011110000000000 9
+b0000000000000000011110110000000000 :
+b0000000000011101010011110000000000 ;
+1<
+b0000000000011101010011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#129500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#130000000
+1"
+0'
+b0000000000011101110010100000000000 *
+0+
+1-
+12
+b0000000000000000011111010000000000 4
+b0000000000000000011111010000000000 6
+17
+b0000000000000000011111010000000000 8
+b0000000000011101110010100000000000 9
+b0000000000000000011111000000000000 :
+b0000000000011101110010100000000000 ;
+1<
+b0000000000011101110010100000000000 =
+b0000000000010110101000000000000000 >
+0?
+b0000000000011101010011110000000000 A
+b0000000000010110101000000000000000 B
+1C
+b0000000000010110101000000000000000 D
+b0000000000000110101011110000000000 E
+0F
+b0000000000000110101011110000000000 H
+b0000000000000110101011110000000000 I
+1J
+b0000000000000110101011110000000000 K
+0M
+#130500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#131000000
+1"
+b0000000000011110010001100000000000 *
+1-
+12
+b0000000000000000011111100000000000 4
+b0000000000000000011111100000000000 6
+17
+b0000000000000000011111100000000000 8
+b0000000000011110010001100000000000 9
+b0000000000000000011111010000000000 :
+b0000000000011110010001100000000000 ;
+1<
+b0000000000011110010001100000000000 =
+1C
+1J
+#131500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#132000000
+1"
+b0000000000011110110000110000000000 *
+1-
+12
+b0000000000000000011111110000000000 4
+b0000000000000000011111110000000000 6
+17
+b0000000000000000011111110000000000 8
+b0000000000011110110000110000000000 9
+b0000000000000000011111100000000000 :
+b0000000000011110110000110000000000 ;
+1<
+b0000000000011110110000110000000000 =
+1C
+1J
+#132500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#133000000
+1"
+b0000000000011111010000010000000000 *
+1-
+12
+b0000000000000000100000000000000000 4
+b0000000000000000100000000000000000 6
+17
+b0000000000000000100000000000000000 8
+b0000000000011111010000010000000000 9
+b0000000000000000011111110000000000 :
+b0000000000011111010000010000000000 ;
+1<
+b0000000000011111010000010000000000 =
+1C
+1J
+#133500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#134000000
+1"
+b0000000000011111110000000000000000 *
+1-
+12
+b0000000000000000100000010000000000 4
+b0000000000000000100000010000000000 6
+17
+b0000000000000000100000010000000000 8
+b0000000000011111110000000000000000 9
+b0000000000000000100000000000000000 :
+b0000000000011111110000000000000000 ;
+1<
+b0000000000011111110000000000000000 =
+1C
+1J
+#134500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#135000000
+1"
+b0000000000100000010000000000000000 *
+1-
+12
+b0000000000000000100000100000000000 4
+b0000000000000000100000100000000000 6
+17
+b0000000000000000100000100000000000 8
+b0000000000100000010000000000000000 9
+b0000000000000000100000010000000000 :
+b0000000000100000010000000000000000 ;
+1<
+b0000000000100000010000000000000000 =
+1C
+1J
+#135500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#136000000
+1"
+b0000000000100000110000010000000000 *
+1-
+12
+b0000000000000000100000110000000000 4
+b0000000000000000100000110000000000 6
+17
+b0000000000000000100000110000000000 8
+b0000000000100000110000010000000000 9
+b0000000000000000100000100000000000 :
+b0000000000100000110000010000000000 ;
+1<
+b0000000000100000110000010000000000 =
+1C
+1J
+#136500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#137000000
+1"
+b0000000000100001010000110000000000 *
+1-
+12
+b0000000000000000100001000000000000 4
+b0000000000000000100001000000000000 6
+17
+b0000000000000000100001000000000000 8
+b0000000000100001010000110000000000 9
+b0000000000000000100000110000000000 :
+b0000000000100001010000110000000000 ;
+1<
+b0000000000100001010000110000000000 =
+1C
+1J
+#137500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#138000000
+1"
+b0000000000100001110001100000000000 *
+1-
+12
+b0000000000000000100001010000000000 4
+b0000000000000000100001010000000000 6
+17
+b0000000000000000100001010000000000 8
+b0000000000100001110001100000000000 9
+b0000000000000000100001000000000000 :
+b0000000000100001110001100000000000 ;
+1<
+b0000000000100001110001100000000000 =
+1C
+1J
+#138500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#139000000
+1"
+b0000000000100010010010100000000000 *
+1-
+12
+b0000000000000000100001100000000000 4
+b0000000000000000100001100000000000 6
+17
+b0000000000000000100001100000000000 8
+b0000000000100010010010100000000000 9
+b0000000000000000100001010000000000 :
+b0000000000100010010010100000000000 ;
+1<
+b0000000000100010010010100000000000 =
+1C
+1J
+#139500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#140000000
+1"
+b0000000000100010110011110000000000 *
+1-
+12
+b0000000000000000100001110000000000 4
+b0000000000000000100001110000000000 6
+17
+b0000000000000000100001110000000000 8
+b0000000000100010110011110000000000 9
+b0000000000000000100001100000000000 :
+b0000000000100010110011110000000000 ;
+1<
+b0000000000100010110011110000000000 =
+1C
+1J
+#140500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#141000000
+1"
+b0000000000100011010101010000000000 *
+1-
+12
+b0000000000000000100010000000000000 4
+b0000000000000000100010000000000000 6
+17
+b0000000000000000100010000000000000 8
+b0000000000100011010101010000000000 9
+b0000000000000000100001110000000000 :
+b0000000000100011010101010000000000 ;
+1<
+b0000000000100011010101010000000000 =
+1C
+1J
+#141500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#142000000
+1"
+b0000000000100011110111000000000000 *
+1-
+12
+b0000000000000000100010010000000000 4
+b0000000000000000100010010000000000 6
+17
+b0000000000000000100010010000000000 8
+b0000000000100011110111000000000000 9
+b0000000000000000100010000000000000 :
+b0000000000100011110111000000000000 ;
+1<
+b0000000000100011110111000000000000 =
+1C
+1J
+#142500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#143000000
+1"
+b0000000000100100011001000000000000 *
+1-
+12
+b0000000000000000100010100000000000 4
+b0000000000000000100010100000000000 6
+17
+b0000000000000000100010100000000000 8
+b0000000000100100011001000000000000 9
+b0000000000000000100010010000000000 :
+b0000000000100100011001000000000000 ;
+1<
+b0000000000100100011001000000000000 =
+1C
+1J
+#143500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#144000000
+1"
+b0000000000100100111011010000000000 *
+1-
+12
+b0000000000000000100010110000000000 4
+b0000000000000000100010110000000000 6
+17
+b0000000000000000100010110000000000 8
+b0000000000100100111011010000000000 9
+b0000000000000000100010100000000000 :
+b0000000000100100111011010000000000 ;
+1<
+b0000000000100100111011010000000000 =
+1C
+1J
+#144500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#145000000
+1"
+b0000000000100101011101110000000000 *
+1-
+12
+b0000000000000000100011000000000000 4
+b0000000000000000100011000000000000 6
+17
+b0000000000000000100011000000000000 8
+b0000000000100101011101110000000000 9
+b0000000000000000100010110000000000 :
+b0000000000100101011101110000000000 ;
+1<
+b0000000000100101011101110000000000 =
+1C
+1J
+#145500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#146000000
+1"
+b0000000000100110000000100000000000 *
+1-
+12
+b0000000000000000100011010000000000 4
+b0000000000000000100011010000000000 6
+17
+b0000000000000000100011010000000000 8
+b0000000000100110000000100000000000 9
+b0000000000000000100011000000000000 :
+b0000000000100110000000100000000000 ;
+1<
+b0000000000100110000000100000000000 =
+1C
+1J
+#146500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#147000000
+1"
+b0000000000100110100011100000000000 *
+1-
+12
+b0000000000000000100011100000000000 4
+b0000000000000000100011100000000000 6
+17
+b0000000000000000100011100000000000 8
+b0000000000100110100011100000000000 9
+b0000000000000000100011010000000000 :
+b0000000000100110100011100000000000 ;
+1<
+b0000000000100110100011100000000000 =
+1C
+1J
+#147500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#148000000
+1"
+b0000000000100111000110110000000000 *
+1-
+12
+b0000000000000000100011110000000000 4
+b0000000000000000100011110000000000 6
+17
+b0000000000000000100011110000000000 8
+b0000000000100111000110110000000000 9
+b0000000000000000100011100000000000 :
+b0000000000100111000110110000000000 ;
+1<
+b0000000000100111000110110000000000 =
+1C
+1J
+#148500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#149000000
+1"
+b0000000000100111101010010000000000 *
+1-
+12
+b0000000000000000100100000000000000 4
+b0000000000000000100100000000000000 6
+17
+b0000000000000000100100000000000000 8
+b0000000000100111101010010000000000 9
+b0000000000000000100011110000000000 :
+b0000000000100111101010010000000000 ;
+1<
+b0000000000100111101010010000000000 =
+1C
+1J
+#149500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#150000000
+1"
+b0000000000101000001110000000000000 *
+1-
+12
+b0000000000000000100100010000000000 4
+b0000000000000000100100010000000000 6
+17
+b0000000000000000100100010000000000 8
+b0000000000101000001110000000000000 9
+b0000000000000000100100000000000000 :
+b0000000000101000001110000000000000 ;
+1<
+b0000000000101000001110000000000000 =
+1C
+1J
+#150500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#151000000
+1"
+b0000000000101000110010000000000000 *
+1-
+12
+b0000000000000000100100100000000000 4
+b0000000000000000100100100000000000 6
+17
+b0000000000000000100100100000000000 8
+b0000000000101000110010000000000000 9
+b0000000000000000100100010000000000 :
+b0000000000101000110010000000000000 ;
+1<
+b0000000000101000110010000000000000 =
+1C
+1J
+#151500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#152000000
+1"
+b0000000000101001010110010000000000 *
+1-
+12
+b0000000000000000100100110000000000 4
+b0000000000000000100100110000000000 6
+17
+b0000000000000000100100110000000000 8
+b0000000000101001010110010000000000 9
+b0000000000000000100100100000000000 :
+b0000000000101001010110010000000000 ;
+1<
+b0000000000101001010110010000000000 =
+1C
+1J
+#152500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#153000000
+1"
+b0000000000101001111010110000000000 *
+1-
+12
+b0000000000000000100101000000000000 4
+b0000000000000000100101000000000000 6
+17
+b0000000000000000100101000000000000 8
+b0000000000101001111010110000000000 9
+b0000000000000000100100110000000000 :
+b0000000000101001111010110000000000 ;
+1<
+b0000000000101001111010110000000000 =
+1C
+1J
+#153500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#154000000
+1"
+b0000000000101010011111100000000000 *
+1-
+12
+b0000000000000000100101010000000000 4
+b0000000000000000100101010000000000 6
+17
+b0000000000000000100101010000000000 8
+b0000000000101010011111100000000000 9
+b0000000000000000100101000000000000 :
+b0000000000101010011111100000000000 ;
+1<
+b0000000000101010011111100000000000 =
+1C
+1J
+#154500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#155000000
+1"
+b0000000000101011000100100000000000 *
+1-
+12
+b0000000000000000100101100000000000 4
+b0000000000000000100101100000000000 6
+17
+b0000000000000000100101100000000000 8
+b0000000000101011000100100000000000 9
+b0000000000000000100101010000000000 :
+b0000000000101011000100100000000000 ;
+1<
+b0000000000101011000100100000000000 =
+1C
+1J
+#155500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#156000000
+1"
+b0000000000101011101001110000000000 *
+1-
+12
+b0000000000000000100101110000000000 4
+b0000000000000000100101110000000000 6
+17
+b0000000000000000100101110000000000 8
+b0000000000101011101001110000000000 9
+b0000000000000000100101100000000000 :
+b0000000000101011101001110000000000 ;
+1<
+b0000000000101011101001110000000000 =
+1C
+1J
+#156500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#157000000
+1"
+b0000000000101100001111010000000000 *
+1-
+12
+b0000000000000000100110000000000000 4
+b0000000000000000100110000000000000 6
+17
+b0000000000000000100110000000000000 8
+b0000000000101100001111010000000000 9
+b0000000000000000100101110000000000 :
+b0000000000101100001111010000000000 ;
+1<
+b0000000000101100001111010000000000 =
+1C
+1J
+#157500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#158000000
+1"
+b0000000000101100110101000000000000 *
+1-
+12
+b0000000000000000100110010000000000 4
+b0000000000000000100110010000000000 6
+17
+b0000000000000000100110010000000000 8
+b0000000000101100110101000000000000 9
+b0000000000000000100110000000000000 :
+b0000000000101100110101000000000000 ;
+1<
+b0000000000101100110101000000000000 =
+1C
+1J
+#158500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#159000000
+1"
+b0000000000101101011011000000000000 *
+1-
+12
+b0000000000000000100110100000000000 4
+b0000000000000000100110100000000000 6
+17
+b0000000000000000100110100000000000 8
+b0000000000101101011011000000000000 9
+b0000000000000000100110010000000000 :
+b0000000000101101011011000000000000 ;
+1<
+b0000000000101101011011000000000000 =
+1C
+1J
+#159500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#160000000
+1"
+b0000000000101110000001010000000000 *
+1-
+12
+b0000000000000000100110110000000000 4
+b0000000000000000100110110000000000 6
+17
+b0000000000000000100110110000000000 8
+b0000000000101110000001010000000000 9
+b0000000000000000100110100000000000 :
+b0000000000101110000001010000000000 ;
+1<
+b0000000000101110000001010000000000 =
+1C
+1J
+#160500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#161000000
+1"
+b0000000000101110100111110000000000 *
+1-
+12
+b0000000000000000100111000000000000 4
+b0000000000000000100111000000000000 6
+17
+b0000000000000000100111000000000000 8
+b0000000000101110100111110000000000 9
+b0000000000000000100110110000000000 :
+b0000000000101110100111110000000000 ;
+1<
+b0000000000101110100111110000000000 =
+1C
+1J
+#161500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#162000000
+1"
+b0000000000101111001110100000000000 *
+1-
+12
+b0000000000000000100111010000000000 4
+b0000000000000000100111010000000000 6
+17
+b0000000000000000100111010000000000 8
+b0000000000101111001110100000000000 9
+b0000000000000000100111000000000000 :
+b0000000000101111001110100000000000 ;
+1<
+b0000000000101111001110100000000000 =
+1C
+1J
+#162500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#163000000
+1"
+b0000000000101111110101100000000000 *
+1-
+12
+b0000000000000000100111100000000000 4
+b0000000000000000100111100000000000 6
+17
+b0000000000000000100111100000000000 8
+b0000000000101111110101100000000000 9
+b0000000000000000100111010000000000 :
+b0000000000101111110101100000000000 ;
+1<
+b0000000000101111110101100000000000 =
+1C
+1J
+#163500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#164000000
+1"
+b0000000000110000011100110000000000 *
+1-
+12
+b0000000000000000100111110000000000 4
+b0000000000000000100111110000000000 6
+17
+b0000000000000000100111110000000000 8
+b0000000000110000011100110000000000 9
+b0000000000000000100111100000000000 :
+b0000000000110000011100110000000000 ;
+1<
+b0000000000110000011100110000000000 =
+1C
+1J
+#164500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#165000000
+1"
+b0000000000110001000100010000000000 *
+1-
+12
+b0000000000000000101000000000000000 4
+b0000000000000000101000000000000000 6
+17
+b0000000000000000101000000000000000 8
+b0000000000110001000100010000000000 9
+b0000000000000000100111110000000000 :
+b0000000000110001000100010000000000 ;
+1<
+b0000000000110001000100010000000000 =
+1C
+1J
+#165500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#166000000
+1"
+b0000000000110001101100000000000000 *
+1-
+12
+b0000000000000000101000010000000000 4
+b0000000000000000101000010000000000 6
+17
+b0000000000000000101000010000000000 8
+b0000000000110001101100000000000000 9
+b0000000000000000101000000000000000 :
+b0000000000110001101100000000000000 ;
+1<
+b0000000000110001101100000000000000 =
+1C
+1J
+#166500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#167000000
+1"
+b0000000000110010010100000000000000 *
+1-
+12
+b0000000000000000101000100000000000 4
+b0000000000000000101000100000000000 6
+17
+b0000000000000000101000100000000000 8
+b0000000000110010010100000000000000 9
+b0000000000000000101000010000000000 :
+b0000000000110010010100000000000000 ;
+1<
+b0000000000110010010100000000000000 =
+1C
+1J
+#167500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#168000000
+1"
+b0000000000110010111100010000000000 *
+1-
+12
+b0000000000000000101000110000000000 4
+b0000000000000000101000110000000000 6
+17
+b0000000000000000101000110000000000 8
+b0000000000110010111100010000000000 9
+b0000000000000000101000100000000000 :
+b0000000000110010111100010000000000 ;
+1<
+b0000000000110010111100010000000000 =
+1C
+1J
+#168500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#169000000
+1"
+b0000000000110011100100110000000000 *
+1-
+12
+b0000000000000000101001000000000000 4
+b0000000000000000101001000000000000 6
+17
+b0000000000000000101001000000000000 8
+b0000000000110011100100110000000000 9
+b0000000000000000101000110000000000 :
+b0000000000110011100100110000000000 ;
+1<
+b0000000000110011100100110000000000 =
+1C
+1J
+#169500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#170000000
+1"
+b0000000000110100001101100000000000 *
+1-
+12
+b0000000000000000101001010000000000 4
+b0000000000000000101001010000000000 6
+17
+b0000000000000000101001010000000000 8
+b0000000000110100001101100000000000 9
+b0000000000000000101001000000000000 :
+b0000000000110100001101100000000000 ;
+1<
+b0000000000110100001101100000000000 =
+1C
+1J
+#170500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#171000000
+1"
+b0000000000110100110110100000000000 *
+1-
+12
+b0000000000000000101001100000000000 4
+b0000000000000000101001100000000000 6
+17
+b0000000000000000101001100000000000 8
+b0000000000110100110110100000000000 9
+b0000000000000000101001010000000000 :
+b0000000000110100110110100000000000 ;
+1<
+b0000000000110100110110100000000000 =
+1C
+1J
+#171500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#172000000
+1"
+b0000000000110101011111110000000000 *
+1-
+12
+b0000000000000000101001110000000000 4
+b0000000000000000101001110000000000 6
+17
+b0000000000000000101001110000000000 8
+b0000000000110101011111110000000000 9
+b0000000000000000101001100000000000 :
+b0000000000110101011111110000000000 ;
+1<
+b0000000000110101011111110000000000 =
+1C
+1J
+#172500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#173000000
+1"
+b0000000000110110001001010000000000 *
+1-
+12
+b0000000000000000101010000000000000 4
+b0000000000000000101010000000000000 6
+17
+b0000000000000000101010000000000000 8
+b0000000000110110001001010000000000 9
+b0000000000000000101001110000000000 :
+b0000000000110110001001010000000000 ;
+1<
+b0000000000110110001001010000000000 =
+1C
+1J
+#173500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#174000000
+1"
+b0000000000110110110011000000000000 *
+1-
+12
+b0000000000000000101010010000000000 4
+b0000000000000000101010010000000000 6
+17
+b0000000000000000101010010000000000 8
+b0000000000110110110011000000000000 9
+b0000000000000000101010000000000000 :
+b0000000000110110110011000000000000 ;
+1<
+b0000000000110110110011000000000000 =
+1C
+1J
+#174500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#175000000
+1"
+b0000000000110111011101000000000000 *
+1-
+12
+b0000000000000000101010100000000000 4
+b0000000000000000101010100000000000 6
+17
+b0000000000000000101010100000000000 8
+b0000000000110111011101000000000000 9
+b0000000000000000101010010000000000 :
+b0000000000110111011101000000000000 ;
+1<
+b0000000000110111011101000000000000 =
+1C
+1J
+#175500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#176000000
+1"
+b0000000000111000000111010000000000 *
+1-
+12
+b0000000000000000101010110000000000 4
+b0000000000000000101010110000000000 6
+17
+b0000000000000000101010110000000000 8
+b0000000000111000000111010000000000 9
+b0000000000000000101010100000000000 :
+b0000000000111000000111010000000000 ;
+1<
+b0000000000111000000111010000000000 =
+1C
+1J
+#176500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#177000000
+1"
+b0000000000111000110001110000000000 *
+1-
+12
+b0000000000000000101011000000000000 4
+b0000000000000000101011000000000000 6
+17
+b0000000000000000101011000000000000 8
+b0000000000111000110001110000000000 9
+b0000000000000000101010110000000000 :
+b0000000000111000110001110000000000 ;
+1<
+b0000000000111000110001110000000000 =
+1C
+1J
+#177500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#178000000
+1"
+b0000000000111001011100100000000000 *
+1-
+12
+b0000000000000000101011010000000000 4
+b0000000000000000101011010000000000 6
+17
+b0000000000000000101011010000000000 8
+b0000000000111001011100100000000000 9
+b0000000000000000101011000000000000 :
+b0000000000111001011100100000000000 ;
+1<
+b0000000000111001011100100000000000 =
+1C
+1J
+#178500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#179000000
+1"
+b0000000000111010000111100000000000 *
+1-
+12
+b0000000000000000101011100000000000 4
+b0000000000000000101011100000000000 6
+17
+b0000000000000000101011100000000000 8
+b0000000000111010000111100000000000 9
+b0000000000000000101011010000000000 :
+b0000000000111010000111100000000000 ;
+1<
+b0000000000111010000111100000000000 =
+1C
+1J
+#179500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#180000000
+1"
+b0000000000111010110010110000000000 *
+1-
+12
+b0000000000000000101011110000000000 4
+b0000000000000000101011110000000000 6
+17
+b0000000000000000101011110000000000 8
+b0000000000111010110010110000000000 9
+b0000000000000000101011100000000000 :
+b0000000000111010110010110000000000 ;
+1<
+b0000000000111010110010110000000000 =
+1C
+1J
+#180500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#181000000
+1"
+b0000000000111011011110010000000000 *
+1-
+12
+b0000000000000000101100000000000000 4
+b0000000000000000101100000000000000 6
+17
+b0000000000000000101100000000000000 8
+b0000000000111011011110010000000000 9
+b0000000000000000101011110000000000 :
+b0000000000111011011110010000000000 ;
+1<
+b0000000000111011011110010000000000 =
+1C
+1J
+#181500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#182000000
+1"
+b0000000000111100001010000000000000 *
+1-
+12
+b0000000000000000101100010000000000 4
+b0000000000000000101100010000000000 6
+17
+b0000000000000000101100010000000000 8
+b0000000000111100001010000000000000 9
+b0000000000000000101100000000000000 :
+b0000000000111100001010000000000000 ;
+1<
+b0000000000111100001010000000000000 =
+1C
+1J
+#182500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#183000000
+1"
+b0000000000111100110110000000000000 *
+1-
+12
+b0000000000000000101100100000000000 4
+b0000000000000000101100100000000000 6
+17
+b0000000000000000101100100000000000 8
+b0000000000111100110110000000000000 9
+b0000000000000000101100010000000000 :
+b0000000000111100110110000000000000 ;
+1<
+b0000000000111100110110000000000000 =
+1C
+1J
+#183500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#184000000
+1"
+b0000000000111101100010010000000000 *
+1-
+12
+b0000000000000000101100110000000000 4
+b0000000000000000101100110000000000 6
+17
+b0000000000000000101100110000000000 8
+b0000000000111101100010010000000000 9
+b0000000000000000101100100000000000 :
+b0000000000111101100010010000000000 ;
+1<
+b0000000000111101100010010000000000 =
+1C
+1J
+#184500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#185000000
+1"
+b0000000000111110001110110000000000 *
+1-
+12
+b0000000000000000101101000000000000 4
+b0000000000000000101101000000000000 6
+17
+b0000000000000000101101000000000000 8
+b0000000000111110001110110000000000 9
+b0000000000000000101100110000000000 :
+b0000000000111110001110110000000000 ;
+1<
+b0000000000111110001110110000000000 =
+1C
+1J
+#185500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#186000000
+1"
+b0000000000111110111011100000000000 *
+1-
+12
+b0000000000000000101101010000000000 4
+b0000000000000000101101010000000000 6
+17
+b0000000000000000101101010000000000 8
+b0000000000111110111011100000000000 9
+b0000000000000000101101000000000000 :
+b0000000000111110111011100000000000 ;
+1<
+b0000000000111110111011100000000000 =
+1C
+1J
+#186500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#187000000
+1"
+b0000000000111111101000100000000000 *
+1-
+12
+b0000000000000000101101100000000000 4
+b0000000000000000101101100000000000 6
+17
+b0000000000000000101101100000000000 8
+b0000000000111111101000100000000000 9
+b0000000000000000101101010000000000 :
+b0000000000111111101000100000000000 ;
+1<
+b0000000000111111101000100000000000 =
+1C
+1J
+#187500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#188000000
+1"
+b0000000001000000010101110000000000 *
+1-
+12
+b0000000000000000101101110000000000 4
+b0000000000000000101101110000000000 6
+17
+b0000000000000000101101110000000000 8
+b0000000001000000010101110000000000 9
+b0000000000000000101101100000000000 :
+b0000000001000000010101110000000000 ;
+1<
+b0000000001000000010101110000000000 =
+1C
+1J
+#188500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#189000000
+1"
+b0000000001000001000011010000000000 *
+1-
+12
+b0000000000000000101110000000000000 4
+b0000000000000000101110000000000000 6
+17
+b0000000000000000101110000000000000 8
+b0000000001000001000011010000000000 9
+b0000000000000000101101110000000000 :
+b0000000001000001000011010000000000 ;
+1<
+b0000000001000001000011010000000000 =
+1C
+1J
+#189500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#190000000
+1"
+b0000000001000001110001000000000000 *
+1-
+12
+b0000000000000000101110010000000000 4
+b0000000000000000101110010000000000 6
+17
+b0000000000000000101110010000000000 8
+b0000000001000001110001000000000000 9
+b0000000000000000101110000000000000 :
+b0000000001000001110001000000000000 ;
+1<
+b0000000001000001110001000000000000 =
+1C
+1J
+#190500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#191000000
+1"
+b0000000001000010011111000000000000 *
+1-
+12
+b0000000000000000101110100000000000 4
+b0000000000000000101110100000000000 6
+17
+b0000000000000000101110100000000000 8
+b0000000001000010011111000000000000 9
+b0000000000000000101110010000000000 :
+b0000000001000010011111000000000000 ;
+1<
+b0000000001000010011111000000000000 =
+1C
+1J
+#191500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#192000000
+1"
+b0000000001000011001101010000000000 *
+1-
+12
+b0000000000000000101110110000000000 4
+b0000000000000000101110110000000000 6
+17
+b0000000000000000101110110000000000 8
+b0000000001000011001101010000000000 9
+b0000000000000000101110100000000000 :
+b0000000001000011001101010000000000 ;
+1<
+b0000000001000011001101010000000000 =
+1C
+1J
+#192500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#193000000
+1"
+1'
+b0000000001000011111011110000000000 *
+1+
+1-
+12
+b0000000000000000101111000000000000 4
+b0000000000000000101111000000000000 6
+17
+b0000000000000000101111000000000000 8
+b0000000001000011111011110000000000 9
+b0000000000000000101110110000000000 :
+b0000000001000011111011110000000000 ;
+1<
+b0000000001000011111011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#193500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#194000000
+1"
+b00000000000000000000110 &
+0'
+b0000000001000100101010100000000000 *
+0+
+b0000000000000110101011110000000000 ,
+1-
+12
+b0000000000000000101111010000000000 4
+b0000000000000000101111010000000000 6
+17
+b0000000000000000101111010000000000 8
+b0000000001000100101010100000000000 9
+b0000000000000000101111000000000000 :
+b0000000001000100101010100000000000 ;
+1<
+b0000000001000100101010100000000000 =
+b0000000000100110101000000000000000 >
+0?
+b0000000001000011111011110000000000 A
+b0000000000100110101000000000000000 B
+1C
+b0000000000100110101000000000000000 D
+b0000000000001111111100010000000000 E
+0F
+b0000000000010110101000000000000000 H
+b0000000000001111111100010000000000 I
+1J
+b0000000000001111111100010000000000 K
+b0000000000000110101011110000000000 L
+0M
+b0000000000000110101011110000000000 O
+b0000000000000110101011110000000000 P
+#194500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#195000000
+1"
+b0000000001000101011001100000000000 *
+1-
+12
+b0000000000000000101111100000000000 4
+b0000000000000000101111100000000000 6
+17
+b0000000000000000101111100000000000 8
+b0000000001000101011001100000000000 9
+b0000000000000000101111010000000000 :
+b0000000001000101011001100000000000 ;
+1<
+b0000000001000101011001100000000000 =
+1C
+1J
+#195500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#196000000
+1"
+b0000000001000110001000110000000000 *
+1-
+12
+b0000000000000000101111110000000000 4
+b0000000000000000101111110000000000 6
+17
+b0000000000000000101111110000000000 8
+b0000000001000110001000110000000000 9
+b0000000000000000101111100000000000 :
+b0000000001000110001000110000000000 ;
+1<
+b0000000001000110001000110000000000 =
+1C
+1J
+#196500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#197000000
+1"
+b0000000001000110111000010000000000 *
+1-
+12
+b0000000000000000110000000000000000 4
+b0000000000000000110000000000000000 6
+17
+b0000000000000000110000000000000000 8
+b0000000001000110111000010000000000 9
+b0000000000000000101111110000000000 :
+b0000000001000110111000010000000000 ;
+1<
+b0000000001000110111000010000000000 =
+1C
+1J
+#197500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#198000000
+1"
+b0000000001000111101000000000000000 *
+1-
+12
+b0000000000000000110000010000000000 4
+b0000000000000000110000010000000000 6
+17
+b0000000000000000110000010000000000 8
+b0000000001000111101000000000000000 9
+b0000000000000000110000000000000000 :
+b0000000001000111101000000000000000 ;
+1<
+b0000000001000111101000000000000000 =
+1C
+1J
+#198500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#199000000
+1"
+b0000000001001000011000000000000000 *
+1-
+12
+b0000000000000000110000100000000000 4
+b0000000000000000110000100000000000 6
+17
+b0000000000000000110000100000000000 8
+b0000000001001000011000000000000000 9
+b0000000000000000110000010000000000 :
+b0000000001001000011000000000000000 ;
+1<
+b0000000001001000011000000000000000 =
+1C
+1J
+#199500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#200000000
+1"
+b0000000001001001001000010000000000 *
+1-
+12
+b0000000000000000110000110000000000 4
+b0000000000000000110000110000000000 6
+17
+b0000000000000000110000110000000000 8
+b0000000001001001001000010000000000 9
+b0000000000000000110000100000000000 :
+b0000000001001001001000010000000000 ;
+1<
+b0000000001001001001000010000000000 =
+1C
+1J
+#200500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#201000000
+1"
+b0000000001001001111000110000000000 *
+1-
+12
+b0000000000000000110001000000000000 4
+b0000000000000000110001000000000000 6
+17
+b0000000000000000110001000000000000 8
+b0000000001001001111000110000000000 9
+b0000000000000000110000110000000000 :
+b0000000001001001111000110000000000 ;
+1<
+b0000000001001001111000110000000000 =
+1C
+1J
+#201500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#202000000
+1"
+b0000000001001010101001100000000000 *
+1-
+12
+b0000000000000000110001010000000000 4
+b0000000000000000110001010000000000 6
+17
+b0000000000000000110001010000000000 8
+b0000000001001010101001100000000000 9
+b0000000000000000110001000000000000 :
+b0000000001001010101001100000000000 ;
+1<
+b0000000001001010101001100000000000 =
+1C
+1J
+#202500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#203000000
+1"
+b0000000001001011011010100000000000 *
+1-
+12
+b0000000000000000110001100000000000 4
+b0000000000000000110001100000000000 6
+17
+b0000000000000000110001100000000000 8
+b0000000001001011011010100000000000 9
+b0000000000000000110001010000000000 :
+b0000000001001011011010100000000000 ;
+1<
+b0000000001001011011010100000000000 =
+1C
+1J
+#203500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#204000000
+1"
+b0000000001001100001011110000000000 *
+1-
+12
+b0000000000000000110001110000000000 4
+b0000000000000000110001110000000000 6
+17
+b0000000000000000110001110000000000 8
+b0000000001001100001011110000000000 9
+b0000000000000000110001100000000000 :
+b0000000001001100001011110000000000 ;
+1<
+b0000000001001100001011110000000000 =
+1C
+1J
+#204500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#205000000
+1"
+b0000000001001100111101010000000000 *
+1-
+12
+b0000000000000000110010000000000000 4
+b0000000000000000110010000000000000 6
+17
+b0000000000000000110010000000000000 8
+b0000000001001100111101010000000000 9
+b0000000000000000110001110000000000 :
+b0000000001001100111101010000000000 ;
+1<
+b0000000001001100111101010000000000 =
+1C
+1J
+#205500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#206000000
+1"
+b0000000001001101101111000000000000 *
+1-
+12
+b0000000000000000110010010000000000 4
+b0000000000000000110010010000000000 6
+17
+b0000000000000000110010010000000000 8
+b0000000001001101101111000000000000 9
+b0000000000000000110010000000000000 :
+b0000000001001101101111000000000000 ;
+1<
+b0000000001001101101111000000000000 =
+1C
+1J
+#206500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#207000000
+1"
+b0000000001001110100001000000000000 *
+1-
+12
+b0000000000000000110010100000000000 4
+b0000000000000000110010100000000000 6
+17
+b0000000000000000110010100000000000 8
+b0000000001001110100001000000000000 9
+b0000000000000000110010010000000000 :
+b0000000001001110100001000000000000 ;
+1<
+b0000000001001110100001000000000000 =
+1C
+1J
+#207500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#208000000
+1"
+b0000000001001111010011010000000000 *
+1-
+12
+b0000000000000000110010110000000000 4
+b0000000000000000110010110000000000 6
+17
+b0000000000000000110010110000000000 8
+b0000000001001111010011010000000000 9
+b0000000000000000110010100000000000 :
+b0000000001001111010011010000000000 ;
+1<
+b0000000001001111010011010000000000 =
+1C
+1J
+#208500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#209000000
+1"
+b0000000001010000000101110000000000 *
+1-
+12
+b0000000000000000110011000000000000 4
+b0000000000000000110011000000000000 6
+17
+b0000000000000000110011000000000000 8
+b0000000001010000000101110000000000 9
+b0000000000000000110010110000000000 :
+b0000000001010000000101110000000000 ;
+1<
+b0000000001010000000101110000000000 =
+1C
+1J
+#209500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#210000000
+1"
+b0000000001010000111000100000000000 *
+1-
+12
+b0000000000000000110011010000000000 4
+b0000000000000000110011010000000000 6
+17
+b0000000000000000110011010000000000 8
+b0000000001010000111000100000000000 9
+b0000000000000000110011000000000000 :
+b0000000001010000111000100000000000 ;
+1<
+b0000000001010000111000100000000000 =
+1C
+1J
+#210500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#211000000
+1"
+b0000000001010001101011100000000000 *
+1-
+12
+b0000000000000000110011100000000000 4
+b0000000000000000110011100000000000 6
+17
+b0000000000000000110011100000000000 8
+b0000000001010001101011100000000000 9
+b0000000000000000110011010000000000 :
+b0000000001010001101011100000000000 ;
+1<
+b0000000001010001101011100000000000 =
+1C
+1J
+#211500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#212000000
+1"
+b0000000001010010011110110000000000 *
+1-
+12
+b0000000000000000110011110000000000 4
+b0000000000000000110011110000000000 6
+17
+b0000000000000000110011110000000000 8
+b0000000001010010011110110000000000 9
+b0000000000000000110011100000000000 :
+b0000000001010010011110110000000000 ;
+1<
+b0000000001010010011110110000000000 =
+1C
+1J
+#212500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#213000000
+1"
+b0000000001010011010010010000000000 *
+1-
+12
+b0000000000000000110100000000000000 4
+b0000000000000000110100000000000000 6
+17
+b0000000000000000110100000000000000 8
+b0000000001010011010010010000000000 9
+b0000000000000000110011110000000000 :
+b0000000001010011010010010000000000 ;
+1<
+b0000000001010011010010010000000000 =
+1C
+1J
+#213500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#214000000
+1"
+b0000000001010100000110000000000000 *
+1-
+12
+b0000000000000000110100010000000000 4
+b0000000000000000110100010000000000 6
+17
+b0000000000000000110100010000000000 8
+b0000000001010100000110000000000000 9
+b0000000000000000110100000000000000 :
+b0000000001010100000110000000000000 ;
+1<
+b0000000001010100000110000000000000 =
+1C
+1J
+#214500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#215000000
+1"
+b0000000001010100111010000000000000 *
+1-
+12
+b0000000000000000110100100000000000 4
+b0000000000000000110100100000000000 6
+17
+b0000000000000000110100100000000000 8
+b0000000001010100111010000000000000 9
+b0000000000000000110100010000000000 :
+b0000000001010100111010000000000000 ;
+1<
+b0000000001010100111010000000000000 =
+1C
+1J
+#215500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#216000000
+1"
+b0000000001010101101110010000000000 *
+1-
+12
+b0000000000000000110100110000000000 4
+b0000000000000000110100110000000000 6
+17
+b0000000000000000110100110000000000 8
+b0000000001010101101110010000000000 9
+b0000000000000000110100100000000000 :
+b0000000001010101101110010000000000 ;
+1<
+b0000000001010101101110010000000000 =
+1C
+1J
+#216500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#217000000
+1"
+b0000000001010110100010110000000000 *
+1-
+12
+b0000000000000000110101000000000000 4
+b0000000000000000110101000000000000 6
+17
+b0000000000000000110101000000000000 8
+b0000000001010110100010110000000000 9
+b0000000000000000110100110000000000 :
+b0000000001010110100010110000000000 ;
+1<
+b0000000001010110100010110000000000 =
+1C
+1J
+#217500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#218000000
+1"
+b0000000001010111010111100000000000 *
+1-
+12
+b0000000000000000110101010000000000 4
+b0000000000000000110101010000000000 6
+17
+b0000000000000000110101010000000000 8
+b0000000001010111010111100000000000 9
+b0000000000000000110101000000000000 :
+b0000000001010111010111100000000000 ;
+1<
+b0000000001010111010111100000000000 =
+1C
+1J
+#218500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#219000000
+1"
+b0000000001011000001100100000000000 *
+1-
+12
+b0000000000000000110101100000000000 4
+b0000000000000000110101100000000000 6
+17
+b0000000000000000110101100000000000 8
+b0000000001011000001100100000000000 9
+b0000000000000000110101010000000000 :
+b0000000001011000001100100000000000 ;
+1<
+b0000000001011000001100100000000000 =
+1C
+1J
+#219500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#220000000
+1"
+b0000000001011001000001110000000000 *
+1-
+12
+b0000000000000000110101110000000000 4
+b0000000000000000110101110000000000 6
+17
+b0000000000000000110101110000000000 8
+b0000000001011001000001110000000000 9
+b0000000000000000110101100000000000 :
+b0000000001011001000001110000000000 ;
+1<
+b0000000001011001000001110000000000 =
+1C
+1J
+#220500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#221000000
+1"
+b0000000001011001110111010000000000 *
+1-
+12
+b0000000000000000110110000000000000 4
+b0000000000000000110110000000000000 6
+17
+b0000000000000000110110000000000000 8
+b0000000001011001110111010000000000 9
+b0000000000000000110101110000000000 :
+b0000000001011001110111010000000000 ;
+1<
+b0000000001011001110111010000000000 =
+1C
+1J
+#221500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#222000000
+1"
+b0000000001011010101101000000000000 *
+1-
+12
+b0000000000000000110110010000000000 4
+b0000000000000000110110010000000000 6
+17
+b0000000000000000110110010000000000 8
+b0000000001011010101101000000000000 9
+b0000000000000000110110000000000000 :
+b0000000001011010101101000000000000 ;
+1<
+b0000000001011010101101000000000000 =
+1C
+1J
+#222500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#223000000
+1"
+b0000000001011011100011000000000000 *
+1-
+12
+b0000000000000000110110100000000000 4
+b0000000000000000110110100000000000 6
+17
+b0000000000000000110110100000000000 8
+b0000000001011011100011000000000000 9
+b0000000000000000110110010000000000 :
+b0000000001011011100011000000000000 ;
+1<
+b0000000001011011100011000000000000 =
+1C
+1J
+#223500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#224000000
+1"
+b0000000001011100011001010000000000 *
+1-
+12
+b0000000000000000110110110000000000 4
+b0000000000000000110110110000000000 6
+17
+b0000000000000000110110110000000000 8
+b0000000001011100011001010000000000 9
+b0000000000000000110110100000000000 :
+b0000000001011100011001010000000000 ;
+1<
+b0000000001011100011001010000000000 =
+1C
+1J
+#224500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#225000000
+1"
+b0000000001011101001111110000000000 *
+1-
+12
+b0000000000000000110111000000000000 4
+b0000000000000000110111000000000000 6
+17
+b0000000000000000110111000000000000 8
+b0000000001011101001111110000000000 9
+b0000000000000000110110110000000000 :
+b0000000001011101001111110000000000 ;
+1<
+b0000000001011101001111110000000000 =
+1C
+1J
+#225500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#226000000
+1"
+b0000000001011110000110100000000000 *
+1-
+12
+b0000000000000000110111010000000000 4
+b0000000000000000110111010000000000 6
+17
+b0000000000000000110111010000000000 8
+b0000000001011110000110100000000000 9
+b0000000000000000110111000000000000 :
+b0000000001011110000110100000000000 ;
+1<
+b0000000001011110000110100000000000 =
+1C
+1J
+#226500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#227000000
+1"
+b0000000001011110111101100000000000 *
+1-
+12
+b0000000000000000110111100000000000 4
+b0000000000000000110111100000000000 6
+17
+b0000000000000000110111100000000000 8
+b0000000001011110111101100000000000 9
+b0000000000000000110111010000000000 :
+b0000000001011110111101100000000000 ;
+1<
+b0000000001011110111101100000000000 =
+1C
+1J
+#227500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#228000000
+1"
+b0000000001011111110100110000000000 *
+1-
+12
+b0000000000000000110111110000000000 4
+b0000000000000000110111110000000000 6
+17
+b0000000000000000110111110000000000 8
+b0000000001011111110100110000000000 9
+b0000000000000000110111100000000000 :
+b0000000001011111110100110000000000 ;
+1<
+b0000000001011111110100110000000000 =
+1C
+1J
+#228500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#229000000
+1"
+b0000000001100000101100010000000000 *
+1-
+12
+b0000000000000000111000000000000000 4
+b0000000000000000111000000000000000 6
+17
+b0000000000000000111000000000000000 8
+b0000000001100000101100010000000000 9
+b0000000000000000110111110000000000 :
+b0000000001100000101100010000000000 ;
+1<
+b0000000001100000101100010000000000 =
+1C
+1J
+#229500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#230000000
+1"
+b0000000001100001100100000000000000 *
+1-
+12
+b0000000000000000111000010000000000 4
+b0000000000000000111000010000000000 6
+17
+b0000000000000000111000010000000000 8
+b0000000001100001100100000000000000 9
+b0000000000000000111000000000000000 :
+b0000000001100001100100000000000000 ;
+1<
+b0000000001100001100100000000000000 =
+1C
+1J
+#230500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#231000000
+1"
+b0000000001100010011100000000000000 *
+1-
+12
+b0000000000000000111000100000000000 4
+b0000000000000000111000100000000000 6
+17
+b0000000000000000111000100000000000 8
+b0000000001100010011100000000000000 9
+b0000000000000000111000010000000000 :
+b0000000001100010011100000000000000 ;
+1<
+b0000000001100010011100000000000000 =
+1C
+1J
+#231500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#232000000
+1"
+b0000000001100011010100010000000000 *
+1-
+12
+b0000000000000000111000110000000000 4
+b0000000000000000111000110000000000 6
+17
+b0000000000000000111000110000000000 8
+b0000000001100011010100010000000000 9
+b0000000000000000111000100000000000 :
+b0000000001100011010100010000000000 ;
+1<
+b0000000001100011010100010000000000 =
+1C
+1J
+#232500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#233000000
+1"
+b0000000001100100001100110000000000 *
+1-
+12
+b0000000000000000111001000000000000 4
+b0000000000000000111001000000000000 6
+17
+b0000000000000000111001000000000000 8
+b0000000001100100001100110000000000 9
+b0000000000000000111000110000000000 :
+b0000000001100100001100110000000000 ;
+1<
+b0000000001100100001100110000000000 =
+1C
+1J
+#233500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#234000000
+1"
+b0000000001100101000101100000000000 *
+1-
+12
+b0000000000000000111001010000000000 4
+b0000000000000000111001010000000000 6
+17
+b0000000000000000111001010000000000 8
+b0000000001100101000101100000000000 9
+b0000000000000000111001000000000000 :
+b0000000001100101000101100000000000 ;
+1<
+b0000000001100101000101100000000000 =
+1C
+1J
+#234500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#235000000
+1"
+b0000000001100101111110100000000000 *
+1-
+12
+b0000000000000000111001100000000000 4
+b0000000000000000111001100000000000 6
+17
+b0000000000000000111001100000000000 8
+b0000000001100101111110100000000000 9
+b0000000000000000111001010000000000 :
+b0000000001100101111110100000000000 ;
+1<
+b0000000001100101111110100000000000 =
+1C
+1J
+#235500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#236000000
+1"
+b0000000001100110110111110000000000 *
+1-
+12
+b0000000000000000111001110000000000 4
+b0000000000000000111001110000000000 6
+17
+b0000000000000000111001110000000000 8
+b0000000001100110110111110000000000 9
+b0000000000000000111001100000000000 :
+b0000000001100110110111110000000000 ;
+1<
+b0000000001100110110111110000000000 =
+1C
+1J
+#236500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#237000000
+1"
+b0000000001100111110001010000000000 *
+1-
+12
+b0000000000000000111010000000000000 4
+b0000000000000000111010000000000000 6
+17
+b0000000000000000111010000000000000 8
+b0000000001100111110001010000000000 9
+b0000000000000000111001110000000000 :
+b0000000001100111110001010000000000 ;
+1<
+b0000000001100111110001010000000000 =
+1C
+1J
+#237500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#238000000
+1"
+b0000000001101000101011000000000000 *
+1-
+12
+b0000000000000000111010010000000000 4
+b0000000000000000111010010000000000 6
+17
+b0000000000000000111010010000000000 8
+b0000000001101000101011000000000000 9
+b0000000000000000111010000000000000 :
+b0000000001101000101011000000000000 ;
+1<
+b0000000001101000101011000000000000 =
+1C
+1J
+#238500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#239000000
+1"
+b0000000001101001100101000000000000 *
+1-
+12
+b0000000000000000111010100000000000 4
+b0000000000000000111010100000000000 6
+17
+b0000000000000000111010100000000000 8
+b0000000001101001100101000000000000 9
+b0000000000000000111010010000000000 :
+b0000000001101001100101000000000000 ;
+1<
+b0000000001101001100101000000000000 =
+1C
+1J
+#239500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#240000000
+1"
+b0000000001101010011111010000000000 *
+1-
+12
+b0000000000000000111010110000000000 4
+b0000000000000000111010110000000000 6
+17
+b0000000000000000111010110000000000 8
+b0000000001101010011111010000000000 9
+b0000000000000000111010100000000000 :
+b0000000001101010011111010000000000 ;
+1<
+b0000000001101010011111010000000000 =
+1C
+1J
+#240500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#241000000
+1"
+b0000000001101011011001110000000000 *
+1-
+12
+b0000000000000000111011000000000000 4
+b0000000000000000111011000000000000 6
+17
+b0000000000000000111011000000000000 8
+b0000000001101011011001110000000000 9
+b0000000000000000111010110000000000 :
+b0000000001101011011001110000000000 ;
+1<
+b0000000001101011011001110000000000 =
+1C
+1J
+#241500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#242000000
+1"
+b0000000001101100010100100000000000 *
+1-
+12
+b0000000000000000111011010000000000 4
+b0000000000000000111011010000000000 6
+17
+b0000000000000000111011010000000000 8
+b0000000001101100010100100000000000 9
+b0000000000000000111011000000000000 :
+b0000000001101100010100100000000000 ;
+1<
+b0000000001101100010100100000000000 =
+1C
+1J
+#242500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#243000000
+1"
+b0000000001101101001111100000000000 *
+1-
+12
+b0000000000000000111011100000000000 4
+b0000000000000000111011100000000000 6
+17
+b0000000000000000111011100000000000 8
+b0000000001101101001111100000000000 9
+b0000000000000000111011010000000000 :
+b0000000001101101001111100000000000 ;
+1<
+b0000000001101101001111100000000000 =
+1C
+1J
+#243500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#244000000
+1"
+b0000000001101110001010110000000000 *
+1-
+12
+b0000000000000000111011110000000000 4
+b0000000000000000111011110000000000 6
+17
+b0000000000000000111011110000000000 8
+b0000000001101110001010110000000000 9
+b0000000000000000111011100000000000 :
+b0000000001101110001010110000000000 ;
+1<
+b0000000001101110001010110000000000 =
+1C
+1J
+#244500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#245000000
+1"
+b0000000001101111000110010000000000 *
+1-
+12
+b0000000000000000111100000000000000 4
+b0000000000000000111100000000000000 6
+17
+b0000000000000000111100000000000000 8
+b0000000001101111000110010000000000 9
+b0000000000000000111011110000000000 :
+b0000000001101111000110010000000000 ;
+1<
+b0000000001101111000110010000000000 =
+1C
+1J
+#245500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#246000000
+1"
+b0000000001110000000010000000000000 *
+1-
+12
+b0000000000000000111100010000000000 4
+b0000000000000000111100010000000000 6
+17
+b0000000000000000111100010000000000 8
+b0000000001110000000010000000000000 9
+b0000000000000000111100000000000000 :
+b0000000001110000000010000000000000 ;
+1<
+b0000000001110000000010000000000000 =
+1C
+1J
+#246500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#247000000
+1"
+b0000000001110000111110000000000000 *
+1-
+12
+b0000000000000000111100100000000000 4
+b0000000000000000111100100000000000 6
+17
+b0000000000000000111100100000000000 8
+b0000000001110000111110000000000000 9
+b0000000000000000111100010000000000 :
+b0000000001110000111110000000000000 ;
+1<
+b0000000001110000111110000000000000 =
+1C
+1J
+#247500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#248000000
+1"
+b0000000001110001111010010000000000 *
+1-
+12
+b0000000000000000111100110000000000 4
+b0000000000000000111100110000000000 6
+17
+b0000000000000000111100110000000000 8
+b0000000001110001111010010000000000 9
+b0000000000000000111100100000000000 :
+b0000000001110001111010010000000000 ;
+1<
+b0000000001110001111010010000000000 =
+1C
+1J
+#248500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#249000000
+1"
+b0000000001110010110110110000000000 *
+1-
+12
+b0000000000000000111101000000000000 4
+b0000000000000000111101000000000000 6
+17
+b0000000000000000111101000000000000 8
+b0000000001110010110110110000000000 9
+b0000000000000000111100110000000000 :
+b0000000001110010110110110000000000 ;
+1<
+b0000000001110010110110110000000000 =
+1C
+1J
+#249500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#250000000
+1"
+b0000000001110011110011100000000000 *
+1-
+12
+b0000000000000000111101010000000000 4
+b0000000000000000111101010000000000 6
+17
+b0000000000000000111101010000000000 8
+b0000000001110011110011100000000000 9
+b0000000000000000111101000000000000 :
+b0000000001110011110011100000000000 ;
+1<
+b0000000001110011110011100000000000 =
+1C
+1J
+#250500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#251000000
+1"
+b0000000001110100110000100000000000 *
+1-
+12
+b0000000000000000111101100000000000 4
+b0000000000000000111101100000000000 6
+17
+b0000000000000000111101100000000000 8
+b0000000001110100110000100000000000 9
+b0000000000000000111101010000000000 :
+b0000000001110100110000100000000000 ;
+1<
+b0000000001110100110000100000000000 =
+1C
+1J
+#251500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#252000000
+1"
+b0000000001110101101101110000000000 *
+1-
+12
+b0000000000000000111101110000000000 4
+b0000000000000000111101110000000000 6
+17
+b0000000000000000111101110000000000 8
+b0000000001110101101101110000000000 9
+b0000000000000000111101100000000000 :
+b0000000001110101101101110000000000 ;
+1<
+b0000000001110101101101110000000000 =
+1C
+1J
+#252500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#253000000
+1"
+b0000000001110110101011010000000000 *
+1-
+12
+b0000000000000000111110000000000000 4
+b0000000000000000111110000000000000 6
+17
+b0000000000000000111110000000000000 8
+b0000000001110110101011010000000000 9
+b0000000000000000111101110000000000 :
+b0000000001110110101011010000000000 ;
+1<
+b0000000001110110101011010000000000 =
+1C
+1J
+#253500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#254000000
+1"
+b0000000001110111101001000000000000 *
+1-
+12
+b0000000000000000111110010000000000 4
+b0000000000000000111110010000000000 6
+17
+b0000000000000000111110010000000000 8
+b0000000001110111101001000000000000 9
+b0000000000000000111110000000000000 :
+b0000000001110111101001000000000000 ;
+1<
+b0000000001110111101001000000000000 =
+1C
+1J
+#254500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#255000000
+1"
+b0000000001111000100111000000000000 *
+1-
+12
+b0000000000000000111110100000000000 4
+b0000000000000000111110100000000000 6
+17
+b0000000000000000111110100000000000 8
+b0000000001111000100111000000000000 9
+b0000000000000000111110010000000000 :
+b0000000001111000100111000000000000 ;
+1<
+b0000000001111000100111000000000000 =
+1C
+1J
+#255500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#256000000
+1"
+b0000000001111001100101010000000000 *
+1-
+12
+b0000000000000000111110110000000000 4
+b0000000000000000111110110000000000 6
+17
+b0000000000000000111110110000000000 8
+b0000000001111001100101010000000000 9
+b0000000000000000111110100000000000 :
+b0000000001111001100101010000000000 ;
+1<
+b0000000001111001100101010000000000 =
+1C
+1J
+#256500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#257000000
+1"
+1'
+b0000000001111010100011110000000000 *
+1+
+1-
+12
+b0000000000000000111111000000000000 4
+b0000000000000000111111000000000000 6
+17
+b0000000000000000111111000000000000 8
+b0000000001111010100011110000000000 9
+b0000000000000000111110110000000000 :
+b0000000001111010100011110000000000 ;
+1<
+b0000000001111010100011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#257500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#258000000
+1"
+b00000000000000000001001 &
+0'
+b0000000001111011100010100000000000 *
+0+
+b0000000000001001010000100000000000 ,
+1-
+12
+b0000000000000000111111010000000000 4
+b0000000000000000111111010000000000 6
+17
+b0000000000000000111111010000000000 8
+b0000000001111011100010100000000000 9
+b0000000000000000111111000000000000 :
+b0000000001111011100010100000000000 ;
+1<
+b0000000001111011100010100000000000 =
+b0000000000110110101000000000000000 >
+0?
+b0000000001111010100011110000000000 A
+b0000000000110110101000000000000000 B
+1C
+b0000000000110110101000000000000000 D
+b0000000000010000000000000000000000 E
+0F
+b0000000000100110101000000000000000 H
+b0000000000010000000000000000000000 I
+1J
+b0000000000010000000000000000000000 K
+b0000000000001001010000100000000000 L
+0M
+b0000000000001111111100010000000000 O
+b0000000000001001010000100000000000 P
+#258500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#259000000
+1"
+b0000000001111100100001100000000000 *
+1-
+12
+b0000000000000000111111100000000000 4
+b0000000000000000111111100000000000 6
+17
+b0000000000000000111111100000000000 8
+b0000000001111100100001100000000000 9
+b0000000000000000111111010000000000 :
+b0000000001111100100001100000000000 ;
+1<
+b0000000001111100100001100000000000 =
+1C
+1J
+#259500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#260000000
+1"
+b0000000001111101100000110000000000 *
+1-
+12
+b0000000000000000111111110000000000 4
+b0000000000000000111111110000000000 6
+17
+b0000000000000000111111110000000000 8
+b0000000001111101100000110000000000 9
+b0000000000000000111111100000000000 :
+b0000000001111101100000110000000000 ;
+1<
+b0000000001111101100000110000000000 =
+1C
+1J
+#260500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#261000000
+1"
+b0000000001111110100000010000000000 *
+1-
+12
+b0000000000000001000000000000000000 4
+b0000000000000001000000000000000000 6
+17
+b0000000000000001000000000000000000 8
+b0000000001111110100000010000000000 9
+b0000000000000000111111110000000000 :
+b0000000001111110100000010000000000 ;
+1<
+b0000000001111110100000010000000000 =
+1C
+1J
+#261500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#262000000
+1"
+b0000000001111111100000000000000000 *
+1-
+12
+b0000000000000001000000010000000000 4
+b0000000000000001000000010000000000 6
+17
+b0000000000000001000000010000000000 8
+b0000000001111111100000000000000000 9
+b0000000000000001000000000000000000 :
+b0000000001111111100000000000000000 ;
+1<
+b0000000001111111100000000000000000 =
+1C
+1J
+#262500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#263000000
+1"
+b0000000010000000100000000000000000 *
+1-
+12
+b0000000000000001000000100000000000 4
+b0000000000000001000000100000000000 6
+17
+b0000000000000001000000100000000000 8
+b0000000010000000100000000000000000 9
+b0000000000000001000000010000000000 :
+b0000000010000000100000000000000000 ;
+1<
+b0000000010000000100000000000000000 =
+1C
+1J
+#263500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#264000000
+1"
+b0000000010000001100000010000000000 *
+1-
+12
+b0000000000000001000000110000000000 4
+b0000000000000001000000110000000000 6
+17
+b0000000000000001000000110000000000 8
+b0000000010000001100000010000000000 9
+b0000000000000001000000100000000000 :
+b0000000010000001100000010000000000 ;
+1<
+b0000000010000001100000010000000000 =
+1C
+1J
+#264500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#265000000
+1"
+b0000000010000010100000110000000000 *
+1-
+12
+b0000000000000001000001000000000000 4
+b0000000000000001000001000000000000 6
+17
+b0000000000000001000001000000000000 8
+b0000000010000010100000110000000000 9
+b0000000000000001000000110000000000 :
+b0000000010000010100000110000000000 ;
+1<
+b0000000010000010100000110000000000 =
+1C
+1J
+#265500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#266000000
+1"
+b0000000010000011100001100000000000 *
+1-
+12
+b0000000000000001000001010000000000 4
+b0000000000000001000001010000000000 6
+17
+b0000000000000001000001010000000000 8
+b0000000010000011100001100000000000 9
+b0000000000000001000001000000000000 :
+b0000000010000011100001100000000000 ;
+1<
+b0000000010000011100001100000000000 =
+1C
+1J
+#266500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#267000000
+1"
+b0000000010000100100010100000000000 *
+1-
+12
+b0000000000000001000001100000000000 4
+b0000000000000001000001100000000000 6
+17
+b0000000000000001000001100000000000 8
+b0000000010000100100010100000000000 9
+b0000000000000001000001010000000000 :
+b0000000010000100100010100000000000 ;
+1<
+b0000000010000100100010100000000000 =
+1C
+1J
+#267500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#268000000
+1"
+b0000000010000101100011110000000000 *
+1-
+12
+b0000000000000001000001110000000000 4
+b0000000000000001000001110000000000 6
+17
+b0000000000000001000001110000000000 8
+b0000000010000101100011110000000000 9
+b0000000000000001000001100000000000 :
+b0000000010000101100011110000000000 ;
+1<
+b0000000010000101100011110000000000 =
+1C
+1J
+#268500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#269000000
+1"
+b0000000010000110100101010000000000 *
+1-
+12
+b0000000000000001000010000000000000 4
+b0000000000000001000010000000000000 6
+17
+b0000000000000001000010000000000000 8
+b0000000010000110100101010000000000 9
+b0000000000000001000001110000000000 :
+b0000000010000110100101010000000000 ;
+1<
+b0000000010000110100101010000000000 =
+1C
+1J
+#269500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#270000000
+1"
+b0000000010000111100111000000000000 *
+1-
+12
+b0000000000000001000010010000000000 4
+b0000000000000001000010010000000000 6
+17
+b0000000000000001000010010000000000 8
+b0000000010000111100111000000000000 9
+b0000000000000001000010000000000000 :
+b0000000010000111100111000000000000 ;
+1<
+b0000000010000111100111000000000000 =
+1C
+1J
+#270500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#271000000
+1"
+b0000000010001000101001000000000000 *
+1-
+12
+b0000000000000001000010100000000000 4
+b0000000000000001000010100000000000 6
+17
+b0000000000000001000010100000000000 8
+b0000000010001000101001000000000000 9
+b0000000000000001000010010000000000 :
+b0000000010001000101001000000000000 ;
+1<
+b0000000010001000101001000000000000 =
+1C
+1J
+#271500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#272000000
+1"
+b0000000010001001101011010000000000 *
+1-
+12
+b0000000000000001000010110000000000 4
+b0000000000000001000010110000000000 6
+17
+b0000000000000001000010110000000000 8
+b0000000010001001101011010000000000 9
+b0000000000000001000010100000000000 :
+b0000000010001001101011010000000000 ;
+1<
+b0000000010001001101011010000000000 =
+1C
+1J
+#272500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#273000000
+1"
+b0000000010001010101101110000000000 *
+1-
+12
+b0000000000000001000011000000000000 4
+b0000000000000001000011000000000000 6
+17
+b0000000000000001000011000000000000 8
+b0000000010001010101101110000000000 9
+b0000000000000001000010110000000000 :
+b0000000010001010101101110000000000 ;
+1<
+b0000000010001010101101110000000000 =
+1C
+1J
+#273500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#274000000
+1"
+b0000000010001011110000100000000000 *
+1-
+12
+b0000000000000001000011010000000000 4
+b0000000000000001000011010000000000 6
+17
+b0000000000000001000011010000000000 8
+b0000000010001011110000100000000000 9
+b0000000000000001000011000000000000 :
+b0000000010001011110000100000000000 ;
+1<
+b0000000010001011110000100000000000 =
+1C
+1J
+#274500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#275000000
+1"
+b0000000010001100110011100000000000 *
+1-
+12
+b0000000000000001000011100000000000 4
+b0000000000000001000011100000000000 6
+17
+b0000000000000001000011100000000000 8
+b0000000010001100110011100000000000 9
+b0000000000000001000011010000000000 :
+b0000000010001100110011100000000000 ;
+1<
+b0000000010001100110011100000000000 =
+1C
+1J
+#275500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#276000000
+1"
+b0000000010001101110110110000000000 *
+1-
+12
+b0000000000000001000011110000000000 4
+b0000000000000001000011110000000000 6
+17
+b0000000000000001000011110000000000 8
+b0000000010001101110110110000000000 9
+b0000000000000001000011100000000000 :
+b0000000010001101110110110000000000 ;
+1<
+b0000000010001101110110110000000000 =
+1C
+1J
+#276500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#277000000
+1"
+b0000000010001110111010010000000000 *
+1-
+12
+b0000000000000001000100000000000000 4
+b0000000000000001000100000000000000 6
+17
+b0000000000000001000100000000000000 8
+b0000000010001110111010010000000000 9
+b0000000000000001000011110000000000 :
+b0000000010001110111010010000000000 ;
+1<
+b0000000010001110111010010000000000 =
+1C
+1J
+#277500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#278000000
+1"
+b0000000010001111111110000000000000 *
+1-
+12
+b0000000000000001000100010000000000 4
+b0000000000000001000100010000000000 6
+17
+b0000000000000001000100010000000000 8
+b0000000010001111111110000000000000 9
+b0000000000000001000100000000000000 :
+b0000000010001111111110000000000000 ;
+1<
+b0000000010001111111110000000000000 =
+1C
+1J
+#278500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#279000000
+1"
+b0000000010010001000010000000000000 *
+1-
+12
+b0000000000000001000100100000000000 4
+b0000000000000001000100100000000000 6
+17
+b0000000000000001000100100000000000 8
+b0000000010010001000010000000000000 9
+b0000000000000001000100010000000000 :
+b0000000010010001000010000000000000 ;
+1<
+b0000000010010001000010000000000000 =
+1C
+1J
+#279500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#280000000
+1"
+b0000000010010010000110010000000000 *
+1-
+12
+b0000000000000001000100110000000000 4
+b0000000000000001000100110000000000 6
+17
+b0000000000000001000100110000000000 8
+b0000000010010010000110010000000000 9
+b0000000000000001000100100000000000 :
+b0000000010010010000110010000000000 ;
+1<
+b0000000010010010000110010000000000 =
+1C
+1J
+#280500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#281000000
+1"
+b0000000010010011001010110000000000 *
+1-
+12
+b0000000000000001000101000000000000 4
+b0000000000000001000101000000000000 6
+17
+b0000000000000001000101000000000000 8
+b0000000010010011001010110000000000 9
+b0000000000000001000100110000000000 :
+b0000000010010011001010110000000000 ;
+1<
+b0000000010010011001010110000000000 =
+1C
+1J
+#281500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#282000000
+1"
+b0000000010010100001111100000000000 *
+1-
+12
+b0000000000000001000101010000000000 4
+b0000000000000001000101010000000000 6
+17
+b0000000000000001000101010000000000 8
+b0000000010010100001111100000000000 9
+b0000000000000001000101000000000000 :
+b0000000010010100001111100000000000 ;
+1<
+b0000000010010100001111100000000000 =
+1C
+1J
+#282500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#283000000
+1"
+b0000000010010101010100100000000000 *
+1-
+12
+b0000000000000001000101100000000000 4
+b0000000000000001000101100000000000 6
+17
+b0000000000000001000101100000000000 8
+b0000000010010101010100100000000000 9
+b0000000000000001000101010000000000 :
+b0000000010010101010100100000000000 ;
+1<
+b0000000010010101010100100000000000 =
+1C
+1J
+#283500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#284000000
+1"
+b0000000010010110011001110000000000 *
+1-
+12
+b0000000000000001000101110000000000 4
+b0000000000000001000101110000000000 6
+17
+b0000000000000001000101110000000000 8
+b0000000010010110011001110000000000 9
+b0000000000000001000101100000000000 :
+b0000000010010110011001110000000000 ;
+1<
+b0000000010010110011001110000000000 =
+1C
+1J
+#284500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#285000000
+1"
+b0000000010010111011111010000000000 *
+1-
+12
+b0000000000000001000110000000000000 4
+b0000000000000001000110000000000000 6
+17
+b0000000000000001000110000000000000 8
+b0000000010010111011111010000000000 9
+b0000000000000001000101110000000000 :
+b0000000010010111011111010000000000 ;
+1<
+b0000000010010111011111010000000000 =
+1C
+1J
+#285500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#286000000
+1"
+b0000000010011000100101000000000000 *
+1-
+12
+b0000000000000001000110010000000000 4
+b0000000000000001000110010000000000 6
+17
+b0000000000000001000110010000000000 8
+b0000000010011000100101000000000000 9
+b0000000000000001000110000000000000 :
+b0000000010011000100101000000000000 ;
+1<
+b0000000010011000100101000000000000 =
+1C
+1J
+#286500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#287000000
+1"
+b0000000010011001101011000000000000 *
+1-
+12
+b0000000000000001000110100000000000 4
+b0000000000000001000110100000000000 6
+17
+b0000000000000001000110100000000000 8
+b0000000010011001101011000000000000 9
+b0000000000000001000110010000000000 :
+b0000000010011001101011000000000000 ;
+1<
+b0000000010011001101011000000000000 =
+1C
+1J
+#287500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#288000000
+1"
+b0000000010011010110001010000000000 *
+1-
+12
+b0000000000000001000110110000000000 4
+b0000000000000001000110110000000000 6
+17
+b0000000000000001000110110000000000 8
+b0000000010011010110001010000000000 9
+b0000000000000001000110100000000000 :
+b0000000010011010110001010000000000 ;
+1<
+b0000000010011010110001010000000000 =
+1C
+1J
+#288500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#289000000
+1"
+b0000000010011011110111110000000000 *
+1-
+12
+b0000000000000001000111000000000000 4
+b0000000000000001000111000000000000 6
+17
+b0000000000000001000111000000000000 8
+b0000000010011011110111110000000000 9
+b0000000000000001000110110000000000 :
+b0000000010011011110111110000000000 ;
+1<
+b0000000010011011110111110000000000 =
+1C
+1J
+#289500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#290000000
+1"
+b0000000010011100111110100000000000 *
+1-
+12
+b0000000000000001000111010000000000 4
+b0000000000000001000111010000000000 6
+17
+b0000000000000001000111010000000000 8
+b0000000010011100111110100000000000 9
+b0000000000000001000111000000000000 :
+b0000000010011100111110100000000000 ;
+1<
+b0000000010011100111110100000000000 =
+1C
+1J
+#290500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#291000000
+1"
+b0000000010011110000101100000000000 *
+1-
+12
+b0000000000000001000111100000000000 4
+b0000000000000001000111100000000000 6
+17
+b0000000000000001000111100000000000 8
+b0000000010011110000101100000000000 9
+b0000000000000001000111010000000000 :
+b0000000010011110000101100000000000 ;
+1<
+b0000000010011110000101100000000000 =
+1C
+1J
+#291500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#292000000
+1"
+b0000000010011111001100110000000000 *
+1-
+12
+b0000000000000001000111110000000000 4
+b0000000000000001000111110000000000 6
+17
+b0000000000000001000111110000000000 8
+b0000000010011111001100110000000000 9
+b0000000000000001000111100000000000 :
+b0000000010011111001100110000000000 ;
+1<
+b0000000010011111001100110000000000 =
+1C
+1J
+#292500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#293000000
+1"
+b0000000010100000010100010000000000 *
+1-
+12
+b0000000000000001001000000000000000 4
+b0000000000000001001000000000000000 6
+17
+b0000000000000001001000000000000000 8
+b0000000010100000010100010000000000 9
+b0000000000000001000111110000000000 :
+b0000000010100000010100010000000000 ;
+1<
+b0000000010100000010100010000000000 =
+1C
+1J
+#293500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#294000000
+1"
+b0000000010100001011100000000000000 *
+1-
+12
+b0000000000000001001000010000000000 4
+b0000000000000001001000010000000000 6
+17
+b0000000000000001001000010000000000 8
+b0000000010100001011100000000000000 9
+b0000000000000001001000000000000000 :
+b0000000010100001011100000000000000 ;
+1<
+b0000000010100001011100000000000000 =
+1C
+1J
+#294500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#295000000
+1"
+b0000000010100010100100000000000000 *
+1-
+12
+b0000000000000001001000100000000000 4
+b0000000000000001001000100000000000 6
+17
+b0000000000000001001000100000000000 8
+b0000000010100010100100000000000000 9
+b0000000000000001001000010000000000 :
+b0000000010100010100100000000000000 ;
+1<
+b0000000010100010100100000000000000 =
+1C
+1J
+#295500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#296000000
+1"
+b0000000010100011101100010000000000 *
+1-
+12
+b0000000000000001001000110000000000 4
+b0000000000000001001000110000000000 6
+17
+b0000000000000001001000110000000000 8
+b0000000010100011101100010000000000 9
+b0000000000000001001000100000000000 :
+b0000000010100011101100010000000000 ;
+1<
+b0000000010100011101100010000000000 =
+1C
+1J
+#296500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#297000000
+1"
+b0000000010100100110100110000000000 *
+1-
+12
+b0000000000000001001001000000000000 4
+b0000000000000001001001000000000000 6
+17
+b0000000000000001001001000000000000 8
+b0000000010100100110100110000000000 9
+b0000000000000001001000110000000000 :
+b0000000010100100110100110000000000 ;
+1<
+b0000000010100100110100110000000000 =
+1C
+1J
+#297500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#298000000
+1"
+b0000000010100101111101100000000000 *
+1-
+12
+b0000000000000001001001010000000000 4
+b0000000000000001001001010000000000 6
+17
+b0000000000000001001001010000000000 8
+b0000000010100101111101100000000000 9
+b0000000000000001001001000000000000 :
+b0000000010100101111101100000000000 ;
+1<
+b0000000010100101111101100000000000 =
+1C
+1J
+#298500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#299000000
+1"
+b0000000010100111000110100000000000 *
+1-
+12
+b0000000000000001001001100000000000 4
+b0000000000000001001001100000000000 6
+17
+b0000000000000001001001100000000000 8
+b0000000010100111000110100000000000 9
+b0000000000000001001001010000000000 :
+b0000000010100111000110100000000000 ;
+1<
+b0000000010100111000110100000000000 =
+1C
+1J
+#299500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#300000000
+1"
+b0000000010101000001111110000000000 *
+1-
+12
+b0000000000000001001001110000000000 4
+b0000000000000001001001110000000000 6
+17
+b0000000000000001001001110000000000 8
+b0000000010101000001111110000000000 9
+b0000000000000001001001100000000000 :
+b0000000010101000001111110000000000 ;
+1<
+b0000000010101000001111110000000000 =
+1C
+1J
+#300500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#301000000
+1"
+b0000000010101001011001010000000000 *
+1-
+12
+b0000000000000001001010000000000000 4
+b0000000000000001001010000000000000 6
+17
+b0000000000000001001010000000000000 8
+b0000000010101001011001010000000000 9
+b0000000000000001001001110000000000 :
+b0000000010101001011001010000000000 ;
+1<
+b0000000010101001011001010000000000 =
+1C
+1J
+#301500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#302000000
+1"
+b0000000010101010100011000000000000 *
+1-
+12
+b0000000000000001001010010000000000 4
+b0000000000000001001010010000000000 6
+17
+b0000000000000001001010010000000000 8
+b0000000010101010100011000000000000 9
+b0000000000000001001010000000000000 :
+b0000000010101010100011000000000000 ;
+1<
+b0000000010101010100011000000000000 =
+1C
+1J
+#302500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#303000000
+1"
+b0000000010101011101101000000000000 *
+1-
+12
+b0000000000000001001010100000000000 4
+b0000000000000001001010100000000000 6
+17
+b0000000000000001001010100000000000 8
+b0000000010101011101101000000000000 9
+b0000000000000001001010010000000000 :
+b0000000010101011101101000000000000 ;
+1<
+b0000000010101011101101000000000000 =
+1C
+1J
+#303500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#304000000
+1"
+b0000000010101100110111010000000000 *
+1-
+12
+b0000000000000001001010110000000000 4
+b0000000000000001001010110000000000 6
+17
+b0000000000000001001010110000000000 8
+b0000000010101100110111010000000000 9
+b0000000000000001001010100000000000 :
+b0000000010101100110111010000000000 ;
+1<
+b0000000010101100110111010000000000 =
+1C
+1J
+#304500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#305000000
+1"
+b0000000010101110000001110000000000 *
+1-
+12
+b0000000000000001001011000000000000 4
+b0000000000000001001011000000000000 6
+17
+b0000000000000001001011000000000000 8
+b0000000010101110000001110000000000 9
+b0000000000000001001010110000000000 :
+b0000000010101110000001110000000000 ;
+1<
+b0000000010101110000001110000000000 =
+1C
+1J
+#305500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#306000000
+1"
+b0000000010101111001100100000000000 *
+1-
+12
+b0000000000000001001011010000000000 4
+b0000000000000001001011010000000000 6
+17
+b0000000000000001001011010000000000 8
+b0000000010101111001100100000000000 9
+b0000000000000001001011000000000000 :
+b0000000010101111001100100000000000 ;
+1<
+b0000000010101111001100100000000000 =
+1C
+1J
+#306500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#307000000
+1"
+b0000000010110000010111100000000000 *
+1-
+12
+b0000000000000001001011100000000000 4
+b0000000000000001001011100000000000 6
+17
+b0000000000000001001011100000000000 8
+b0000000010110000010111100000000000 9
+b0000000000000001001011010000000000 :
+b0000000010110000010111100000000000 ;
+1<
+b0000000010110000010111100000000000 =
+1C
+1J
+#307500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#308000000
+1"
+b0000000010110001100010110000000000 *
+1-
+12
+b0000000000000001001011110000000000 4
+b0000000000000001001011110000000000 6
+17
+b0000000000000001001011110000000000 8
+b0000000010110001100010110000000000 9
+b0000000000000001001011100000000000 :
+b0000000010110001100010110000000000 ;
+1<
+b0000000010110001100010110000000000 =
+1C
+1J
+#308500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#309000000
+1"
+b0000000010110010101110010000000000 *
+1-
+12
+b0000000000000001001100000000000000 4
+b0000000000000001001100000000000000 6
+17
+b0000000000000001001100000000000000 8
+b0000000010110010101110010000000000 9
+b0000000000000001001011110000000000 :
+b0000000010110010101110010000000000 ;
+1<
+b0000000010110010101110010000000000 =
+1C
+1J
+#309500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#310000000
+1"
+b0000000010110011111010000000000000 *
+1-
+12
+b0000000000000001001100010000000000 4
+b0000000000000001001100010000000000 6
+17
+b0000000000000001001100010000000000 8
+b0000000010110011111010000000000000 9
+b0000000000000001001100000000000000 :
+b0000000010110011111010000000000000 ;
+1<
+b0000000010110011111010000000000000 =
+1C
+1J
+#310500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#311000000
+1"
+b0000000010110101000110000000000000 *
+1-
+12
+b0000000000000001001100100000000000 4
+b0000000000000001001100100000000000 6
+17
+b0000000000000001001100100000000000 8
+b0000000010110101000110000000000000 9
+b0000000000000001001100010000000000 :
+b0000000010110101000110000000000000 ;
+1<
+b0000000010110101000110000000000000 =
+1C
+1J
+#311500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#312000000
+1"
+b0000000010110110010010010000000000 *
+1-
+12
+b0000000000000001001100110000000000 4
+b0000000000000001001100110000000000 6
+17
+b0000000000000001001100110000000000 8
+b0000000010110110010010010000000000 9
+b0000000000000001001100100000000000 :
+b0000000010110110010010010000000000 ;
+1<
+b0000000010110110010010010000000000 =
+1C
+1J
+#312500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#313000000
+1"
+b0000000010110111011110110000000000 *
+1-
+12
+b0000000000000001001101000000000000 4
+b0000000000000001001101000000000000 6
+17
+b0000000000000001001101000000000000 8
+b0000000010110111011110110000000000 9
+b0000000000000001001100110000000000 :
+b0000000010110111011110110000000000 ;
+1<
+b0000000010110111011110110000000000 =
+1C
+1J
+#313500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#314000000
+1"
+b0000000010111000101011100000000000 *
+1-
+12
+b0000000000000001001101010000000000 4
+b0000000000000001001101010000000000 6
+17
+b0000000000000001001101010000000000 8
+b0000000010111000101011100000000000 9
+b0000000000000001001101000000000000 :
+b0000000010111000101011100000000000 ;
+1<
+b0000000010111000101011100000000000 =
+1C
+1J
+#314500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#315000000
+1"
+b0000000010111001111000100000000000 *
+1-
+12
+b0000000000000001001101100000000000 4
+b0000000000000001001101100000000000 6
+17
+b0000000000000001001101100000000000 8
+b0000000010111001111000100000000000 9
+b0000000000000001001101010000000000 :
+b0000000010111001111000100000000000 ;
+1<
+b0000000010111001111000100000000000 =
+1C
+1J
+#315500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#316000000
+1"
+b0000000010111011000101110000000000 *
+1-
+12
+b0000000000000001001101110000000000 4
+b0000000000000001001101110000000000 6
+17
+b0000000000000001001101110000000000 8
+b0000000010111011000101110000000000 9
+b0000000000000001001101100000000000 :
+b0000000010111011000101110000000000 ;
+1<
+b0000000010111011000101110000000000 =
+1C
+1J
+#316500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#317000000
+1"
+b0000000010111100010011010000000000 *
+1-
+12
+b0000000000000001001110000000000000 4
+b0000000000000001001110000000000000 6
+17
+b0000000000000001001110000000000000 8
+b0000000010111100010011010000000000 9
+b0000000000000001001101110000000000 :
+b0000000010111100010011010000000000 ;
+1<
+b0000000010111100010011010000000000 =
+1C
+1J
+#317500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#318000000
+1"
+b0000000010111101100001000000000000 *
+1-
+12
+b0000000000000001001110010000000000 4
+b0000000000000001001110010000000000 6
+17
+b0000000000000001001110010000000000 8
+b0000000010111101100001000000000000 9
+b0000000000000001001110000000000000 :
+b0000000010111101100001000000000000 ;
+1<
+b0000000010111101100001000000000000 =
+1C
+1J
+#318500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#319000000
+1"
+b0000000010111110101111000000000000 *
+1-
+12
+b0000000000000001001110100000000000 4
+b0000000000000001001110100000000000 6
+17
+b0000000000000001001110100000000000 8
+b0000000010111110101111000000000000 9
+b0000000000000001001110010000000000 :
+b0000000010111110101111000000000000 ;
+1<
+b0000000010111110101111000000000000 =
+1C
+1J
+#319500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#320000000
+1"
+b0000000010111111111101010000000000 *
+1-
+12
+b0000000000000001001110110000000000 4
+b0000000000000001001110110000000000 6
+17
+b0000000000000001001110110000000000 8
+b0000000010111111111101010000000000 9
+b0000000000000001001110100000000000 :
+b0000000010111111111101010000000000 ;
+1<
+b0000000010111111111101010000000000 =
+1C
+1J
+#320500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#321000000
+1"
+1'
+b0000000011000001001011110000000000 *
+1+
+1-
+12
+b0000000000000001001111000000000000 4
+b0000000000000001001111000000000000 6
+17
+b0000000000000001001111000000000000 8
+b0000000011000001001011110000000000 9
+b0000000000000001001110110000000000 :
+b0000000011000001001011110000000000 ;
+1<
+b0000000011000001001011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#321500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#322000000
+1"
+b00000000000000000000000 &
+0'
+b0000000011000010011010100000000000 *
+0+
+b0000000000000000000011110000000000 ,
+1-
+12
+b0000000000000001001111010000000000 4
+b0000000000000001001111010000000000 6
+17
+b0000000000000001001111010000000000 8
+b0000000011000010011010100000000000 9
+b0000000000000001001111000000000000 :
+b0000000011000010011010100000000000 ;
+1<
+b0000000011000010011010100000000000 =
+b0000000001000110101000000000000000 >
+0?
+b0000000011000001001011110000000000 A
+b0000000001000110101000000000000000 B
+1C
+b0000000001000110101000000000000000 D
+0F
+b0000000000110110101000000000000000 H
+1J
+b0000000000000000000011110000000000 L
+0M
+b0000000000010000000000000000000000 O
+b0000000000000000000011110000000000 P
+#322500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#323000000
+1"
+b0000000011000011101001100000000000 *
+1-
+12
+b0000000000000001001111100000000000 4
+b0000000000000001001111100000000000 6
+17
+b0000000000000001001111100000000000 8
+b0000000011000011101001100000000000 9
+b0000000000000001001111010000000000 :
+b0000000011000011101001100000000000 ;
+1<
+b0000000011000011101001100000000000 =
+1C
+1J
+#323500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#324000000
+1"
+b0000000011000100111000110000000000 *
+1-
+12
+b0000000000000001001111110000000000 4
+b0000000000000001001111110000000000 6
+17
+b0000000000000001001111110000000000 8
+b0000000011000100111000110000000000 9
+b0000000000000001001111100000000000 :
+b0000000011000100111000110000000000 ;
+1<
+b0000000011000100111000110000000000 =
+1C
+1J
+#324500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#325000000
+1"
+b0000000011000110001000010000000000 *
+1-
+12
+b0000000000000001010000000000000000 4
+b0000000000000001010000000000000000 6
+17
+b0000000000000001010000000000000000 8
+b0000000011000110001000010000000000 9
+b0000000000000001001111110000000000 :
+b0000000011000110001000010000000000 ;
+1<
+b0000000011000110001000010000000000 =
+1C
+1J
+#325500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#326000000
+1"
+b0000000011000111011000000000000000 *
+1-
+12
+b0000000000000001010000010000000000 4
+b0000000000000001010000010000000000 6
+17
+b0000000000000001010000010000000000 8
+b0000000011000111011000000000000000 9
+b0000000000000001010000000000000000 :
+b0000000011000111011000000000000000 ;
+1<
+b0000000011000111011000000000000000 =
+1C
+1J
+#326500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#327000000
+1"
+b0000000011001000101000000000000000 *
+1-
+12
+b0000000000000001010000100000000000 4
+b0000000000000001010000100000000000 6
+17
+b0000000000000001010000100000000000 8
+b0000000011001000101000000000000000 9
+b0000000000000001010000010000000000 :
+b0000000011001000101000000000000000 ;
+1<
+b0000000011001000101000000000000000 =
+1C
+1J
+#327500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#328000000
+1"
+b0000000011001001111000010000000000 *
+1-
+12
+b0000000000000001010000110000000000 4
+b0000000000000001010000110000000000 6
+17
+b0000000000000001010000110000000000 8
+b0000000011001001111000010000000000 9
+b0000000000000001010000100000000000 :
+b0000000011001001111000010000000000 ;
+1<
+b0000000011001001111000010000000000 =
+1C
+1J
+#328500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#329000000
+1"
+b0000000011001011001000110000000000 *
+1-
+12
+b0000000000000001010001000000000000 4
+b0000000000000001010001000000000000 6
+17
+b0000000000000001010001000000000000 8
+b0000000011001011001000110000000000 9
+b0000000000000001010000110000000000 :
+b0000000011001011001000110000000000 ;
+1<
+b0000000011001011001000110000000000 =
+1C
+1J
+#329500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#330000000
+1"
+b0000000011001100011001100000000000 *
+1-
+12
+b0000000000000001010001010000000000 4
+b0000000000000001010001010000000000 6
+17
+b0000000000000001010001010000000000 8
+b0000000011001100011001100000000000 9
+b0000000000000001010001000000000000 :
+b0000000011001100011001100000000000 ;
+1<
+b0000000011001100011001100000000000 =
+1C
+1J
+#330500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#331000000
+1"
+b0000000011001101101010100000000000 *
+1-
+12
+b0000000000000001010001100000000000 4
+b0000000000000001010001100000000000 6
+17
+b0000000000000001010001100000000000 8
+b0000000011001101101010100000000000 9
+b0000000000000001010001010000000000 :
+b0000000011001101101010100000000000 ;
+1<
+b0000000011001101101010100000000000 =
+1C
+1J
+#331500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#332000000
+1"
+b0000000011001110111011110000000000 *
+1-
+12
+b0000000000000001010001110000000000 4
+b0000000000000001010001110000000000 6
+17
+b0000000000000001010001110000000000 8
+b0000000011001110111011110000000000 9
+b0000000000000001010001100000000000 :
+b0000000011001110111011110000000000 ;
+1<
+b0000000011001110111011110000000000 =
+1C
+1J
+#332500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#333000000
+1"
+b0000000011010000001101010000000000 *
+1-
+12
+b0000000000000001010010000000000000 4
+b0000000000000001010010000000000000 6
+17
+b0000000000000001010010000000000000 8
+b0000000011010000001101010000000000 9
+b0000000000000001010001110000000000 :
+b0000000011010000001101010000000000 ;
+1<
+b0000000011010000001101010000000000 =
+1C
+1J
+#333500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#334000000
+1"
+b0000000011010001011111000000000000 *
+1-
+12
+b0000000000000001010010010000000000 4
+b0000000000000001010010010000000000 6
+17
+b0000000000000001010010010000000000 8
+b0000000011010001011111000000000000 9
+b0000000000000001010010000000000000 :
+b0000000011010001011111000000000000 ;
+1<
+b0000000011010001011111000000000000 =
+1C
+1J
+#334500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#335000000
+1"
+b0000000011010010110001000000000000 *
+1-
+12
+b0000000000000001010010100000000000 4
+b0000000000000001010010100000000000 6
+17
+b0000000000000001010010100000000000 8
+b0000000011010010110001000000000000 9
+b0000000000000001010010010000000000 :
+b0000000011010010110001000000000000 ;
+1<
+b0000000011010010110001000000000000 =
+1C
+1J
+#335500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#336000000
+1"
+b0000000011010100000011010000000000 *
+1-
+12
+b0000000000000001010010110000000000 4
+b0000000000000001010010110000000000 6
+17
+b0000000000000001010010110000000000 8
+b0000000011010100000011010000000000 9
+b0000000000000001010010100000000000 :
+b0000000011010100000011010000000000 ;
+1<
+b0000000011010100000011010000000000 =
+1C
+1J
+#336500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#337000000
+1"
+b0000000011010101010101110000000000 *
+1-
+12
+b0000000000000001010011000000000000 4
+b0000000000000001010011000000000000 6
+17
+b0000000000000001010011000000000000 8
+b0000000011010101010101110000000000 9
+b0000000000000001010010110000000000 :
+b0000000011010101010101110000000000 ;
+1<
+b0000000011010101010101110000000000 =
+1C
+1J
+#337500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#338000000
+1"
+b0000000011010110101000100000000000 *
+1-
+12
+b0000000000000001010011010000000000 4
+b0000000000000001010011010000000000 6
+17
+b0000000000000001010011010000000000 8
+b0000000011010110101000100000000000 9
+b0000000000000001010011000000000000 :
+b0000000011010110101000100000000000 ;
+1<
+b0000000011010110101000100000000000 =
+1C
+1J
+#338500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#339000000
+1"
+b0000000011010111111011100000000000 *
+1-
+12
+b0000000000000001010011100000000000 4
+b0000000000000001010011100000000000 6
+17
+b0000000000000001010011100000000000 8
+b0000000011010111111011100000000000 9
+b0000000000000001010011010000000000 :
+b0000000011010111111011100000000000 ;
+1<
+b0000000011010111111011100000000000 =
+1C
+1J
+#339500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#340000000
+1"
+b0000000011011001001110110000000000 *
+1-
+12
+b0000000000000001010011110000000000 4
+b0000000000000001010011110000000000 6
+17
+b0000000000000001010011110000000000 8
+b0000000011011001001110110000000000 9
+b0000000000000001010011100000000000 :
+b0000000011011001001110110000000000 ;
+1<
+b0000000011011001001110110000000000 =
+1C
+1J
+#340500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#341000000
+1"
+b0000000011011010100010010000000000 *
+1-
+12
+b0000000000000001010100000000000000 4
+b0000000000000001010100000000000000 6
+17
+b0000000000000001010100000000000000 8
+b0000000011011010100010010000000000 9
+b0000000000000001010011110000000000 :
+b0000000011011010100010010000000000 ;
+1<
+b0000000011011010100010010000000000 =
+1C
+1J
+#341500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#342000000
+1"
+b0000000011011011110110000000000000 *
+1-
+12
+b0000000000000001010100010000000000 4
+b0000000000000001010100010000000000 6
+17
+b0000000000000001010100010000000000 8
+b0000000011011011110110000000000000 9
+b0000000000000001010100000000000000 :
+b0000000011011011110110000000000000 ;
+1<
+b0000000011011011110110000000000000 =
+1C
+1J
+#342500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#343000000
+1"
+b0000000011011101001010000000000000 *
+1-
+12
+b0000000000000001010100100000000000 4
+b0000000000000001010100100000000000 6
+17
+b0000000000000001010100100000000000 8
+b0000000011011101001010000000000000 9
+b0000000000000001010100010000000000 :
+b0000000011011101001010000000000000 ;
+1<
+b0000000011011101001010000000000000 =
+1C
+1J
+#343500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#344000000
+1"
+b0000000011011110011110010000000000 *
+1-
+12
+b0000000000000001010100110000000000 4
+b0000000000000001010100110000000000 6
+17
+b0000000000000001010100110000000000 8
+b0000000011011110011110010000000000 9
+b0000000000000001010100100000000000 :
+b0000000011011110011110010000000000 ;
+1<
+b0000000011011110011110010000000000 =
+1C
+1J
+#344500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#345000000
+1"
+b0000000011011111110010110000000000 *
+1-
+12
+b0000000000000001010101000000000000 4
+b0000000000000001010101000000000000 6
+17
+b0000000000000001010101000000000000 8
+b0000000011011111110010110000000000 9
+b0000000000000001010100110000000000 :
+b0000000011011111110010110000000000 ;
+1<
+b0000000011011111110010110000000000 =
+1C
+1J
+#345500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#346000000
+1"
+b0000000011100001000111100000000000 *
+1-
+12
+b0000000000000001010101010000000000 4
+b0000000000000001010101010000000000 6
+17
+b0000000000000001010101010000000000 8
+b0000000011100001000111100000000000 9
+b0000000000000001010101000000000000 :
+b0000000011100001000111100000000000 ;
+1<
+b0000000011100001000111100000000000 =
+1C
+1J
+#346500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#347000000
+1"
+b0000000011100010011100100000000000 *
+1-
+12
+b0000000000000001010101100000000000 4
+b0000000000000001010101100000000000 6
+17
+b0000000000000001010101100000000000 8
+b0000000011100010011100100000000000 9
+b0000000000000001010101010000000000 :
+b0000000011100010011100100000000000 ;
+1<
+b0000000011100010011100100000000000 =
+1C
+1J
+#347500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#348000000
+1"
+b0000000011100011110001110000000000 *
+1-
+12
+b0000000000000001010101110000000000 4
+b0000000000000001010101110000000000 6
+17
+b0000000000000001010101110000000000 8
+b0000000011100011110001110000000000 9
+b0000000000000001010101100000000000 :
+b0000000011100011110001110000000000 ;
+1<
+b0000000011100011110001110000000000 =
+1C
+1J
+#348500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#349000000
+1"
+b0000000011100101000111010000000000 *
+1-
+12
+b0000000000000001010110000000000000 4
+b0000000000000001010110000000000000 6
+17
+b0000000000000001010110000000000000 8
+b0000000011100101000111010000000000 9
+b0000000000000001010101110000000000 :
+b0000000011100101000111010000000000 ;
+1<
+b0000000011100101000111010000000000 =
+1C
+1J
+#349500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#350000000
+1"
+b0000000011100110011101000000000000 *
+1-
+12
+b0000000000000001010110010000000000 4
+b0000000000000001010110010000000000 6
+17
+b0000000000000001010110010000000000 8
+b0000000011100110011101000000000000 9
+b0000000000000001010110000000000000 :
+b0000000011100110011101000000000000 ;
+1<
+b0000000011100110011101000000000000 =
+1C
+1J
+#350500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#351000000
+1"
+b0000000011100111110011000000000000 *
+1-
+12
+b0000000000000001010110100000000000 4
+b0000000000000001010110100000000000 6
+17
+b0000000000000001010110100000000000 8
+b0000000011100111110011000000000000 9
+b0000000000000001010110010000000000 :
+b0000000011100111110011000000000000 ;
+1<
+b0000000011100111110011000000000000 =
+1C
+1J
+#351500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#352000000
+1"
+b0000000011101001001001010000000000 *
+1-
+12
+b0000000000000001010110110000000000 4
+b0000000000000001010110110000000000 6
+17
+b0000000000000001010110110000000000 8
+b0000000011101001001001010000000000 9
+b0000000000000001010110100000000000 :
+b0000000011101001001001010000000000 ;
+1<
+b0000000011101001001001010000000000 =
+1C
+1J
+#352500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#353000000
+1"
+b0000000011101010011111110000000000 *
+1-
+12
+b0000000000000001010111000000000000 4
+b0000000000000001010111000000000000 6
+17
+b0000000000000001010111000000000000 8
+b0000000011101010011111110000000000 9
+b0000000000000001010110110000000000 :
+b0000000011101010011111110000000000 ;
+1<
+b0000000011101010011111110000000000 =
+1C
+1J
+#353500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#354000000
+1"
+b0000000011101011110110100000000000 *
+1-
+12
+b0000000000000001010111010000000000 4
+b0000000000000001010111010000000000 6
+17
+b0000000000000001010111010000000000 8
+b0000000011101011110110100000000000 9
+b0000000000000001010111000000000000 :
+b0000000011101011110110100000000000 ;
+1<
+b0000000011101011110110100000000000 =
+1C
+1J
+#354500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#355000000
+1"
+b0000000011101101001101100000000000 *
+1-
+12
+b0000000000000001010111100000000000 4
+b0000000000000001010111100000000000 6
+17
+b0000000000000001010111100000000000 8
+b0000000011101101001101100000000000 9
+b0000000000000001010111010000000000 :
+b0000000011101101001101100000000000 ;
+1<
+b0000000011101101001101100000000000 =
+1C
+1J
+#355500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#356000000
+1"
+b0000000011101110100100110000000000 *
+1-
+12
+b0000000000000001010111110000000000 4
+b0000000000000001010111110000000000 6
+17
+b0000000000000001010111110000000000 8
+b0000000011101110100100110000000000 9
+b0000000000000001010111100000000000 :
+b0000000011101110100100110000000000 ;
+1<
+b0000000011101110100100110000000000 =
+1C
+1J
+#356500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#357000000
+1"
+b0000000011101111111100010000000000 *
+1-
+12
+b0000000000000001011000000000000000 4
+b0000000000000001011000000000000000 6
+17
+b0000000000000001011000000000000000 8
+b0000000011101111111100010000000000 9
+b0000000000000001010111110000000000 :
+b0000000011101111111100010000000000 ;
+1<
+b0000000011101111111100010000000000 =
+1C
+1J
+#357500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#358000000
+1"
+b0000000011110001010100000000000000 *
+1-
+12
+b0000000000000001011000010000000000 4
+b0000000000000001011000010000000000 6
+17
+b0000000000000001011000010000000000 8
+b0000000011110001010100000000000000 9
+b0000000000000001011000000000000000 :
+b0000000011110001010100000000000000 ;
+1<
+b0000000011110001010100000000000000 =
+1C
+1J
+#358500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#359000000
+1"
+b0000000011110010101100000000000000 *
+1-
+12
+b0000000000000001011000100000000000 4
+b0000000000000001011000100000000000 6
+17
+b0000000000000001011000100000000000 8
+b0000000011110010101100000000000000 9
+b0000000000000001011000010000000000 :
+b0000000011110010101100000000000000 ;
+1<
+b0000000011110010101100000000000000 =
+1C
+1J
+#359500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#360000000
+1"
+b0000000011110100000100010000000000 *
+1-
+12
+b0000000000000001011000110000000000 4
+b0000000000000001011000110000000000 6
+17
+b0000000000000001011000110000000000 8
+b0000000011110100000100010000000000 9
+b0000000000000001011000100000000000 :
+b0000000011110100000100010000000000 ;
+1<
+b0000000011110100000100010000000000 =
+1C
+1J
+#360500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#361000000
+1"
+b0000000011110101011100110000000000 *
+1-
+12
+b0000000000000001011001000000000000 4
+b0000000000000001011001000000000000 6
+17
+b0000000000000001011001000000000000 8
+b0000000011110101011100110000000000 9
+b0000000000000001011000110000000000 :
+b0000000011110101011100110000000000 ;
+1<
+b0000000011110101011100110000000000 =
+1C
+1J
+#361500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#362000000
+1"
+b0000000011110110110101100000000000 *
+1-
+12
+b0000000000000001011001010000000000 4
+b0000000000000001011001010000000000 6
+17
+b0000000000000001011001010000000000 8
+b0000000011110110110101100000000000 9
+b0000000000000001011001000000000000 :
+b0000000011110110110101100000000000 ;
+1<
+b0000000011110110110101100000000000 =
+1C
+1J
+#362500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#363000000
+1"
+b0000000011111000001110100000000000 *
+1-
+12
+b0000000000000001011001100000000000 4
+b0000000000000001011001100000000000 6
+17
+b0000000000000001011001100000000000 8
+b0000000011111000001110100000000000 9
+b0000000000000001011001010000000000 :
+b0000000011111000001110100000000000 ;
+1<
+b0000000011111000001110100000000000 =
+1C
+1J
+#363500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#364000000
+1"
+b0000000011111001100111110000000000 *
+1-
+12
+b0000000000000001011001110000000000 4
+b0000000000000001011001110000000000 6
+17
+b0000000000000001011001110000000000 8
+b0000000011111001100111110000000000 9
+b0000000000000001011001100000000000 :
+b0000000011111001100111110000000000 ;
+1<
+b0000000011111001100111110000000000 =
+1C
+1J
+#364500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#365000000
+1"
+b0000000011111011000001010000000000 *
+1-
+12
+b0000000000000001011010000000000000 4
+b0000000000000001011010000000000000 6
+17
+b0000000000000001011010000000000000 8
+b0000000011111011000001010000000000 9
+b0000000000000001011001110000000000 :
+b0000000011111011000001010000000000 ;
+1<
+b0000000011111011000001010000000000 =
+1C
+1J
+#365500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#366000000
+1"
+b0000000011111100011011000000000000 *
+1-
+12
+b0000000000000001011010010000000000 4
+b0000000000000001011010010000000000 6
+17
+b0000000000000001011010010000000000 8
+b0000000011111100011011000000000000 9
+b0000000000000001011010000000000000 :
+b0000000011111100011011000000000000 ;
+1<
+b0000000011111100011011000000000000 =
+1C
+1J
+#366500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#367000000
+1"
+b0000000011111101110101000000000000 *
+1-
+12
+b0000000000000001011010100000000000 4
+b0000000000000001011010100000000000 6
+17
+b0000000000000001011010100000000000 8
+b0000000011111101110101000000000000 9
+b0000000000000001011010010000000000 :
+b0000000011111101110101000000000000 ;
+1<
+b0000000011111101110101000000000000 =
+1C
+1J
+#367500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#368000000
+1"
+b0000000011111111001111010000000000 *
+1-
+12
+b0000000000000001011010110000000000 4
+b0000000000000001011010110000000000 6
+17
+b0000000000000001011010110000000000 8
+b0000000011111111001111010000000000 9
+b0000000000000001011010100000000000 :
+b0000000011111111001111010000000000 ;
+1<
+b0000000011111111001111010000000000 =
+1C
+1J
+#368500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#369000000
+1"
+b0000000100000000101001110000000000 *
+1-
+12
+b0000000000000001011011000000000000 4
+b0000000000000001011011000000000000 6
+17
+b0000000000000001011011000000000000 8
+b0000000100000000101001110000000000 9
+b0000000000000001011010110000000000 :
+b0000000100000000101001110000000000 ;
+1<
+b0000000100000000101001110000000000 =
+1C
+1J
+#369500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#370000000
+1"
+b0000000100000010000100100000000000 *
+1-
+12
+b0000000000000001011011010000000000 4
+b0000000000000001011011010000000000 6
+17
+b0000000000000001011011010000000000 8
+b0000000100000010000100100000000000 9
+b0000000000000001011011000000000000 :
+b0000000100000010000100100000000000 ;
+1<
+b0000000100000010000100100000000000 =
+1C
+1J
+#370500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#371000000
+1"
+b0000000100000011011111100000000000 *
+1-
+12
+b0000000000000001011011100000000000 4
+b0000000000000001011011100000000000 6
+17
+b0000000000000001011011100000000000 8
+b0000000100000011011111100000000000 9
+b0000000000000001011011010000000000 :
+b0000000100000011011111100000000000 ;
+1<
+b0000000100000011011111100000000000 =
+1C
+1J
+#371500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#372000000
+1"
+b0000000100000100111010110000000000 *
+1-
+12
+b0000000000000001011011110000000000 4
+b0000000000000001011011110000000000 6
+17
+b0000000000000001011011110000000000 8
+b0000000100000100111010110000000000 9
+b0000000000000001011011100000000000 :
+b0000000100000100111010110000000000 ;
+1<
+b0000000100000100111010110000000000 =
+1C
+1J
+#372500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#373000000
+1"
+b0000000100000110010110010000000000 *
+1-
+12
+b0000000000000001011100000000000000 4
+b0000000000000001011100000000000000 6
+17
+b0000000000000001011100000000000000 8
+b0000000100000110010110010000000000 9
+b0000000000000001011011110000000000 :
+b0000000100000110010110010000000000 ;
+1<
+b0000000100000110010110010000000000 =
+1C
+1J
+#373500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#374000000
+1"
+b0000000100000111110010000000000000 *
+1-
+12
+b0000000000000001011100010000000000 4
+b0000000000000001011100010000000000 6
+17
+b0000000000000001011100010000000000 8
+b0000000100000111110010000000000000 9
+b0000000000000001011100000000000000 :
+b0000000100000111110010000000000000 ;
+1<
+b0000000100000111110010000000000000 =
+1C
+1J
+#374500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#375000000
+1"
+b0000000100001001001110000000000000 *
+1-
+12
+b0000000000000001011100100000000000 4
+b0000000000000001011100100000000000 6
+17
+b0000000000000001011100100000000000 8
+b0000000100001001001110000000000000 9
+b0000000000000001011100010000000000 :
+b0000000100001001001110000000000000 ;
+1<
+b0000000100001001001110000000000000 =
+1C
+1J
+#375500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#376000000
+1"
+b0000000100001010101010010000000000 *
+1-
+12
+b0000000000000001011100110000000000 4
+b0000000000000001011100110000000000 6
+17
+b0000000000000001011100110000000000 8
+b0000000100001010101010010000000000 9
+b0000000000000001011100100000000000 :
+b0000000100001010101010010000000000 ;
+1<
+b0000000100001010101010010000000000 =
+1C
+1J
+#376500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#377000000
+1"
+b0000000100001100000110110000000000 *
+1-
+12
+b0000000000000001011101000000000000 4
+b0000000000000001011101000000000000 6
+17
+b0000000000000001011101000000000000 8
+b0000000100001100000110110000000000 9
+b0000000000000001011100110000000000 :
+b0000000100001100000110110000000000 ;
+1<
+b0000000100001100000110110000000000 =
+1C
+1J
+#377500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#378000000
+1"
+b0000000100001101100011100000000000 *
+1-
+12
+b0000000000000001011101010000000000 4
+b0000000000000001011101010000000000 6
+17
+b0000000000000001011101010000000000 8
+b0000000100001101100011100000000000 9
+b0000000000000001011101000000000000 :
+b0000000100001101100011100000000000 ;
+1<
+b0000000100001101100011100000000000 =
+1C
+1J
+#378500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#379000000
+1"
+b0000000100001111000000100000000000 *
+1-
+12
+b0000000000000001011101100000000000 4
+b0000000000000001011101100000000000 6
+17
+b0000000000000001011101100000000000 8
+b0000000100001111000000100000000000 9
+b0000000000000001011101010000000000 :
+b0000000100001111000000100000000000 ;
+1<
+b0000000100001111000000100000000000 =
+1C
+1J
+#379500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#380000000
+1"
+b0000000100010000011101110000000000 *
+1-
+12
+b0000000000000001011101110000000000 4
+b0000000000000001011101110000000000 6
+17
+b0000000000000001011101110000000000 8
+b0000000100010000011101110000000000 9
+b0000000000000001011101100000000000 :
+b0000000100010000011101110000000000 ;
+1<
+b0000000100010000011101110000000000 =
+1C
+1J
+#380500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#381000000
+1"
+b0000000100010001111011010000000000 *
+1-
+12
+b0000000000000001011110000000000000 4
+b0000000000000001011110000000000000 6
+17
+b0000000000000001011110000000000000 8
+b0000000100010001111011010000000000 9
+b0000000000000001011101110000000000 :
+b0000000100010001111011010000000000 ;
+1<
+b0000000100010001111011010000000000 =
+1C
+1J
+#381500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#382000000
+1"
+b0000000100010011011001000000000000 *
+1-
+12
+b0000000000000001011110010000000000 4
+b0000000000000001011110010000000000 6
+17
+b0000000000000001011110010000000000 8
+b0000000100010011011001000000000000 9
+b0000000000000001011110000000000000 :
+b0000000100010011011001000000000000 ;
+1<
+b0000000100010011011001000000000000 =
+1C
+1J
+#382500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#383000000
+1"
+b0000000100010100110111000000000000 *
+1-
+12
+b0000000000000001011110100000000000 4
+b0000000000000001011110100000000000 6
+17
+b0000000000000001011110100000000000 8
+b0000000100010100110111000000000000 9
+b0000000000000001011110010000000000 :
+b0000000100010100110111000000000000 ;
+1<
+b0000000100010100110111000000000000 =
+1C
+1J
+#383500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#384000000
+1"
+b0000000100010110010101010000000000 *
+1-
+12
+b0000000000000001011110110000000000 4
+b0000000000000001011110110000000000 6
+17
+b0000000000000001011110110000000000 8
+b0000000100010110010101010000000000 9
+b0000000000000001011110100000000000 :
+b0000000100010110010101010000000000 ;
+1<
+b0000000100010110010101010000000000 =
+1C
+1J
+#384500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#385000000
+1"
+1'
+b0000000100010111110011110000000000 *
+1+
+1-
+12
+b0000000000000001011111000000000000 4
+b0000000000000001011111000000000000 6
+17
+b0000000000000001011111000000000000 8
+b0000000100010111110011110000000000 9
+b0000000000000001011110110000000000 :
+b0000000100010111110011110000000000 ;
+1<
+b0000000100010111110011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#385500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#386000000
+1"
+0'
+b0000000100011001010010100000000000 *
+0+
+b0000000000000000000000000000000000 ,
+1-
+12
+b0000000000000001011111010000000000 4
+b0000000000000001011111010000000000 6
+17
+b0000000000000001011111010000000000 8
+b0000000100011001010010100000000000 9
+b0000000000000001011111000000000000 :
+b0000000100011001010010100000000000 ;
+1<
+b0000000100011001010010100000000000 =
+b0000000001010110101000000000000000 >
+0?
+b0000000100010111110011110000000000 A
+b0000000001010110101000000000000000 B
+1C
+b0000000001010110101000000000000000 D
+0F
+b0000000001000110101000000000000000 H
+1J
+b0000000000000000000000000000000000 L
+0M
+b0000000000000000000000000000000000 P
+#386500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#387000000
+1"
+b0000000100011010110001100000000000 *
+1-
+12
+b0000000000000001011111100000000000 4
+b0000000000000001011111100000000000 6
+17
+b0000000000000001011111100000000000 8
+b0000000100011010110001100000000000 9
+b0000000000000001011111010000000000 :
+b0000000100011010110001100000000000 ;
+1<
+b0000000100011010110001100000000000 =
+1C
+1J
+#387500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#388000000
+1"
+b0000000100011100010000110000000000 *
+1-
+12
+b0000000000000001011111110000000000 4
+b0000000000000001011111110000000000 6
+17
+b0000000000000001011111110000000000 8
+b0000000100011100010000110000000000 9
+b0000000000000001011111100000000000 :
+b0000000100011100010000110000000000 ;
+1<
+b0000000100011100010000110000000000 =
+1C
+1J
+#388500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#389000000
+1"
+b0000000100011101110000010000000000 *
+1-
+12
+b0000000000000001100000000000000000 4
+b0000000000000001100000000000000000 6
+17
+b0000000000000001100000000000000000 8
+b0000000100011101110000010000000000 9
+b0000000000000001011111110000000000 :
+b0000000100011101110000010000000000 ;
+1<
+b0000000100011101110000010000000000 =
+1C
+1J
+#389500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#390000000
+1"
+b0000000100011111010000000000000000 *
+1-
+12
+b0000000000000001100000010000000000 4
+b0000000000000001100000010000000000 6
+17
+b0000000000000001100000010000000000 8
+b0000000100011111010000000000000000 9
+b0000000000000001100000000000000000 :
+b0000000100011111010000000000000000 ;
+1<
+b0000000100011111010000000000000000 =
+1C
+1J
+#390500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#391000000
+1"
+b0000000100100000110000000000000000 *
+1-
+12
+b0000000000000001100000100000000000 4
+b0000000000000001100000100000000000 6
+17
+b0000000000000001100000100000000000 8
+b0000000100100000110000000000000000 9
+b0000000000000001100000010000000000 :
+b0000000100100000110000000000000000 ;
+1<
+b0000000100100000110000000000000000 =
+1C
+1J
+#391500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#392000000
+1"
+b0000000100100010010000010000000000 *
+1-
+12
+b0000000000000001100000110000000000 4
+b0000000000000001100000110000000000 6
+17
+b0000000000000001100000110000000000 8
+b0000000100100010010000010000000000 9
+b0000000000000001100000100000000000 :
+b0000000100100010010000010000000000 ;
+1<
+b0000000100100010010000010000000000 =
+1C
+1J
+#392500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#393000000
+1"
+b0000000100100011110000110000000000 *
+1-
+12
+b0000000000000001100001000000000000 4
+b0000000000000001100001000000000000 6
+17
+b0000000000000001100001000000000000 8
+b0000000100100011110000110000000000 9
+b0000000000000001100000110000000000 :
+b0000000100100011110000110000000000 ;
+1<
+b0000000100100011110000110000000000 =
+1C
+1J
+#393500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#394000000
+1"
+b0000000100100101010001100000000000 *
+1-
+12
+b0000000000000001100001010000000000 4
+b0000000000000001100001010000000000 6
+17
+b0000000000000001100001010000000000 8
+b0000000100100101010001100000000000 9
+b0000000000000001100001000000000000 :
+b0000000100100101010001100000000000 ;
+1<
+b0000000100100101010001100000000000 =
+1C
+1J
+#394500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#395000000
+1"
+b0000000100100110110010100000000000 *
+1-
+12
+b0000000000000001100001100000000000 4
+b0000000000000001100001100000000000 6
+17
+b0000000000000001100001100000000000 8
+b0000000100100110110010100000000000 9
+b0000000000000001100001010000000000 :
+b0000000100100110110010100000000000 ;
+1<
+b0000000100100110110010100000000000 =
+1C
+1J
+#395500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#396000000
+1"
+b0000000100101000010011110000000000 *
+1-
+12
+b0000000000000001100001110000000000 4
+b0000000000000001100001110000000000 6
+17
+b0000000000000001100001110000000000 8
+b0000000100101000010011110000000000 9
+b0000000000000001100001100000000000 :
+b0000000100101000010011110000000000 ;
+1<
+b0000000100101000010011110000000000 =
+1C
+1J
+#396500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#397000000
+1"
+b0000000100101001110101010000000000 *
+1-
+12
+b0000000000000001100010000000000000 4
+b0000000000000001100010000000000000 6
+17
+b0000000000000001100010000000000000 8
+b0000000100101001110101010000000000 9
+b0000000000000001100001110000000000 :
+b0000000100101001110101010000000000 ;
+1<
+b0000000100101001110101010000000000 =
+1C
+1J
+#397500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#398000000
+1"
+b0000000100101011010111000000000000 *
+1-
+12
+b0000000000000001100010010000000000 4
+b0000000000000001100010010000000000 6
+17
+b0000000000000001100010010000000000 8
+b0000000100101011010111000000000000 9
+b0000000000000001100010000000000000 :
+b0000000100101011010111000000000000 ;
+1<
+b0000000100101011010111000000000000 =
+1C
+1J
+#398500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#399000000
+1"
+b0000000100101100111001000000000000 *
+1-
+12
+b0000000000000001100010100000000000 4
+b0000000000000001100010100000000000 6
+17
+b0000000000000001100010100000000000 8
+b0000000100101100111001000000000000 9
+b0000000000000001100010010000000000 :
+b0000000100101100111001000000000000 ;
+1<
+b0000000100101100111001000000000000 =
+1C
+1J
+#399500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#400000000
+1"
+b0000000100101110011011010000000000 *
+1-
+12
+b0000000000000001100010110000000000 4
+b0000000000000001100010110000000000 6
+17
+b0000000000000001100010110000000000 8
+b0000000100101110011011010000000000 9
+b0000000000000001100010100000000000 :
+b0000000100101110011011010000000000 ;
+1<
+b0000000100101110011011010000000000 =
+1C
+1J
+#400500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#401000000
+1"
+b0000000100101111111101110000000000 *
+1-
+12
+b0000000000000001100011000000000000 4
+b0000000000000001100011000000000000 6
+17
+b0000000000000001100011000000000000 8
+b0000000100101111111101110000000000 9
+b0000000000000001100010110000000000 :
+b0000000100101111111101110000000000 ;
+1<
+b0000000100101111111101110000000000 =
+1C
+1J
+#401500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#402000000
+1"
+b0000000100110001100000100000000000 *
+1-
+12
+b0000000000000001100011010000000000 4
+b0000000000000001100011010000000000 6
+17
+b0000000000000001100011010000000000 8
+b0000000100110001100000100000000000 9
+b0000000000000001100011000000000000 :
+b0000000100110001100000100000000000 ;
+1<
+b0000000100110001100000100000000000 =
+1C
+1J
+#402500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#403000000
+1"
+b0000000100110011000011100000000000 *
+1-
+12
+b0000000000000001100011100000000000 4
+b0000000000000001100011100000000000 6
+17
+b0000000000000001100011100000000000 8
+b0000000100110011000011100000000000 9
+b0000000000000001100011010000000000 :
+b0000000100110011000011100000000000 ;
+1<
+b0000000100110011000011100000000000 =
+1C
+1J
+#403500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#404000000
+1"
+b0000000100110100100110110000000000 *
+1-
+12
+b0000000000000001100011110000000000 4
+b0000000000000001100011110000000000 6
+17
+b0000000000000001100011110000000000 8
+b0000000100110100100110110000000000 9
+b0000000000000001100011100000000000 :
+b0000000100110100100110110000000000 ;
+1<
+b0000000100110100100110110000000000 =
+1C
+1J
+#404500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#405000000
+1"
+b0000000100110110001010010000000000 *
+1-
+12
+b0000000000000001100100000000000000 4
+b0000000000000001100100000000000000 6
+17
+b0000000000000001100100000000000000 8
+b0000000100110110001010010000000000 9
+b0000000000000001100011110000000000 :
+b0000000100110110001010010000000000 ;
+1<
+b0000000100110110001010010000000000 =
+1C
+1J
+#405500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#406000000
+1"
+b0000000100110111101110000000000000 *
+1-
+12
+b0000000000000001100100010000000000 4
+b0000000000000001100100010000000000 6
+17
+b0000000000000001100100010000000000 8
+b0000000100110111101110000000000000 9
+b0000000000000001100100000000000000 :
+b0000000100110111101110000000000000 ;
+1<
+b0000000100110111101110000000000000 =
+1C
+1J
+#406500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#407000000
+1"
+b0000000100111001010010000000000000 *
+1-
+12
+b0000000000000001100100100000000000 4
+b0000000000000001100100100000000000 6
+17
+b0000000000000001100100100000000000 8
+b0000000100111001010010000000000000 9
+b0000000000000001100100010000000000 :
+b0000000100111001010010000000000000 ;
+1<
+b0000000100111001010010000000000000 =
+1C
+1J
+#407500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#408000000
+1"
+b0000000100111010110110010000000000 *
+1-
+12
+b0000000000000001100100110000000000 4
+b0000000000000001100100110000000000 6
+17
+b0000000000000001100100110000000000 8
+b0000000100111010110110010000000000 9
+b0000000000000001100100100000000000 :
+b0000000100111010110110010000000000 ;
+1<
+b0000000100111010110110010000000000 =
+1C
+1J
+#408500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#409000000
+1"
+b0000000100111100011010110000000000 *
+1-
+12
+b0000000000000001100101000000000000 4
+b0000000000000001100101000000000000 6
+17
+b0000000000000001100101000000000000 8
+b0000000100111100011010110000000000 9
+b0000000000000001100100110000000000 :
+b0000000100111100011010110000000000 ;
+1<
+b0000000100111100011010110000000000 =
+1C
+1J
+#409500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#410000000
+1"
+b0000000100111101111111100000000000 *
+1-
+12
+b0000000000000001100101010000000000 4
+b0000000000000001100101010000000000 6
+17
+b0000000000000001100101010000000000 8
+b0000000100111101111111100000000000 9
+b0000000000000001100101000000000000 :
+b0000000100111101111111100000000000 ;
+1<
+b0000000100111101111111100000000000 =
+1C
+1J
+#410500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#411000000
+1"
+b0000000100111111100100100000000000 *
+1-
+12
+b0000000000000001100101100000000000 4
+b0000000000000001100101100000000000 6
+17
+b0000000000000001100101100000000000 8
+b0000000100111111100100100000000000 9
+b0000000000000001100101010000000000 :
+b0000000100111111100100100000000000 ;
+1<
+b0000000100111111100100100000000000 =
+1C
+1J
+#411500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#412000000
+1"
+b0000000101000001001001110000000000 *
+1-
+12
+b0000000000000001100101110000000000 4
+b0000000000000001100101110000000000 6
+17
+b0000000000000001100101110000000000 8
+b0000000101000001001001110000000000 9
+b0000000000000001100101100000000000 :
+b0000000101000001001001110000000000 ;
+1<
+b0000000101000001001001110000000000 =
+1C
+1J
+#412500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#413000000
+1"
+b0000000101000010101111010000000000 *
+1-
+12
+b0000000000000001100110000000000000 4
+b0000000000000001100110000000000000 6
+17
+b0000000000000001100110000000000000 8
+b0000000101000010101111010000000000 9
+b0000000000000001100101110000000000 :
+b0000000101000010101111010000000000 ;
+1<
+b0000000101000010101111010000000000 =
+1C
+1J
+#413500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#414000000
+1"
+b0000000101000100010101000000000000 *
+1-
+12
+b0000000000000001100110010000000000 4
+b0000000000000001100110010000000000 6
+17
+b0000000000000001100110010000000000 8
+b0000000101000100010101000000000000 9
+b0000000000000001100110000000000000 :
+b0000000101000100010101000000000000 ;
+1<
+b0000000101000100010101000000000000 =
+1C
+1J
+#414500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#415000000
+1"
+b0000000101000101111011000000000000 *
+1-
+12
+b0000000000000001100110100000000000 4
+b0000000000000001100110100000000000 6
+17
+b0000000000000001100110100000000000 8
+b0000000101000101111011000000000000 9
+b0000000000000001100110010000000000 :
+b0000000101000101111011000000000000 ;
+1<
+b0000000101000101111011000000000000 =
+1C
+1J
+#415500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#416000000
+1"
+b0000000101000111100001010000000000 *
+1-
+12
+b0000000000000001100110110000000000 4
+b0000000000000001100110110000000000 6
+17
+b0000000000000001100110110000000000 8
+b0000000101000111100001010000000000 9
+b0000000000000001100110100000000000 :
+b0000000101000111100001010000000000 ;
+1<
+b0000000101000111100001010000000000 =
+1C
+1J
+#416500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#417000000
+1"
+b0000000101001001000111110000000000 *
+1-
+12
+b0000000000000001100111000000000000 4
+b0000000000000001100111000000000000 6
+17
+b0000000000000001100111000000000000 8
+b0000000101001001000111110000000000 9
+b0000000000000001100110110000000000 :
+b0000000101001001000111110000000000 ;
+1<
+b0000000101001001000111110000000000 =
+1C
+1J
+#417500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#418000000
+1"
+b0000000101001010101110100000000000 *
+1-
+12
+b0000000000000001100111010000000000 4
+b0000000000000001100111010000000000 6
+17
+b0000000000000001100111010000000000 8
+b0000000101001010101110100000000000 9
+b0000000000000001100111000000000000 :
+b0000000101001010101110100000000000 ;
+1<
+b0000000101001010101110100000000000 =
+1C
+1J
+#418500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#419000000
+1"
+b0000000101001100010101100000000000 *
+1-
+12
+b0000000000000001100111100000000000 4
+b0000000000000001100111100000000000 6
+17
+b0000000000000001100111100000000000 8
+b0000000101001100010101100000000000 9
+b0000000000000001100111010000000000 :
+b0000000101001100010101100000000000 ;
+1<
+b0000000101001100010101100000000000 =
+1C
+1J
+#419500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#420000000
+1"
+b0000000101001101111100110000000000 *
+1-
+12
+b0000000000000001100111110000000000 4
+b0000000000000001100111110000000000 6
+17
+b0000000000000001100111110000000000 8
+b0000000101001101111100110000000000 9
+b0000000000000001100111100000000000 :
+b0000000101001101111100110000000000 ;
+1<
+b0000000101001101111100110000000000 =
+1C
+1J
+#420500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#421000000
+1"
+b0000000101001111100100010000000000 *
+1-
+12
+b0000000000000001101000000000000000 4
+b0000000000000001101000000000000000 6
+17
+b0000000000000001101000000000000000 8
+b0000000101001111100100010000000000 9
+b0000000000000001100111110000000000 :
+b0000000101001111100100010000000000 ;
+1<
+b0000000101001111100100010000000000 =
+1C
+1J
+#421500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#422000000
+1"
+b0000000101010001001100000000000000 *
+1-
+12
+b0000000000000001101000010000000000 4
+b0000000000000001101000010000000000 6
+17
+b0000000000000001101000010000000000 8
+b0000000101010001001100000000000000 9
+b0000000000000001101000000000000000 :
+b0000000101010001001100000000000000 ;
+1<
+b0000000101010001001100000000000000 =
+1C
+1J
+#422500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#423000000
+1"
+b0000000101010010110100000000000000 *
+1-
+12
+b0000000000000001101000100000000000 4
+b0000000000000001101000100000000000 6
+17
+b0000000000000001101000100000000000 8
+b0000000101010010110100000000000000 9
+b0000000000000001101000010000000000 :
+b0000000101010010110100000000000000 ;
+1<
+b0000000101010010110100000000000000 =
+1C
+1J
+#423500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#424000000
+1"
+b0000000101010100011100010000000000 *
+1-
+12
+b0000000000000001101000110000000000 4
+b0000000000000001101000110000000000 6
+17
+b0000000000000001101000110000000000 8
+b0000000101010100011100010000000000 9
+b0000000000000001101000100000000000 :
+b0000000101010100011100010000000000 ;
+1<
+b0000000101010100011100010000000000 =
+1C
+1J
+#424500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#425000000
+1"
+b0000000101010110000100110000000000 *
+1-
+12
+b0000000000000001101001000000000000 4
+b0000000000000001101001000000000000 6
+17
+b0000000000000001101001000000000000 8
+b0000000101010110000100110000000000 9
+b0000000000000001101000110000000000 :
+b0000000101010110000100110000000000 ;
+1<
+b0000000101010110000100110000000000 =
+1C
+1J
+#425500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#426000000
+1"
+b0000000101010111101101100000000000 *
+1-
+12
+b0000000000000001101001010000000000 4
+b0000000000000001101001010000000000 6
+17
+b0000000000000001101001010000000000 8
+b0000000101010111101101100000000000 9
+b0000000000000001101001000000000000 :
+b0000000101010111101101100000000000 ;
+1<
+b0000000101010111101101100000000000 =
+1C
+1J
+#426500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#427000000
+1"
+b0000000101011001010110100000000000 *
+1-
+12
+b0000000000000001101001100000000000 4
+b0000000000000001101001100000000000 6
+17
+b0000000000000001101001100000000000 8
+b0000000101011001010110100000000000 9
+b0000000000000001101001010000000000 :
+b0000000101011001010110100000000000 ;
+1<
+b0000000101011001010110100000000000 =
+1C
+1J
+#427500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#428000000
+1"
+b0000000101011010111111110000000000 *
+1-
+12
+b0000000000000001101001110000000000 4
+b0000000000000001101001110000000000 6
+17
+b0000000000000001101001110000000000 8
+b0000000101011010111111110000000000 9
+b0000000000000001101001100000000000 :
+b0000000101011010111111110000000000 ;
+1<
+b0000000101011010111111110000000000 =
+1C
+1J
+#428500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#429000000
+1"
+b0000000101011100101001010000000000 *
+1-
+12
+b0000000000000001101010000000000000 4
+b0000000000000001101010000000000000 6
+17
+b0000000000000001101010000000000000 8
+b0000000101011100101001010000000000 9
+b0000000000000001101001110000000000 :
+b0000000101011100101001010000000000 ;
+1<
+b0000000101011100101001010000000000 =
+1C
+1J
+#429500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#430000000
+1"
+b0000000101011110010011000000000000 *
+1-
+12
+b0000000000000001101010010000000000 4
+b0000000000000001101010010000000000 6
+17
+b0000000000000001101010010000000000 8
+b0000000101011110010011000000000000 9
+b0000000000000001101010000000000000 :
+b0000000101011110010011000000000000 ;
+1<
+b0000000101011110010011000000000000 =
+1C
+1J
+#430500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#431000000
+1"
+b0000000101011111111101000000000000 *
+1-
+12
+b0000000000000001101010100000000000 4
+b0000000000000001101010100000000000 6
+17
+b0000000000000001101010100000000000 8
+b0000000101011111111101000000000000 9
+b0000000000000001101010010000000000 :
+b0000000101011111111101000000000000 ;
+1<
+b0000000101011111111101000000000000 =
+1C
+1J
+#431500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#432000000
+1"
+b0000000101100001100111010000000000 *
+1-
+12
+b0000000000000001101010110000000000 4
+b0000000000000001101010110000000000 6
+17
+b0000000000000001101010110000000000 8
+b0000000101100001100111010000000000 9
+b0000000000000001101010100000000000 :
+b0000000101100001100111010000000000 ;
+1<
+b0000000101100001100111010000000000 =
+1C
+1J
+#432500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#433000000
+1"
+b0000000101100011010001110000000000 *
+1-
+12
+b0000000000000001101011000000000000 4
+b0000000000000001101011000000000000 6
+17
+b0000000000000001101011000000000000 8
+b0000000101100011010001110000000000 9
+b0000000000000001101010110000000000 :
+b0000000101100011010001110000000000 ;
+1<
+b0000000101100011010001110000000000 =
+1C
+1J
+#433500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#434000000
+1"
+b0000000101100100111100100000000000 *
+1-
+12
+b0000000000000001101011010000000000 4
+b0000000000000001101011010000000000 6
+17
+b0000000000000001101011010000000000 8
+b0000000101100100111100100000000000 9
+b0000000000000001101011000000000000 :
+b0000000101100100111100100000000000 ;
+1<
+b0000000101100100111100100000000000 =
+1C
+1J
+#434500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#435000000
+1"
+b0000000101100110100111100000000000 *
+1-
+12
+b0000000000000001101011100000000000 4
+b0000000000000001101011100000000000 6
+17
+b0000000000000001101011100000000000 8
+b0000000101100110100111100000000000 9
+b0000000000000001101011010000000000 :
+b0000000101100110100111100000000000 ;
+1<
+b0000000101100110100111100000000000 =
+1C
+1J
+#435500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#436000000
+1"
+b0000000101101000010010110000000000 *
+1-
+12
+b0000000000000001101011110000000000 4
+b0000000000000001101011110000000000 6
+17
+b0000000000000001101011110000000000 8
+b0000000101101000010010110000000000 9
+b0000000000000001101011100000000000 :
+b0000000101101000010010110000000000 ;
+1<
+b0000000101101000010010110000000000 =
+1C
+1J
+#436500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#437000000
+1"
+b0000000101101001111110010000000000 *
+1-
+12
+b0000000000000001101100000000000000 4
+b0000000000000001101100000000000000 6
+17
+b0000000000000001101100000000000000 8
+b0000000101101001111110010000000000 9
+b0000000000000001101011110000000000 :
+b0000000101101001111110010000000000 ;
+1<
+b0000000101101001111110010000000000 =
+1C
+1J
+#437500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#438000000
+1"
+b0000000101101011101010000000000000 *
+1-
+12
+b0000000000000001101100010000000000 4
+b0000000000000001101100010000000000 6
+17
+b0000000000000001101100010000000000 8
+b0000000101101011101010000000000000 9
+b0000000000000001101100000000000000 :
+b0000000101101011101010000000000000 ;
+1<
+b0000000101101011101010000000000000 =
+1C
+1J
+#438500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#439000000
+1"
+b0000000101101101010110000000000000 *
+1-
+12
+b0000000000000001101100100000000000 4
+b0000000000000001101100100000000000 6
+17
+b0000000000000001101100100000000000 8
+b0000000101101101010110000000000000 9
+b0000000000000001101100010000000000 :
+b0000000101101101010110000000000000 ;
+1<
+b0000000101101101010110000000000000 =
+1C
+1J
+#439500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#440000000
+1"
+b0000000101101111000010010000000000 *
+1-
+12
+b0000000000000001101100110000000000 4
+b0000000000000001101100110000000000 6
+17
+b0000000000000001101100110000000000 8
+b0000000101101111000010010000000000 9
+b0000000000000001101100100000000000 :
+b0000000101101111000010010000000000 ;
+1<
+b0000000101101111000010010000000000 =
+1C
+1J
+#440500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#441000000
+1"
+b0000000101110000101110110000000000 *
+1-
+12
+b0000000000000001101101000000000000 4
+b0000000000000001101101000000000000 6
+17
+b0000000000000001101101000000000000 8
+b0000000101110000101110110000000000 9
+b0000000000000001101100110000000000 :
+b0000000101110000101110110000000000 ;
+1<
+b0000000101110000101110110000000000 =
+1C
+1J
+#441500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#442000000
+1"
+b0000000101110010011011100000000000 *
+1-
+12
+b0000000000000001101101010000000000 4
+b0000000000000001101101010000000000 6
+17
+b0000000000000001101101010000000000 8
+b0000000101110010011011100000000000 9
+b0000000000000001101101000000000000 :
+b0000000101110010011011100000000000 ;
+1<
+b0000000101110010011011100000000000 =
+1C
+1J
+#442500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#443000000
+1"
+b0000000101110100001000100000000000 *
+1-
+12
+b0000000000000001101101100000000000 4
+b0000000000000001101101100000000000 6
+17
+b0000000000000001101101100000000000 8
+b0000000101110100001000100000000000 9
+b0000000000000001101101010000000000 :
+b0000000101110100001000100000000000 ;
+1<
+b0000000101110100001000100000000000 =
+1C
+1J
+#443500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#444000000
+1"
+b0000000101110101110101110000000000 *
+1-
+12
+b0000000000000001101101110000000000 4
+b0000000000000001101101110000000000 6
+17
+b0000000000000001101101110000000000 8
+b0000000101110101110101110000000000 9
+b0000000000000001101101100000000000 :
+b0000000101110101110101110000000000 ;
+1<
+b0000000101110101110101110000000000 =
+1C
+1J
+#444500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#445000000
+1"
+b0000000101110111100011010000000000 *
+1-
+12
+b0000000000000001101110000000000000 4
+b0000000000000001101110000000000000 6
+17
+b0000000000000001101110000000000000 8
+b0000000101110111100011010000000000 9
+b0000000000000001101101110000000000 :
+b0000000101110111100011010000000000 ;
+1<
+b0000000101110111100011010000000000 =
+1C
+1J
+#445500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#446000000
+1"
+b0000000101111001010001000000000000 *
+1-
+12
+b0000000000000001101110010000000000 4
+b0000000000000001101110010000000000 6
+17
+b0000000000000001101110010000000000 8
+b0000000101111001010001000000000000 9
+b0000000000000001101110000000000000 :
+b0000000101111001010001000000000000 ;
+1<
+b0000000101111001010001000000000000 =
+1C
+1J
+#446500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#447000000
+1"
+b0000000101111010111111000000000000 *
+1-
+12
+b0000000000000001101110100000000000 4
+b0000000000000001101110100000000000 6
+17
+b0000000000000001101110100000000000 8
+b0000000101111010111111000000000000 9
+b0000000000000001101110010000000000 :
+b0000000101111010111111000000000000 ;
+1<
+b0000000101111010111111000000000000 =
+1C
+1J
+#447500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#448000000
+1"
+b0000000101111100101101010000000000 *
+1-
+12
+b0000000000000001101110110000000000 4
+b0000000000000001101110110000000000 6
+17
+b0000000000000001101110110000000000 8
+b0000000101111100101101010000000000 9
+b0000000000000001101110100000000000 :
+b0000000101111100101101010000000000 ;
+1<
+b0000000101111100101101010000000000 =
+1C
+1J
+#448500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#449000000
+1"
+1'
+b0000000101111110011011110000000000 *
+1+
+1-
+12
+b0000000000000001101111000000000000 4
+b0000000000000001101111000000000000 6
+17
+b0000000000000001101111000000000000 8
+b0000000101111110011011110000000000 9
+b0000000000000001101110110000000000 :
+b0000000101111110011011110000000000 ;
+1<
+b0000000101111110011011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#449500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#450000000
+1"
+0'
+b0000000110000000001010100000000000 *
+0+
+1-
+12
+b0000000000000001101111010000000000 4
+b0000000000000001101111010000000000 6
+17
+b0000000000000001101111010000000000 8
+b0000000110000000001010100000000000 9
+b0000000000000001101111000000000000 :
+b0000000110000000001010100000000000 ;
+1<
+b0000000110000000001010100000000000 =
+b0000000001100110101000000000000000 >
+0?
+b0000000101111110011011110000000000 A
+b0000000001100110101000000000000000 B
+1C
+b0000000001100110101000000000000000 D
+0F
+b0000000001010110101000000000000000 H
+1J
+0M
+#450500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#451000000
+1"
+b0000000110000001111001100000000000 *
+1-
+12
+b0000000000000001101111100000000000 4
+b0000000000000001101111100000000000 6
+17
+b0000000000000001101111100000000000 8
+b0000000110000001111001100000000000 9
+b0000000000000001101111010000000000 :
+b0000000110000001111001100000000000 ;
+1<
+b0000000110000001111001100000000000 =
+1C
+1J
+#451500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#452000000
+1"
+b0000000110000011101000110000000000 *
+1-
+12
+b0000000000000001101111110000000000 4
+b0000000000000001101111110000000000 6
+17
+b0000000000000001101111110000000000 8
+b0000000110000011101000110000000000 9
+b0000000000000001101111100000000000 :
+b0000000110000011101000110000000000 ;
+1<
+b0000000110000011101000110000000000 =
+1C
+1J
+#452500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#453000000
+1"
+b0000000110000101011000010000000000 *
+1-
+12
+b0000000000000001110000000000000000 4
+b0000000000000001110000000000000000 6
+17
+b0000000000000001110000000000000000 8
+b0000000110000101011000010000000000 9
+b0000000000000001101111110000000000 :
+b0000000110000101011000010000000000 ;
+1<
+b0000000110000101011000010000000000 =
+1C
+1J
+#453500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#454000000
+1"
+b0000000110000111001000000000000000 *
+1-
+12
+b0000000000000001110000010000000000 4
+b0000000000000001110000010000000000 6
+17
+b0000000000000001110000010000000000 8
+b0000000110000111001000000000000000 9
+b0000000000000001110000000000000000 :
+b0000000110000111001000000000000000 ;
+1<
+b0000000110000111001000000000000000 =
+1C
+1J
+#454500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#455000000
+1"
+b0000000110001000111000000000000000 *
+1-
+12
+b0000000000000001110000100000000000 4
+b0000000000000001110000100000000000 6
+17
+b0000000000000001110000100000000000 8
+b0000000110001000111000000000000000 9
+b0000000000000001110000010000000000 :
+b0000000110001000111000000000000000 ;
+1<
+b0000000110001000111000000000000000 =
+1C
+1J
+#455500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#456000000
+1"
+b0000000110001010101000010000000000 *
+1-
+12
+b0000000000000001110000110000000000 4
+b0000000000000001110000110000000000 6
+17
+b0000000000000001110000110000000000 8
+b0000000110001010101000010000000000 9
+b0000000000000001110000100000000000 :
+b0000000110001010101000010000000000 ;
+1<
+b0000000110001010101000010000000000 =
+1C
+1J
+#456500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#457000000
+1"
+b0000000110001100011000110000000000 *
+1-
+12
+b0000000000000001110001000000000000 4
+b0000000000000001110001000000000000 6
+17
+b0000000000000001110001000000000000 8
+b0000000110001100011000110000000000 9
+b0000000000000001110000110000000000 :
+b0000000110001100011000110000000000 ;
+1<
+b0000000110001100011000110000000000 =
+1C
+1J
+#457500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#458000000
+1"
+b0000000110001110001001100000000000 *
+1-
+12
+b0000000000000001110001010000000000 4
+b0000000000000001110001010000000000 6
+17
+b0000000000000001110001010000000000 8
+b0000000110001110001001100000000000 9
+b0000000000000001110001000000000000 :
+b0000000110001110001001100000000000 ;
+1<
+b0000000110001110001001100000000000 =
+1C
+1J
+#458500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#459000000
+1"
+b0000000110001111111010100000000000 *
+1-
+12
+b0000000000000001110001100000000000 4
+b0000000000000001110001100000000000 6
+17
+b0000000000000001110001100000000000 8
+b0000000110001111111010100000000000 9
+b0000000000000001110001010000000000 :
+b0000000110001111111010100000000000 ;
+1<
+b0000000110001111111010100000000000 =
+1C
+1J
+#459500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#460000000
+1"
+b0000000110010001101011110000000000 *
+1-
+12
+b0000000000000001110001110000000000 4
+b0000000000000001110001110000000000 6
+17
+b0000000000000001110001110000000000 8
+b0000000110010001101011110000000000 9
+b0000000000000001110001100000000000 :
+b0000000110010001101011110000000000 ;
+1<
+b0000000110010001101011110000000000 =
+1C
+1J
+#460500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#461000000
+1"
+b0000000110010011011101010000000000 *
+1-
+12
+b0000000000000001110010000000000000 4
+b0000000000000001110010000000000000 6
+17
+b0000000000000001110010000000000000 8
+b0000000110010011011101010000000000 9
+b0000000000000001110001110000000000 :
+b0000000110010011011101010000000000 ;
+1<
+b0000000110010011011101010000000000 =
+1C
+1J
+#461500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#462000000
+1"
+b0000000110010101001111000000000000 *
+1-
+12
+b0000000000000001110010010000000000 4
+b0000000000000001110010010000000000 6
+17
+b0000000000000001110010010000000000 8
+b0000000110010101001111000000000000 9
+b0000000000000001110010000000000000 :
+b0000000110010101001111000000000000 ;
+1<
+b0000000110010101001111000000000000 =
+1C
+1J
+#462500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#463000000
+1"
+b0000000110010111000001000000000000 *
+1-
+12
+b0000000000000001110010100000000000 4
+b0000000000000001110010100000000000 6
+17
+b0000000000000001110010100000000000 8
+b0000000110010111000001000000000000 9
+b0000000000000001110010010000000000 :
+b0000000110010111000001000000000000 ;
+1<
+b0000000110010111000001000000000000 =
+1C
+1J
+#463500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#464000000
+1"
+b0000000110011000110011010000000000 *
+1-
+12
+b0000000000000001110010110000000000 4
+b0000000000000001110010110000000000 6
+17
+b0000000000000001110010110000000000 8
+b0000000110011000110011010000000000 9
+b0000000000000001110010100000000000 :
+b0000000110011000110011010000000000 ;
+1<
+b0000000110011000110011010000000000 =
+1C
+1J
+#464500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#465000000
+1"
+b0000000110011010100101110000000000 *
+1-
+12
+b0000000000000001110011000000000000 4
+b0000000000000001110011000000000000 6
+17
+b0000000000000001110011000000000000 8
+b0000000110011010100101110000000000 9
+b0000000000000001110010110000000000 :
+b0000000110011010100101110000000000 ;
+1<
+b0000000110011010100101110000000000 =
+1C
+1J
+#465500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#466000000
+1"
+b0000000110011100011000100000000000 *
+1-
+12
+b0000000000000001110011010000000000 4
+b0000000000000001110011010000000000 6
+17
+b0000000000000001110011010000000000 8
+b0000000110011100011000100000000000 9
+b0000000000000001110011000000000000 :
+b0000000110011100011000100000000000 ;
+1<
+b0000000110011100011000100000000000 =
+1C
+1J
+#466500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#467000000
+1"
+b0000000110011110001011100000000000 *
+1-
+12
+b0000000000000001110011100000000000 4
+b0000000000000001110011100000000000 6
+17
+b0000000000000001110011100000000000 8
+b0000000110011110001011100000000000 9
+b0000000000000001110011010000000000 :
+b0000000110011110001011100000000000 ;
+1<
+b0000000110011110001011100000000000 =
+1C
+1J
+#467500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#468000000
+1"
+b0000000110011111111110110000000000 *
+1-
+12
+b0000000000000001110011110000000000 4
+b0000000000000001110011110000000000 6
+17
+b0000000000000001110011110000000000 8
+b0000000110011111111110110000000000 9
+b0000000000000001110011100000000000 :
+b0000000110011111111110110000000000 ;
+1<
+b0000000110011111111110110000000000 =
+1C
+1J
+#468500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#469000000
+1"
+b0000000110100001110010010000000000 *
+1-
+12
+b0000000000000001110100000000000000 4
+b0000000000000001110100000000000000 6
+17
+b0000000000000001110100000000000000 8
+b0000000110100001110010010000000000 9
+b0000000000000001110011110000000000 :
+b0000000110100001110010010000000000 ;
+1<
+b0000000110100001110010010000000000 =
+1C
+1J
+#469500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#470000000
+1"
+b0000000110100011100110000000000000 *
+1-
+12
+b0000000000000001110100010000000000 4
+b0000000000000001110100010000000000 6
+17
+b0000000000000001110100010000000000 8
+b0000000110100011100110000000000000 9
+b0000000000000001110100000000000000 :
+b0000000110100011100110000000000000 ;
+1<
+b0000000110100011100110000000000000 =
+1C
+1J
+#470500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#471000000
+1"
+b0000000110100101011010000000000000 *
+1-
+12
+b0000000000000001110100100000000000 4
+b0000000000000001110100100000000000 6
+17
+b0000000000000001110100100000000000 8
+b0000000110100101011010000000000000 9
+b0000000000000001110100010000000000 :
+b0000000110100101011010000000000000 ;
+1<
+b0000000110100101011010000000000000 =
+1C
+1J
+#471500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#472000000
+1"
+b0000000110100111001110010000000000 *
+1-
+12
+b0000000000000001110100110000000000 4
+b0000000000000001110100110000000000 6
+17
+b0000000000000001110100110000000000 8
+b0000000110100111001110010000000000 9
+b0000000000000001110100100000000000 :
+b0000000110100111001110010000000000 ;
+1<
+b0000000110100111001110010000000000 =
+1C
+1J
+#472500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#473000000
+1"
+b0000000110101001000010110000000000 *
+1-
+12
+b0000000000000001110101000000000000 4
+b0000000000000001110101000000000000 6
+17
+b0000000000000001110101000000000000 8
+b0000000110101001000010110000000000 9
+b0000000000000001110100110000000000 :
+b0000000110101001000010110000000000 ;
+1<
+b0000000110101001000010110000000000 =
+1C
+1J
+#473500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#474000000
+1"
+b0000000110101010110111100000000000 *
+1-
+12
+b0000000000000001110101010000000000 4
+b0000000000000001110101010000000000 6
+17
+b0000000000000001110101010000000000 8
+b0000000110101010110111100000000000 9
+b0000000000000001110101000000000000 :
+b0000000110101010110111100000000000 ;
+1<
+b0000000110101010110111100000000000 =
+1C
+1J
+#474500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#475000000
+1"
+b0000000110101100101100100000000000 *
+1-
+12
+b0000000000000001110101100000000000 4
+b0000000000000001110101100000000000 6
+17
+b0000000000000001110101100000000000 8
+b0000000110101100101100100000000000 9
+b0000000000000001110101010000000000 :
+b0000000110101100101100100000000000 ;
+1<
+b0000000110101100101100100000000000 =
+1C
+1J
+#475500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#476000000
+1"
+b0000000110101110100001110000000000 *
+1-
+12
+b0000000000000001110101110000000000 4
+b0000000000000001110101110000000000 6
+17
+b0000000000000001110101110000000000 8
+b0000000110101110100001110000000000 9
+b0000000000000001110101100000000000 :
+b0000000110101110100001110000000000 ;
+1<
+b0000000110101110100001110000000000 =
+1C
+1J
+#476500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#477000000
+1"
+b0000000110110000010111010000000000 *
+1-
+12
+b0000000000000001110110000000000000 4
+b0000000000000001110110000000000000 6
+17
+b0000000000000001110110000000000000 8
+b0000000110110000010111010000000000 9
+b0000000000000001110101110000000000 :
+b0000000110110000010111010000000000 ;
+1<
+b0000000110110000010111010000000000 =
+1C
+1J
+#477500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#478000000
+1"
+b0000000110110010001101000000000000 *
+1-
+12
+b0000000000000001110110010000000000 4
+b0000000000000001110110010000000000 6
+17
+b0000000000000001110110010000000000 8
+b0000000110110010001101000000000000 9
+b0000000000000001110110000000000000 :
+b0000000110110010001101000000000000 ;
+1<
+b0000000110110010001101000000000000 =
+1C
+1J
+#478500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#479000000
+1"
+b0000000110110100000011000000000000 *
+1-
+12
+b0000000000000001110110100000000000 4
+b0000000000000001110110100000000000 6
+17
+b0000000000000001110110100000000000 8
+b0000000110110100000011000000000000 9
+b0000000000000001110110010000000000 :
+b0000000110110100000011000000000000 ;
+1<
+b0000000110110100000011000000000000 =
+1C
+1J
+#479500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#480000000
+1"
+b0000000110110101111001010000000000 *
+1-
+12
+b0000000000000001110110110000000000 4
+b0000000000000001110110110000000000 6
+17
+b0000000000000001110110110000000000 8
+b0000000110110101111001010000000000 9
+b0000000000000001110110100000000000 :
+b0000000110110101111001010000000000 ;
+1<
+b0000000110110101111001010000000000 =
+1C
+1J
+#480500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#481000000
+1"
+b0000000110110111101111110000000000 *
+1-
+12
+b0000000000000001110111000000000000 4
+b0000000000000001110111000000000000 6
+17
+b0000000000000001110111000000000000 8
+b0000000110110111101111110000000000 9
+b0000000000000001110110110000000000 :
+b0000000110110111101111110000000000 ;
+1<
+b0000000110110111101111110000000000 =
+1C
+1J
+#481500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#482000000
+1"
+b0000000110111001100110100000000000 *
+1-
+12
+b0000000000000001110111010000000000 4
+b0000000000000001110111010000000000 6
+17
+b0000000000000001110111010000000000 8
+b0000000110111001100110100000000000 9
+b0000000000000001110111000000000000 :
+b0000000110111001100110100000000000 ;
+1<
+b0000000110111001100110100000000000 =
+1C
+1J
+#482500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#483000000
+1"
+b0000000110111011011101100000000000 *
+1-
+12
+b0000000000000001110111100000000000 4
+b0000000000000001110111100000000000 6
+17
+b0000000000000001110111100000000000 8
+b0000000110111011011101100000000000 9
+b0000000000000001110111010000000000 :
+b0000000110111011011101100000000000 ;
+1<
+b0000000110111011011101100000000000 =
+1C
+1J
+#483500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#484000000
+1"
+b0000000110111101010100110000000000 *
+1-
+12
+b0000000000000001110111110000000000 4
+b0000000000000001110111110000000000 6
+17
+b0000000000000001110111110000000000 8
+b0000000110111101010100110000000000 9
+b0000000000000001110111100000000000 :
+b0000000110111101010100110000000000 ;
+1<
+b0000000110111101010100110000000000 =
+1C
+1J
+#484500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#485000000
+1"
+b0000000110111111001100010000000000 *
+1-
+12
+b0000000000000001111000000000000000 4
+b0000000000000001111000000000000000 6
+17
+b0000000000000001111000000000000000 8
+b0000000110111111001100010000000000 9
+b0000000000000001110111110000000000 :
+b0000000110111111001100010000000000 ;
+1<
+b0000000110111111001100010000000000 =
+1C
+1J
+#485500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#486000000
+1"
+b0000000111000001000100000000000000 *
+1-
+12
+b0000000000000001111000010000000000 4
+b0000000000000001111000010000000000 6
+17
+b0000000000000001111000010000000000 8
+b0000000111000001000100000000000000 9
+b0000000000000001111000000000000000 :
+b0000000111000001000100000000000000 ;
+1<
+b0000000111000001000100000000000000 =
+1C
+1J
+#486500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#487000000
+1"
+b0000000111000010111100000000000000 *
+1-
+12
+b0000000000000001111000100000000000 4
+b0000000000000001111000100000000000 6
+17
+b0000000000000001111000100000000000 8
+b0000000111000010111100000000000000 9
+b0000000000000001111000010000000000 :
+b0000000111000010111100000000000000 ;
+1<
+b0000000111000010111100000000000000 =
+1C
+1J
+#487500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#488000000
+1"
+b0000000111000100110100010000000000 *
+1-
+12
+b0000000000000001111000110000000000 4
+b0000000000000001111000110000000000 6
+17
+b0000000000000001111000110000000000 8
+b0000000111000100110100010000000000 9
+b0000000000000001111000100000000000 :
+b0000000111000100110100010000000000 ;
+1<
+b0000000111000100110100010000000000 =
+1C
+1J
+#488500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#489000000
+1"
+b0000000111000110101100110000000000 *
+1-
+12
+b0000000000000001111001000000000000 4
+b0000000000000001111001000000000000 6
+17
+b0000000000000001111001000000000000 8
+b0000000111000110101100110000000000 9
+b0000000000000001111000110000000000 :
+b0000000111000110101100110000000000 ;
+1<
+b0000000111000110101100110000000000 =
+1C
+1J
+#489500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#490000000
+1"
+b0000000111001000100101100000000000 *
+1-
+12
+b0000000000000001111001010000000000 4
+b0000000000000001111001010000000000 6
+17
+b0000000000000001111001010000000000 8
+b0000000111001000100101100000000000 9
+b0000000000000001111001000000000000 :
+b0000000111001000100101100000000000 ;
+1<
+b0000000111001000100101100000000000 =
+1C
+1J
+#490500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#491000000
+1"
+b0000000111001010011110100000000000 *
+1-
+12
+b0000000000000001111001100000000000 4
+b0000000000000001111001100000000000 6
+17
+b0000000000000001111001100000000000 8
+b0000000111001010011110100000000000 9
+b0000000000000001111001010000000000 :
+b0000000111001010011110100000000000 ;
+1<
+b0000000111001010011110100000000000 =
+1C
+1J
+#491500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#492000000
+1"
+b0000000111001100010111110000000000 *
+1-
+12
+b0000000000000001111001110000000000 4
+b0000000000000001111001110000000000 6
+17
+b0000000000000001111001110000000000 8
+b0000000111001100010111110000000000 9
+b0000000000000001111001100000000000 :
+b0000000111001100010111110000000000 ;
+1<
+b0000000111001100010111110000000000 =
+1C
+1J
+#492500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#493000000
+1"
+b0000000111001110010001010000000000 *
+1-
+12
+b0000000000000001111010000000000000 4
+b0000000000000001111010000000000000 6
+17
+b0000000000000001111010000000000000 8
+b0000000111001110010001010000000000 9
+b0000000000000001111001110000000000 :
+b0000000111001110010001010000000000 ;
+1<
+b0000000111001110010001010000000000 =
+1C
+1J
+#493500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#494000000
+1"
+b0000000111010000001011000000000000 *
+1-
+12
+b0000000000000001111010010000000000 4
+b0000000000000001111010010000000000 6
+17
+b0000000000000001111010010000000000 8
+b0000000111010000001011000000000000 9
+b0000000000000001111010000000000000 :
+b0000000111010000001011000000000000 ;
+1<
+b0000000111010000001011000000000000 =
+1C
+1J
+#494500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#495000000
+1"
+b0000000111010010000101000000000000 *
+1-
+12
+b0000000000000001111010100000000000 4
+b0000000000000001111010100000000000 6
+17
+b0000000000000001111010100000000000 8
+b0000000111010010000101000000000000 9
+b0000000000000001111010010000000000 :
+b0000000111010010000101000000000000 ;
+1<
+b0000000111010010000101000000000000 =
+1C
+1J
+#495500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#496000000
+1"
+b0000000111010011111111010000000000 *
+1-
+12
+b0000000000000001111010110000000000 4
+b0000000000000001111010110000000000 6
+17
+b0000000000000001111010110000000000 8
+b0000000111010011111111010000000000 9
+b0000000000000001111010100000000000 :
+b0000000111010011111111010000000000 ;
+1<
+b0000000111010011111111010000000000 =
+1C
+1J
+#496500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#497000000
+1"
+b0000000111010101111001110000000000 *
+1-
+12
+b0000000000000001111011000000000000 4
+b0000000000000001111011000000000000 6
+17
+b0000000000000001111011000000000000 8
+b0000000111010101111001110000000000 9
+b0000000000000001111010110000000000 :
+b0000000111010101111001110000000000 ;
+1<
+b0000000111010101111001110000000000 =
+1C
+1J
+#497500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#498000000
+1"
+b0000000111010111110100100000000000 *
+1-
+12
+b0000000000000001111011010000000000 4
+b0000000000000001111011010000000000 6
+17
+b0000000000000001111011010000000000 8
+b0000000111010111110100100000000000 9
+b0000000000000001111011000000000000 :
+b0000000111010111110100100000000000 ;
+1<
+b0000000111010111110100100000000000 =
+1C
+1J
+#498500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#499000000
+1"
+b0000000111011001101111100000000000 *
+1-
+12
+b0000000000000001111011100000000000 4
+b0000000000000001111011100000000000 6
+17
+b0000000000000001111011100000000000 8
+b0000000111011001101111100000000000 9
+b0000000000000001111011010000000000 :
+b0000000111011001101111100000000000 ;
+1<
+b0000000111011001101111100000000000 =
+1C
+1J
+#499500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#500000000
+1"
+b0000000111011011101010110000000000 *
+1-
+12
+b0000000000000001111011110000000000 4
+b0000000000000001111011110000000000 6
+17
+b0000000000000001111011110000000000 8
+b0000000111011011101010110000000000 9
+b0000000000000001111011100000000000 :
+b0000000111011011101010110000000000 ;
+1<
+b0000000111011011101010110000000000 =
+1C
+1J
+#500500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#501000000
+1"
+b0000000111011101100110010000000000 *
+1-
+12
+b0000000000000001111100000000000000 4
+b0000000000000001111100000000000000 6
+17
+b0000000000000001111100000000000000 8
+b0000000111011101100110010000000000 9
+b0000000000000001111011110000000000 :
+b0000000111011101100110010000000000 ;
+1<
+b0000000111011101100110010000000000 =
+1C
+1J
+#501500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#502000000
+1"
+b0000000111011111100010000000000000 *
+1-
+12
+b0000000000000001111100010000000000 4
+b0000000000000001111100010000000000 6
+17
+b0000000000000001111100010000000000 8
+b0000000111011111100010000000000000 9
+b0000000000000001111100000000000000 :
+b0000000111011111100010000000000000 ;
+1<
+b0000000111011111100010000000000000 =
+1C
+1J
+#502500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#503000000
+1"
+b0000000111100001011110000000000000 *
+1-
+12
+b0000000000000001111100100000000000 4
+b0000000000000001111100100000000000 6
+17
+b0000000000000001111100100000000000 8
+b0000000111100001011110000000000000 9
+b0000000000000001111100010000000000 :
+b0000000111100001011110000000000000 ;
+1<
+b0000000111100001011110000000000000 =
+1C
+1J
+#503500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#504000000
+1"
+b0000000111100011011010010000000000 *
+1-
+12
+b0000000000000001111100110000000000 4
+b0000000000000001111100110000000000 6
+17
+b0000000000000001111100110000000000 8
+b0000000111100011011010010000000000 9
+b0000000000000001111100100000000000 :
+b0000000111100011011010010000000000 ;
+1<
+b0000000111100011011010010000000000 =
+1C
+1J
+#504500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#505000000
+1"
+b0000000111100101010110110000000000 *
+1-
+12
+b0000000000000001111101000000000000 4
+b0000000000000001111101000000000000 6
+17
+b0000000000000001111101000000000000 8
+b0000000111100101010110110000000000 9
+b0000000000000001111100110000000000 :
+b0000000111100101010110110000000000 ;
+1<
+b0000000111100101010110110000000000 =
+1C
+1J
+#505500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#506000000
+1"
+b0000000111100111010011100000000000 *
+1-
+12
+b0000000000000001111101010000000000 4
+b0000000000000001111101010000000000 6
+17
+b0000000000000001111101010000000000 8
+b0000000111100111010011100000000000 9
+b0000000000000001111101000000000000 :
+b0000000111100111010011100000000000 ;
+1<
+b0000000111100111010011100000000000 =
+1C
+1J
+#506500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#507000000
+1"
+b0000000111101001010000100000000000 *
+1-
+12
+b0000000000000001111101100000000000 4
+b0000000000000001111101100000000000 6
+17
+b0000000000000001111101100000000000 8
+b0000000111101001010000100000000000 9
+b0000000000000001111101010000000000 :
+b0000000111101001010000100000000000 ;
+1<
+b0000000111101001010000100000000000 =
+1C
+1J
+#507500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#508000000
+1"
+b0000000111101011001101110000000000 *
+1-
+12
+b0000000000000001111101110000000000 4
+b0000000000000001111101110000000000 6
+17
+b0000000000000001111101110000000000 8
+b0000000111101011001101110000000000 9
+b0000000000000001111101100000000000 :
+b0000000111101011001101110000000000 ;
+1<
+b0000000111101011001101110000000000 =
+1C
+1J
+#508500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#509000000
+1"
+b0000000111101101001011010000000000 *
+1-
+12
+b0000000000000001111110000000000000 4
+b0000000000000001111110000000000000 6
+17
+b0000000000000001111110000000000000 8
+b0000000111101101001011010000000000 9
+b0000000000000001111101110000000000 :
+b0000000111101101001011010000000000 ;
+1<
+b0000000111101101001011010000000000 =
+1C
+1J
+#509500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#510000000
+1"
+b0000000111101111001001000000000000 *
+1-
+12
+b0000000000000001111110010000000000 4
+b0000000000000001111110010000000000 6
+17
+b0000000000000001111110010000000000 8
+b0000000111101111001001000000000000 9
+b0000000000000001111110000000000000 :
+b0000000111101111001001000000000000 ;
+1<
+b0000000111101111001001000000000000 =
+1C
+1J
+#510500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#511000000
+1"
+b0000000111110001000111000000000000 *
+1-
+12
+b0000000000000001111110100000000000 4
+b0000000000000001111110100000000000 6
+17
+b0000000000000001111110100000000000 8
+b0000000111110001000111000000000000 9
+b0000000000000001111110010000000000 :
+b0000000111110001000111000000000000 ;
+1<
+b0000000111110001000111000000000000 =
+1C
+1J
+#511500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#512000000
+1"
+b0000000111110011000101010000000000 *
+1-
+12
+b0000000000000001111110110000000000 4
+b0000000000000001111110110000000000 6
+17
+b0000000000000001111110110000000000 8
+b0000000111110011000101010000000000 9
+b0000000000000001111110100000000000 :
+b0000000111110011000101010000000000 ;
+1<
+b0000000111110011000101010000000000 =
+1C
+1J
+#512500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#513000000
+1"
+1'
+b0000000111110101000011110000000000 *
+1+
+1-
+12
+b0000000000000001111111000000000000 4
+b0000000000000001111111000000000000 6
+17
+b0000000000000001111111000000000000 8
+b0000000111110101000011110000000000 9
+b0000000000000001111110110000000000 :
+b0000000111110101000011110000000000 ;
+1<
+b0000000111110101000011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#513500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#514000000
+1"
+0'
+b0000000111110111000010100000000000 *
+0+
+1-
+12
+b0000000000000001111111010000000000 4
+b0000000000000001111111010000000000 6
+17
+b0000000000000001111111010000000000 8
+b0000000111110111000010100000000000 9
+b0000000000000001111111000000000000 :
+b0000000111110111000010100000000000 ;
+1<
+b0000000111110111000010100000000000 =
+b0000000001110110101000000000000000 >
+0?
+b0000000111110101000011110000000000 A
+b0000000001110110101000000000000000 B
+1C
+b0000000001110110101000000000000000 D
+0F
+b0000000001100110101000000000000000 H
+1J
+0M
+#514500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#515000000
+1"
+b0000000111111001000001100000000000 *
+1-
+12
+b0000000000000001111111100000000000 4
+b0000000000000001111111100000000000 6
+17
+b0000000000000001111111100000000000 8
+b0000000111111001000001100000000000 9
+b0000000000000001111111010000000000 :
+b0000000111111001000001100000000000 ;
+1<
+b0000000111111001000001100000000000 =
+1C
+1J
+#515500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#516000000
+1"
+b0000000111111011000000110000000000 *
+1-
+12
+b0000000000000001111111110000000000 4
+b0000000000000001111111110000000000 6
+17
+b0000000000000001111111110000000000 8
+b0000000111111011000000110000000000 9
+b0000000000000001111111100000000000 :
+b0000000111111011000000110000000000 ;
+1<
+b0000000111111011000000110000000000 =
+1C
+1J
+#516500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#517000000
+1"
+b0000000111111101000000010000000000 *
+1-
+12
+b0000000000000010000000000000000000 4
+b0000000000000010000000000000000000 6
+17
+b0000000000000010000000000000000000 8
+b0000000111111101000000010000000000 9
+b0000000000000001111111110000000000 :
+b0000000111111101000000010000000000 ;
+1<
+b0000000111111101000000010000000000 =
+1C
+1J
+#517500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#518000000
+1"
+b0000000111111111000000000000000000 *
+1-
+12
+b0000000000000010000000010000000000 4
+b0000000000000010000000010000000000 6
+17
+b0000000000000010000000010000000000 8
+b0000000111111111000000000000000000 9
+b0000000000000010000000000000000000 :
+b0000000111111111000000000000000000 ;
+1<
+b0000000111111111000000000000000000 =
+1C
+1J
+#518500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#519000000
+1"
+b0000001000000001000000000000000000 *
+1-
+12
+b0000000000000010000000100000000000 4
+b0000000000000010000000100000000000 6
+17
+b0000000000000010000000100000000000 8
+b0000001000000001000000000000000000 9
+b0000000000000010000000010000000000 :
+b0000001000000001000000000000000000 ;
+1<
+b0000001000000001000000000000000000 =
+1C
+1J
+#519500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#520000000
+1"
+b0000001000000011000000010000000000 *
+1-
+12
+b0000000000000010000000110000000000 4
+b0000000000000010000000110000000000 6
+17
+b0000000000000010000000110000000000 8
+b0000001000000011000000010000000000 9
+b0000000000000010000000100000000000 :
+b0000001000000011000000010000000000 ;
+1<
+b0000001000000011000000010000000000 =
+1C
+1J
+#520500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#521000000
+1"
+b0000001000000101000000110000000000 *
+1-
+12
+b0000000000000010000001000000000000 4
+b0000000000000010000001000000000000 6
+17
+b0000000000000010000001000000000000 8
+b0000001000000101000000110000000000 9
+b0000000000000010000000110000000000 :
+b0000001000000101000000110000000000 ;
+1<
+b0000001000000101000000110000000000 =
+1C
+1J
+#521500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#522000000
+1"
+b0000001000000111000001100000000000 *
+1-
+12
+b0000000000000010000001010000000000 4
+b0000000000000010000001010000000000 6
+17
+b0000000000000010000001010000000000 8
+b0000001000000111000001100000000000 9
+b0000000000000010000001000000000000 :
+b0000001000000111000001100000000000 ;
+1<
+b0000001000000111000001100000000000 =
+1C
+1J
+#522500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#523000000
+1"
+b0000001000001001000010100000000000 *
+1-
+12
+b0000000000000010000001100000000000 4
+b0000000000000010000001100000000000 6
+17
+b0000000000000010000001100000000000 8
+b0000001000001001000010100000000000 9
+b0000000000000010000001010000000000 :
+b0000001000001001000010100000000000 ;
+1<
+b0000001000001001000010100000000000 =
+1C
+1J
+#523500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#524000000
+1"
+b0000001000001011000011110000000000 *
+1-
+12
+b0000000000000010000001110000000000 4
+b0000000000000010000001110000000000 6
+17
+b0000000000000010000001110000000000 8
+b0000001000001011000011110000000000 9
+b0000000000000010000001100000000000 :
+b0000001000001011000011110000000000 ;
+1<
+b0000001000001011000011110000000000 =
+1C
+1J
+#524500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#525000000
+1"
+b0000001000001101000101010000000000 *
+1-
+12
+b0000000000000010000010000000000000 4
+b0000000000000010000010000000000000 6
+17
+b0000000000000010000010000000000000 8
+b0000001000001101000101010000000000 9
+b0000000000000010000001110000000000 :
+b0000001000001101000101010000000000 ;
+1<
+b0000001000001101000101010000000000 =
+1C
+1J
+#525500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#526000000
+1"
+b0000001000001111000111000000000000 *
+1-
+12
+b0000000000000010000010010000000000 4
+b0000000000000010000010010000000000 6
+17
+b0000000000000010000010010000000000 8
+b0000001000001111000111000000000000 9
+b0000000000000010000010000000000000 :
+b0000001000001111000111000000000000 ;
+1<
+b0000001000001111000111000000000000 =
+1C
+1J
+#526500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#527000000
+1"
+b0000001000010001001001000000000000 *
+1-
+12
+b0000000000000010000010100000000000 4
+b0000000000000010000010100000000000 6
+17
+b0000000000000010000010100000000000 8
+b0000001000010001001001000000000000 9
+b0000000000000010000010010000000000 :
+b0000001000010001001001000000000000 ;
+1<
+b0000001000010001001001000000000000 =
+1C
+1J
+#527500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#528000000
+1"
+b0000001000010011001011010000000000 *
+1-
+12
+b0000000000000010000010110000000000 4
+b0000000000000010000010110000000000 6
+17
+b0000000000000010000010110000000000 8
+b0000001000010011001011010000000000 9
+b0000000000000010000010100000000000 :
+b0000001000010011001011010000000000 ;
+1<
+b0000001000010011001011010000000000 =
+1C
+1J
+#528500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#529000000
+1"
+b0000001000010101001101110000000000 *
+1-
+12
+b0000000000000010000011000000000000 4
+b0000000000000010000011000000000000 6
+17
+b0000000000000010000011000000000000 8
+b0000001000010101001101110000000000 9
+b0000000000000010000010110000000000 :
+b0000001000010101001101110000000000 ;
+1<
+b0000001000010101001101110000000000 =
+1C
+1J
+#529500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#530000000
+1"
+b0000001000010111010000100000000000 *
+1-
+12
+b0000000000000010000011010000000000 4
+b0000000000000010000011010000000000 6
+17
+b0000000000000010000011010000000000 8
+b0000001000010111010000100000000000 9
+b0000000000000010000011000000000000 :
+b0000001000010111010000100000000000 ;
+1<
+b0000001000010111010000100000000000 =
+1C
+1J
+#530500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#531000000
+1"
+b0000001000011001010011100000000000 *
+1-
+12
+b0000000000000010000011100000000000 4
+b0000000000000010000011100000000000 6
+17
+b0000000000000010000011100000000000 8
+b0000001000011001010011100000000000 9
+b0000000000000010000011010000000000 :
+b0000001000011001010011100000000000 ;
+1<
+b0000001000011001010011100000000000 =
+1C
+1J
+#531500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#532000000
+1"
+b0000001000011011010110110000000000 *
+1-
+12
+b0000000000000010000011110000000000 4
+b0000000000000010000011110000000000 6
+17
+b0000000000000010000011110000000000 8
+b0000001000011011010110110000000000 9
+b0000000000000010000011100000000000 :
+b0000001000011011010110110000000000 ;
+1<
+b0000001000011011010110110000000000 =
+1C
+1J
+#532500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#533000000
+1"
+b0000001000011101011010010000000000 *
+1-
+12
+b0000000000000010000100000000000000 4
+b0000000000000010000100000000000000 6
+17
+b0000000000000010000100000000000000 8
+b0000001000011101011010010000000000 9
+b0000000000000010000011110000000000 :
+b0000001000011101011010010000000000 ;
+1<
+b0000001000011101011010010000000000 =
+1C
+1J
+#533500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#534000000
+1"
+b0000001000011111011110000000000000 *
+1-
+12
+b0000000000000010000100010000000000 4
+b0000000000000010000100010000000000 6
+17
+b0000000000000010000100010000000000 8
+b0000001000011111011110000000000000 9
+b0000000000000010000100000000000000 :
+b0000001000011111011110000000000000 ;
+1<
+b0000001000011111011110000000000000 =
+1C
+1J
+#534500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#535000000
+1"
+b0000001000100001100010000000000000 *
+1-
+12
+b0000000000000010000100100000000000 4
+b0000000000000010000100100000000000 6
+17
+b0000000000000010000100100000000000 8
+b0000001000100001100010000000000000 9
+b0000000000000010000100010000000000 :
+b0000001000100001100010000000000000 ;
+1<
+b0000001000100001100010000000000000 =
+1C
+1J
+#535500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#536000000
+1"
+b0000001000100011100110010000000000 *
+1-
+12
+b0000000000000010000100110000000000 4
+b0000000000000010000100110000000000 6
+17
+b0000000000000010000100110000000000 8
+b0000001000100011100110010000000000 9
+b0000000000000010000100100000000000 :
+b0000001000100011100110010000000000 ;
+1<
+b0000001000100011100110010000000000 =
+1C
+1J
+#536500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#537000000
+1"
+b0000001000100101101010110000000000 *
+1-
+12
+b0000000000000010000101000000000000 4
+b0000000000000010000101000000000000 6
+17
+b0000000000000010000101000000000000 8
+b0000001000100101101010110000000000 9
+b0000000000000010000100110000000000 :
+b0000001000100101101010110000000000 ;
+1<
+b0000001000100101101010110000000000 =
+1C
+1J
+#537500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#538000000
+1"
+b0000001000100111101111100000000000 *
+1-
+12
+b0000000000000010000101010000000000 4
+b0000000000000010000101010000000000 6
+17
+b0000000000000010000101010000000000 8
+b0000001000100111101111100000000000 9
+b0000000000000010000101000000000000 :
+b0000001000100111101111100000000000 ;
+1<
+b0000001000100111101111100000000000 =
+1C
+1J
+#538500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#539000000
+1"
+b0000001000101001110100100000000000 *
+1-
+12
+b0000000000000010000101100000000000 4
+b0000000000000010000101100000000000 6
+17
+b0000000000000010000101100000000000 8
+b0000001000101001110100100000000000 9
+b0000000000000010000101010000000000 :
+b0000001000101001110100100000000000 ;
+1<
+b0000001000101001110100100000000000 =
+1C
+1J
+#539500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#540000000
+1"
+b0000001000101011111001110000000000 *
+1-
+12
+b0000000000000010000101110000000000 4
+b0000000000000010000101110000000000 6
+17
+b0000000000000010000101110000000000 8
+b0000001000101011111001110000000000 9
+b0000000000000010000101100000000000 :
+b0000001000101011111001110000000000 ;
+1<
+b0000001000101011111001110000000000 =
+1C
+1J
+#540500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#541000000
+1"
+b0000001000101101111111010000000000 *
+1-
+12
+b0000000000000010000110000000000000 4
+b0000000000000010000110000000000000 6
+17
+b0000000000000010000110000000000000 8
+b0000001000101101111111010000000000 9
+b0000000000000010000101110000000000 :
+b0000001000101101111111010000000000 ;
+1<
+b0000001000101101111111010000000000 =
+1C
+1J
+#541500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#542000000
+1"
+b0000001000110000000101000000000000 *
+1-
+12
+b0000000000000010000110010000000000 4
+b0000000000000010000110010000000000 6
+17
+b0000000000000010000110010000000000 8
+b0000001000110000000101000000000000 9
+b0000000000000010000110000000000000 :
+b0000001000110000000101000000000000 ;
+1<
+b0000001000110000000101000000000000 =
+1C
+1J
+#542500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#543000000
+1"
+b0000001000110010001011000000000000 *
+1-
+12
+b0000000000000010000110100000000000 4
+b0000000000000010000110100000000000 6
+17
+b0000000000000010000110100000000000 8
+b0000001000110010001011000000000000 9
+b0000000000000010000110010000000000 :
+b0000001000110010001011000000000000 ;
+1<
+b0000001000110010001011000000000000 =
+1C
+1J
+#543500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#544000000
+1"
+b0000001000110100010001010000000000 *
+1-
+12
+b0000000000000010000110110000000000 4
+b0000000000000010000110110000000000 6
+17
+b0000000000000010000110110000000000 8
+b0000001000110100010001010000000000 9
+b0000000000000010000110100000000000 :
+b0000001000110100010001010000000000 ;
+1<
+b0000001000110100010001010000000000 =
+1C
+1J
+#544500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#545000000
+1"
+b0000001000110110010111110000000000 *
+1-
+12
+b0000000000000010000111000000000000 4
+b0000000000000010000111000000000000 6
+17
+b0000000000000010000111000000000000 8
+b0000001000110110010111110000000000 9
+b0000000000000010000110110000000000 :
+b0000001000110110010111110000000000 ;
+1<
+b0000001000110110010111110000000000 =
+1C
+1J
+#545500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#546000000
+1"
+b0000001000111000011110100000000000 *
+1-
+12
+b0000000000000010000111010000000000 4
+b0000000000000010000111010000000000 6
+17
+b0000000000000010000111010000000000 8
+b0000001000111000011110100000000000 9
+b0000000000000010000111000000000000 :
+b0000001000111000011110100000000000 ;
+1<
+b0000001000111000011110100000000000 =
+1C
+1J
+#546500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#547000000
+1"
+b0000001000111010100101100000000000 *
+1-
+12
+b0000000000000010000111100000000000 4
+b0000000000000010000111100000000000 6
+17
+b0000000000000010000111100000000000 8
+b0000001000111010100101100000000000 9
+b0000000000000010000111010000000000 :
+b0000001000111010100101100000000000 ;
+1<
+b0000001000111010100101100000000000 =
+1C
+1J
+#547500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#548000000
+1"
+b0000001000111100101100110000000000 *
+1-
+12
+b0000000000000010000111110000000000 4
+b0000000000000010000111110000000000 6
+17
+b0000000000000010000111110000000000 8
+b0000001000111100101100110000000000 9
+b0000000000000010000111100000000000 :
+b0000001000111100101100110000000000 ;
+1<
+b0000001000111100101100110000000000 =
+1C
+1J
+#548500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#549000000
+1"
+b0000001000111110110100010000000000 *
+1-
+12
+b0000000000000010001000000000000000 4
+b0000000000000010001000000000000000 6
+17
+b0000000000000010001000000000000000 8
+b0000001000111110110100010000000000 9
+b0000000000000010000111110000000000 :
+b0000001000111110110100010000000000 ;
+1<
+b0000001000111110110100010000000000 =
+1C
+1J
+#549500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#550000000
+1"
+b0000001001000000111100000000000000 *
+1-
+12
+b0000000000000010001000010000000000 4
+b0000000000000010001000010000000000 6
+17
+b0000000000000010001000010000000000 8
+b0000001001000000111100000000000000 9
+b0000000000000010001000000000000000 :
+b0000001001000000111100000000000000 ;
+1<
+b0000001001000000111100000000000000 =
+1C
+1J
+#550500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#551000000
+1"
+b0000001001000011000100000000000000 *
+1-
+12
+b0000000000000010001000100000000000 4
+b0000000000000010001000100000000000 6
+17
+b0000000000000010001000100000000000 8
+b0000001001000011000100000000000000 9
+b0000000000000010001000010000000000 :
+b0000001001000011000100000000000000 ;
+1<
+b0000001001000011000100000000000000 =
+1C
+1J
+#551500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#552000000
+1"
+b0000001001000101001100010000000000 *
+1-
+12
+b0000000000000010001000110000000000 4
+b0000000000000010001000110000000000 6
+17
+b0000000000000010001000110000000000 8
+b0000001001000101001100010000000000 9
+b0000000000000010001000100000000000 :
+b0000001001000101001100010000000000 ;
+1<
+b0000001001000101001100010000000000 =
+1C
+1J
+#552500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#553000000
+1"
+b0000001001000111010100110000000000 *
+1-
+12
+b0000000000000010001001000000000000 4
+b0000000000000010001001000000000000 6
+17
+b0000000000000010001001000000000000 8
+b0000001001000111010100110000000000 9
+b0000000000000010001000110000000000 :
+b0000001001000111010100110000000000 ;
+1<
+b0000001001000111010100110000000000 =
+1C
+1J
+#553500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#554000000
+1"
+b0000001001001001011101100000000000 *
+1-
+12
+b0000000000000010001001010000000000 4
+b0000000000000010001001010000000000 6
+17
+b0000000000000010001001010000000000 8
+b0000001001001001011101100000000000 9
+b0000000000000010001001000000000000 :
+b0000001001001001011101100000000000 ;
+1<
+b0000001001001001011101100000000000 =
+1C
+1J
+#554500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#555000000
+1"
+b0000001001001011100110100000000000 *
+1-
+12
+b0000000000000010001001100000000000 4
+b0000000000000010001001100000000000 6
+17
+b0000000000000010001001100000000000 8
+b0000001001001011100110100000000000 9
+b0000000000000010001001010000000000 :
+b0000001001001011100110100000000000 ;
+1<
+b0000001001001011100110100000000000 =
+1C
+1J
+#555500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#556000000
+1"
+b0000001001001101101111110000000000 *
+1-
+12
+b0000000000000010001001110000000000 4
+b0000000000000010001001110000000000 6
+17
+b0000000000000010001001110000000000 8
+b0000001001001101101111110000000000 9
+b0000000000000010001001100000000000 :
+b0000001001001101101111110000000000 ;
+1<
+b0000001001001101101111110000000000 =
+1C
+1J
+#556500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#557000000
+1"
+b0000001001001111111001010000000000 *
+1-
+12
+b0000000000000010001010000000000000 4
+b0000000000000010001010000000000000 6
+17
+b0000000000000010001010000000000000 8
+b0000001001001111111001010000000000 9
+b0000000000000010001001110000000000 :
+b0000001001001111111001010000000000 ;
+1<
+b0000001001001111111001010000000000 =
+1C
+1J
+#557500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#558000000
+1"
+b0000001001010010000011000000000000 *
+1-
+12
+b0000000000000010001010010000000000 4
+b0000000000000010001010010000000000 6
+17
+b0000000000000010001010010000000000 8
+b0000001001010010000011000000000000 9
+b0000000000000010001010000000000000 :
+b0000001001010010000011000000000000 ;
+1<
+b0000001001010010000011000000000000 =
+1C
+1J
+#558500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#559000000
+1"
+b0000001001010100001101000000000000 *
+1-
+12
+b0000000000000010001010100000000000 4
+b0000000000000010001010100000000000 6
+17
+b0000000000000010001010100000000000 8
+b0000001001010100001101000000000000 9
+b0000000000000010001010010000000000 :
+b0000001001010100001101000000000000 ;
+1<
+b0000001001010100001101000000000000 =
+1C
+1J
+#559500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#560000000
+1"
+b0000001001010110010111010000000000 *
+1-
+12
+b0000000000000010001010110000000000 4
+b0000000000000010001010110000000000 6
+17
+b0000000000000010001010110000000000 8
+b0000001001010110010111010000000000 9
+b0000000000000010001010100000000000 :
+b0000001001010110010111010000000000 ;
+1<
+b0000001001010110010111010000000000 =
+1C
+1J
+#560500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#561000000
+1"
+b0000001001011000100001110000000000 *
+1-
+12
+b0000000000000010001011000000000000 4
+b0000000000000010001011000000000000 6
+17
+b0000000000000010001011000000000000 8
+b0000001001011000100001110000000000 9
+b0000000000000010001010110000000000 :
+b0000001001011000100001110000000000 ;
+1<
+b0000001001011000100001110000000000 =
+1C
+1J
+#561500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#562000000
+1"
+b0000001001011010101100100000000000 *
+1-
+12
+b0000000000000010001011010000000000 4
+b0000000000000010001011010000000000 6
+17
+b0000000000000010001011010000000000 8
+b0000001001011010101100100000000000 9
+b0000000000000010001011000000000000 :
+b0000001001011010101100100000000000 ;
+1<
+b0000001001011010101100100000000000 =
+1C
+1J
+#562500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#563000000
+1"
+b0000001001011100110111100000000000 *
+1-
+12
+b0000000000000010001011100000000000 4
+b0000000000000010001011100000000000 6
+17
+b0000000000000010001011100000000000 8
+b0000001001011100110111100000000000 9
+b0000000000000010001011010000000000 :
+b0000001001011100110111100000000000 ;
+1<
+b0000001001011100110111100000000000 =
+1C
+1J
+#563500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#564000000
+1"
+b0000001001011111000010110000000000 *
+1-
+12
+b0000000000000010001011110000000000 4
+b0000000000000010001011110000000000 6
+17
+b0000000000000010001011110000000000 8
+b0000001001011111000010110000000000 9
+b0000000000000010001011100000000000 :
+b0000001001011111000010110000000000 ;
+1<
+b0000001001011111000010110000000000 =
+1C
+1J
+#564500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#565000000
+1"
+b0000001001100001001110010000000000 *
+1-
+12
+b0000000000000010001100000000000000 4
+b0000000000000010001100000000000000 6
+17
+b0000000000000010001100000000000000 8
+b0000001001100001001110010000000000 9
+b0000000000000010001011110000000000 :
+b0000001001100001001110010000000000 ;
+1<
+b0000001001100001001110010000000000 =
+1C
+1J
+#565500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#566000000
+1"
+b0000001001100011011010000000000000 *
+1-
+12
+b0000000000000010001100010000000000 4
+b0000000000000010001100010000000000 6
+17
+b0000000000000010001100010000000000 8
+b0000001001100011011010000000000000 9
+b0000000000000010001100000000000000 :
+b0000001001100011011010000000000000 ;
+1<
+b0000001001100011011010000000000000 =
+1C
+1J
+#566500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#567000000
+1"
+b0000001001100101100110000000000000 *
+1-
+12
+b0000000000000010001100100000000000 4
+b0000000000000010001100100000000000 6
+17
+b0000000000000010001100100000000000 8
+b0000001001100101100110000000000000 9
+b0000000000000010001100010000000000 :
+b0000001001100101100110000000000000 ;
+1<
+b0000001001100101100110000000000000 =
+1C
+1J
+#567500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#568000000
+1"
+b0000001001100111110010010000000000 *
+1-
+12
+b0000000000000010001100110000000000 4
+b0000000000000010001100110000000000 6
+17
+b0000000000000010001100110000000000 8
+b0000001001100111110010010000000000 9
+b0000000000000010001100100000000000 :
+b0000001001100111110010010000000000 ;
+1<
+b0000001001100111110010010000000000 =
+1C
+1J
+#568500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#569000000
+1"
+b0000001001101001111110110000000000 *
+1-
+12
+b0000000000000010001101000000000000 4
+b0000000000000010001101000000000000 6
+17
+b0000000000000010001101000000000000 8
+b0000001001101001111110110000000000 9
+b0000000000000010001100110000000000 :
+b0000001001101001111110110000000000 ;
+1<
+b0000001001101001111110110000000000 =
+1C
+1J
+#569500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#570000000
+1"
+b0000001001101100001011100000000000 *
+1-
+12
+b0000000000000010001101010000000000 4
+b0000000000000010001101010000000000 6
+17
+b0000000000000010001101010000000000 8
+b0000001001101100001011100000000000 9
+b0000000000000010001101000000000000 :
+b0000001001101100001011100000000000 ;
+1<
+b0000001001101100001011100000000000 =
+1C
+1J
+#570500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#571000000
+1"
+b0000001001101110011000100000000000 *
+1-
+12
+b0000000000000010001101100000000000 4
+b0000000000000010001101100000000000 6
+17
+b0000000000000010001101100000000000 8
+b0000001001101110011000100000000000 9
+b0000000000000010001101010000000000 :
+b0000001001101110011000100000000000 ;
+1<
+b0000001001101110011000100000000000 =
+1C
+1J
+#571500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#572000000
+1"
+b0000001001110000100101110000000000 *
+1-
+12
+b0000000000000010001101110000000000 4
+b0000000000000010001101110000000000 6
+17
+b0000000000000010001101110000000000 8
+b0000001001110000100101110000000000 9
+b0000000000000010001101100000000000 :
+b0000001001110000100101110000000000 ;
+1<
+b0000001001110000100101110000000000 =
+1C
+1J
+#572500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#573000000
+1"
+b0000001001110010110011010000000000 *
+1-
+12
+b0000000000000010001110000000000000 4
+b0000000000000010001110000000000000 6
+17
+b0000000000000010001110000000000000 8
+b0000001001110010110011010000000000 9
+b0000000000000010001101110000000000 :
+b0000001001110010110011010000000000 ;
+1<
+b0000001001110010110011010000000000 =
+1C
+1J
+#573500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#574000000
+1"
+b0000001001110101000001000000000000 *
+1-
+12
+b0000000000000010001110010000000000 4
+b0000000000000010001110010000000000 6
+17
+b0000000000000010001110010000000000 8
+b0000001001110101000001000000000000 9
+b0000000000000010001110000000000000 :
+b0000001001110101000001000000000000 ;
+1<
+b0000001001110101000001000000000000 =
+1C
+1J
+#574500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#575000000
+1"
+b0000001001110111001111000000000000 *
+1-
+12
+b0000000000000010001110100000000000 4
+b0000000000000010001110100000000000 6
+17
+b0000000000000010001110100000000000 8
+b0000001001110111001111000000000000 9
+b0000000000000010001110010000000000 :
+b0000001001110111001111000000000000 ;
+1<
+b0000001001110111001111000000000000 =
+1C
+1J
+#575500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#576000000
+1"
+b0000001001111001011101010000000000 *
+1-
+12
+b0000000000000010001110110000000000 4
+b0000000000000010001110110000000000 6
+17
+b0000000000000010001110110000000000 8
+b0000001001111001011101010000000000 9
+b0000000000000010001110100000000000 :
+b0000001001111001011101010000000000 ;
+1<
+b0000001001111001011101010000000000 =
+1C
+1J
+#576500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#577000000
+1"
+1'
+b0000001001111011101011110000000000 *
+1+
+1-
+12
+b0000000000000010001111000000000000 4
+b0000000000000010001111000000000000 6
+17
+b0000000000000010001111000000000000 8
+b0000001001111011101011110000000000 9
+b0000000000000010001110110000000000 :
+b0000001001111011101011110000000000 ;
+1<
+b0000001001111011101011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#577500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#578000000
+1"
+0'
+b0000001001111101111010100000000000 *
+0+
+1-
+12
+b0000000000000010001111010000000000 4
+b0000000000000010001111010000000000 6
+17
+b0000000000000010001111010000000000 8
+b0000001001111101111010100000000000 9
+b0000000000000010001111000000000000 :
+b0000001001111101111010100000000000 ;
+1<
+b0000001001111101111010100000000000 =
+b0000000010000110101000000000000000 >
+0?
+b0000001001111011101011110000000000 A
+b0000000010000110101000000000000000 B
+1C
+b0000000010000110101000000000000000 D
+0F
+b0000000001110110101000000000000000 H
+1J
+0M
+#578500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#579000000
+1"
+b0000001010000000001001100000000000 *
+1-
+12
+b0000000000000010001111100000000000 4
+b0000000000000010001111100000000000 6
+17
+b0000000000000010001111100000000000 8
+b0000001010000000001001100000000000 9
+b0000000000000010001111010000000000 :
+b0000001010000000001001100000000000 ;
+1<
+b0000001010000000001001100000000000 =
+1C
+1J
+#579500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#580000000
+1"
+b0000001010000010011000110000000000 *
+1-
+12
+b0000000000000010001111110000000000 4
+b0000000000000010001111110000000000 6
+17
+b0000000000000010001111110000000000 8
+b0000001010000010011000110000000000 9
+b0000000000000010001111100000000000 :
+b0000001010000010011000110000000000 ;
+1<
+b0000001010000010011000110000000000 =
+1C
+1J
+#580500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#581000000
+1"
+b0000001010000100101000010000000000 *
+1-
+12
+b0000000000000010010000000000000000 4
+b0000000000000010010000000000000000 6
+17
+b0000000000000010010000000000000000 8
+b0000001010000100101000010000000000 9
+b0000000000000010001111110000000000 :
+b0000001010000100101000010000000000 ;
+1<
+b0000001010000100101000010000000000 =
+1C
+1J
+#581500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#582000000
+1"
+b0000001010000110111000000000000000 *
+1-
+12
+b0000000000000010010000010000000000 4
+b0000000000000010010000010000000000 6
+17
+b0000000000000010010000010000000000 8
+b0000001010000110111000000000000000 9
+b0000000000000010010000000000000000 :
+b0000001010000110111000000000000000 ;
+1<
+b0000001010000110111000000000000000 =
+1C
+1J
+#582500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#583000000
+1"
+b0000001010001001001000000000000000 *
+1-
+12
+b0000000000000010010000100000000000 4
+b0000000000000010010000100000000000 6
+17
+b0000000000000010010000100000000000 8
+b0000001010001001001000000000000000 9
+b0000000000000010010000010000000000 :
+b0000001010001001001000000000000000 ;
+1<
+b0000001010001001001000000000000000 =
+1C
+1J
+#583500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#584000000
+1"
+b0000001010001011011000010000000000 *
+1-
+12
+b0000000000000010010000110000000000 4
+b0000000000000010010000110000000000 6
+17
+b0000000000000010010000110000000000 8
+b0000001010001011011000010000000000 9
+b0000000000000010010000100000000000 :
+b0000001010001011011000010000000000 ;
+1<
+b0000001010001011011000010000000000 =
+1C
+1J
+#584500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#585000000
+1"
+b0000001010001101101000110000000000 *
+1-
+12
+b0000000000000010010001000000000000 4
+b0000000000000010010001000000000000 6
+17
+b0000000000000010010001000000000000 8
+b0000001010001101101000110000000000 9
+b0000000000000010010000110000000000 :
+b0000001010001101101000110000000000 ;
+1<
+b0000001010001101101000110000000000 =
+1C
+1J
+#585500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#586000000
+1"
+b0000001010001111111001100000000000 *
+1-
+12
+b0000000000000010010001010000000000 4
+b0000000000000010010001010000000000 6
+17
+b0000000000000010010001010000000000 8
+b0000001010001111111001100000000000 9
+b0000000000000010010001000000000000 :
+b0000001010001111111001100000000000 ;
+1<
+b0000001010001111111001100000000000 =
+1C
+1J
+#586500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#587000000
+1"
+b0000001010010010001010100000000000 *
+1-
+12
+b0000000000000010010001100000000000 4
+b0000000000000010010001100000000000 6
+17
+b0000000000000010010001100000000000 8
+b0000001010010010001010100000000000 9
+b0000000000000010010001010000000000 :
+b0000001010010010001010100000000000 ;
+1<
+b0000001010010010001010100000000000 =
+1C
+1J
+#587500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#588000000
+1"
+b0000001010010100011011110000000000 *
+1-
+12
+b0000000000000010010001110000000000 4
+b0000000000000010010001110000000000 6
+17
+b0000000000000010010001110000000000 8
+b0000001010010100011011110000000000 9
+b0000000000000010010001100000000000 :
+b0000001010010100011011110000000000 ;
+1<
+b0000001010010100011011110000000000 =
+1C
+1J
+#588500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#589000000
+1"
+b0000001010010110101101010000000000 *
+1-
+12
+b0000000000000010010010000000000000 4
+b0000000000000010010010000000000000 6
+17
+b0000000000000010010010000000000000 8
+b0000001010010110101101010000000000 9
+b0000000000000010010001110000000000 :
+b0000001010010110101101010000000000 ;
+1<
+b0000001010010110101101010000000000 =
+1C
+1J
+#589500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#590000000
+1"
+b0000001010011000111111000000000000 *
+1-
+12
+b0000000000000010010010010000000000 4
+b0000000000000010010010010000000000 6
+17
+b0000000000000010010010010000000000 8
+b0000001010011000111111000000000000 9
+b0000000000000010010010000000000000 :
+b0000001010011000111111000000000000 ;
+1<
+b0000001010011000111111000000000000 =
+1C
+1J
+#590500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#591000000
+1"
+b0000001010011011010001000000000000 *
+1-
+12
+b0000000000000010010010100000000000 4
+b0000000000000010010010100000000000 6
+17
+b0000000000000010010010100000000000 8
+b0000001010011011010001000000000000 9
+b0000000000000010010010010000000000 :
+b0000001010011011010001000000000000 ;
+1<
+b0000001010011011010001000000000000 =
+1C
+1J
+#591500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#592000000
+1"
+b0000001010011101100011010000000000 *
+1-
+12
+b0000000000000010010010110000000000 4
+b0000000000000010010010110000000000 6
+17
+b0000000000000010010010110000000000 8
+b0000001010011101100011010000000000 9
+b0000000000000010010010100000000000 :
+b0000001010011101100011010000000000 ;
+1<
+b0000001010011101100011010000000000 =
+1C
+1J
+#592500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#593000000
+1"
+b0000001010011111110101110000000000 *
+1-
+12
+b0000000000000010010011000000000000 4
+b0000000000000010010011000000000000 6
+17
+b0000000000000010010011000000000000 8
+b0000001010011111110101110000000000 9
+b0000000000000010010010110000000000 :
+b0000001010011111110101110000000000 ;
+1<
+b0000001010011111110101110000000000 =
+1C
+1J
+#593500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#594000000
+1"
+b0000001010100010001000100000000000 *
+1-
+12
+b0000000000000010010011010000000000 4
+b0000000000000010010011010000000000 6
+17
+b0000000000000010010011010000000000 8
+b0000001010100010001000100000000000 9
+b0000000000000010010011000000000000 :
+b0000001010100010001000100000000000 ;
+1<
+b0000001010100010001000100000000000 =
+1C
+1J
+#594500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#595000000
+1"
+b0000001010100100011011100000000000 *
+1-
+12
+b0000000000000010010011100000000000 4
+b0000000000000010010011100000000000 6
+17
+b0000000000000010010011100000000000 8
+b0000001010100100011011100000000000 9
+b0000000000000010010011010000000000 :
+b0000001010100100011011100000000000 ;
+1<
+b0000001010100100011011100000000000 =
+1C
+1J
+#595500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#596000000
+1"
+b0000001010100110101110110000000000 *
+1-
+12
+b0000000000000010010011110000000000 4
+b0000000000000010010011110000000000 6
+17
+b0000000000000010010011110000000000 8
+b0000001010100110101110110000000000 9
+b0000000000000010010011100000000000 :
+b0000001010100110101110110000000000 ;
+1<
+b0000001010100110101110110000000000 =
+1C
+1J
+#596500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#597000000
+1"
+b0000001010101001000010010000000000 *
+1-
+12
+b0000000000000010010100000000000000 4
+b0000000000000010010100000000000000 6
+17
+b0000000000000010010100000000000000 8
+b0000001010101001000010010000000000 9
+b0000000000000010010011110000000000 :
+b0000001010101001000010010000000000 ;
+1<
+b0000001010101001000010010000000000 =
+1C
+1J
+#597500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#598000000
+1"
+b0000001010101011010110000000000000 *
+1-
+12
+b0000000000000010010100010000000000 4
+b0000000000000010010100010000000000 6
+17
+b0000000000000010010100010000000000 8
+b0000001010101011010110000000000000 9
+b0000000000000010010100000000000000 :
+b0000001010101011010110000000000000 ;
+1<
+b0000001010101011010110000000000000 =
+1C
+1J
+#598500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#599000000
+1"
+b0000001010101101101010000000000000 *
+1-
+12
+b0000000000000010010100100000000000 4
+b0000000000000010010100100000000000 6
+17
+b0000000000000010010100100000000000 8
+b0000001010101101101010000000000000 9
+b0000000000000010010100010000000000 :
+b0000001010101101101010000000000000 ;
+1<
+b0000001010101101101010000000000000 =
+1C
+1J
+#599500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#600000000
+1"
+b0000001010101111111110010000000000 *
+1-
+12
+b0000000000000010010100110000000000 4
+b0000000000000010010100110000000000 6
+17
+b0000000000000010010100110000000000 8
+b0000001010101111111110010000000000 9
+b0000000000000010010100100000000000 :
+b0000001010101111111110010000000000 ;
+1<
+b0000001010101111111110010000000000 =
+1C
+1J
+#600500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#601000000
+1"
+b0000001010110010010010110000000000 *
+1-
+12
+b0000000000000010010101000000000000 4
+b0000000000000010010101000000000000 6
+17
+b0000000000000010010101000000000000 8
+b0000001010110010010010110000000000 9
+b0000000000000010010100110000000000 :
+b0000001010110010010010110000000000 ;
+1<
+b0000001010110010010010110000000000 =
+1C
+1J
+#601500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#602000000
+1"
+b0000001010110100100111100000000000 *
+1-
+12
+b0000000000000010010101010000000000 4
+b0000000000000010010101010000000000 6
+17
+b0000000000000010010101010000000000 8
+b0000001010110100100111100000000000 9
+b0000000000000010010101000000000000 :
+b0000001010110100100111100000000000 ;
+1<
+b0000001010110100100111100000000000 =
+1C
+1J
+#602500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#603000000
+1"
+b0000001010110110111100100000000000 *
+1-
+12
+b0000000000000010010101100000000000 4
+b0000000000000010010101100000000000 6
+17
+b0000000000000010010101100000000000 8
+b0000001010110110111100100000000000 9
+b0000000000000010010101010000000000 :
+b0000001010110110111100100000000000 ;
+1<
+b0000001010110110111100100000000000 =
+1C
+1J
+#603500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#604000000
+1"
+b0000001010111001010001110000000000 *
+1-
+12
+b0000000000000010010101110000000000 4
+b0000000000000010010101110000000000 6
+17
+b0000000000000010010101110000000000 8
+b0000001010111001010001110000000000 9
+b0000000000000010010101100000000000 :
+b0000001010111001010001110000000000 ;
+1<
+b0000001010111001010001110000000000 =
+1C
+1J
+#604500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#605000000
+1"
+b0000001010111011100111010000000000 *
+1-
+12
+b0000000000000010010110000000000000 4
+b0000000000000010010110000000000000 6
+17
+b0000000000000010010110000000000000 8
+b0000001010111011100111010000000000 9
+b0000000000000010010101110000000000 :
+b0000001010111011100111010000000000 ;
+1<
+b0000001010111011100111010000000000 =
+1C
+1J
+#605500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#606000000
+1"
+b0000001010111101111101000000000000 *
+1-
+12
+b0000000000000010010110010000000000 4
+b0000000000000010010110010000000000 6
+17
+b0000000000000010010110010000000000 8
+b0000001010111101111101000000000000 9
+b0000000000000010010110000000000000 :
+b0000001010111101111101000000000000 ;
+1<
+b0000001010111101111101000000000000 =
+1C
+1J
+#606500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#607000000
+1"
+b0000001011000000010011000000000000 *
+1-
+12
+b0000000000000010010110100000000000 4
+b0000000000000010010110100000000000 6
+17
+b0000000000000010010110100000000000 8
+b0000001011000000010011000000000000 9
+b0000000000000010010110010000000000 :
+b0000001011000000010011000000000000 ;
+1<
+b0000001011000000010011000000000000 =
+1C
+1J
+#607500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#608000000
+1"
+b0000001011000010101001010000000000 *
+1-
+12
+b0000000000000010010110110000000000 4
+b0000000000000010010110110000000000 6
+17
+b0000000000000010010110110000000000 8
+b0000001011000010101001010000000000 9
+b0000000000000010010110100000000000 :
+b0000001011000010101001010000000000 ;
+1<
+b0000001011000010101001010000000000 =
+1C
+1J
+#608500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#609000000
+1"
+b0000001011000100111111110000000000 *
+1-
+12
+b0000000000000010010111000000000000 4
+b0000000000000010010111000000000000 6
+17
+b0000000000000010010111000000000000 8
+b0000001011000100111111110000000000 9
+b0000000000000010010110110000000000 :
+b0000001011000100111111110000000000 ;
+1<
+b0000001011000100111111110000000000 =
+1C
+1J
+#609500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#610000000
+1"
+b0000001011000111010110100000000000 *
+1-
+12
+b0000000000000010010111010000000000 4
+b0000000000000010010111010000000000 6
+17
+b0000000000000010010111010000000000 8
+b0000001011000111010110100000000000 9
+b0000000000000010010111000000000000 :
+b0000001011000111010110100000000000 ;
+1<
+b0000001011000111010110100000000000 =
+1C
+1J
+#610500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#611000000
+1"
+b0000001011001001101101100000000000 *
+1-
+12
+b0000000000000010010111100000000000 4
+b0000000000000010010111100000000000 6
+17
+b0000000000000010010111100000000000 8
+b0000001011001001101101100000000000 9
+b0000000000000010010111010000000000 :
+b0000001011001001101101100000000000 ;
+1<
+b0000001011001001101101100000000000 =
+1C
+1J
+#611500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#612000000
+1"
+b0000001011001100000100110000000000 *
+1-
+12
+b0000000000000010010111110000000000 4
+b0000000000000010010111110000000000 6
+17
+b0000000000000010010111110000000000 8
+b0000001011001100000100110000000000 9
+b0000000000000010010111100000000000 :
+b0000001011001100000100110000000000 ;
+1<
+b0000001011001100000100110000000000 =
+1C
+1J
+#612500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#613000000
+1"
+b0000001011001110011100010000000000 *
+1-
+12
+b0000000000000010011000000000000000 4
+b0000000000000010011000000000000000 6
+17
+b0000000000000010011000000000000000 8
+b0000001011001110011100010000000000 9
+b0000000000000010010111110000000000 :
+b0000001011001110011100010000000000 ;
+1<
+b0000001011001110011100010000000000 =
+1C
+1J
+#613500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#614000000
+1"
+b0000001011010000110100000000000000 *
+1-
+12
+b0000000000000010011000010000000000 4
+b0000000000000010011000010000000000 6
+17
+b0000000000000010011000010000000000 8
+b0000001011010000110100000000000000 9
+b0000000000000010011000000000000000 :
+b0000001011010000110100000000000000 ;
+1<
+b0000001011010000110100000000000000 =
+1C
+1J
+#614500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#615000000
+1"
+b0000001011010011001100000000000000 *
+1-
+12
+b0000000000000010011000100000000000 4
+b0000000000000010011000100000000000 6
+17
+b0000000000000010011000100000000000 8
+b0000001011010011001100000000000000 9
+b0000000000000010011000010000000000 :
+b0000001011010011001100000000000000 ;
+1<
+b0000001011010011001100000000000000 =
+1C
+1J
+#615500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#616000000
+1"
+b0000001011010101100100010000000000 *
+1-
+12
+b0000000000000010011000110000000000 4
+b0000000000000010011000110000000000 6
+17
+b0000000000000010011000110000000000 8
+b0000001011010101100100010000000000 9
+b0000000000000010011000100000000000 :
+b0000001011010101100100010000000000 ;
+1<
+b0000001011010101100100010000000000 =
+1C
+1J
+#616500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#617000000
+1"
+b0000001011010111111100110000000000 *
+1-
+12
+b0000000000000010011001000000000000 4
+b0000000000000010011001000000000000 6
+17
+b0000000000000010011001000000000000 8
+b0000001011010111111100110000000000 9
+b0000000000000010011000110000000000 :
+b0000001011010111111100110000000000 ;
+1<
+b0000001011010111111100110000000000 =
+1C
+1J
+#617500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#618000000
+1"
+b0000001011011010010101100000000000 *
+1-
+12
+b0000000000000010011001010000000000 4
+b0000000000000010011001010000000000 6
+17
+b0000000000000010011001010000000000 8
+b0000001011011010010101100000000000 9
+b0000000000000010011001000000000000 :
+b0000001011011010010101100000000000 ;
+1<
+b0000001011011010010101100000000000 =
+1C
+1J
+#618500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#619000000
+1"
+b0000001011011100101110100000000000 *
+1-
+12
+b0000000000000010011001100000000000 4
+b0000000000000010011001100000000000 6
+17
+b0000000000000010011001100000000000 8
+b0000001011011100101110100000000000 9
+b0000000000000010011001010000000000 :
+b0000001011011100101110100000000000 ;
+1<
+b0000001011011100101110100000000000 =
+1C
+1J
+#619500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#620000000
+1"
+b0000001011011111000111110000000000 *
+1-
+12
+b0000000000000010011001110000000000 4
+b0000000000000010011001110000000000 6
+17
+b0000000000000010011001110000000000 8
+b0000001011011111000111110000000000 9
+b0000000000000010011001100000000000 :
+b0000001011011111000111110000000000 ;
+1<
+b0000001011011111000111110000000000 =
+1C
+1J
+#620500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#621000000
+1"
+b0000001011100001100001010000000000 *
+1-
+12
+b0000000000000010011010000000000000 4
+b0000000000000010011010000000000000 6
+17
+b0000000000000010011010000000000000 8
+b0000001011100001100001010000000000 9
+b0000000000000010011001110000000000 :
+b0000001011100001100001010000000000 ;
+1<
+b0000001011100001100001010000000000 =
+1C
+1J
+#621500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#622000000
+1"
+b0000001011100011111011000000000000 *
+1-
+12
+b0000000000000010011010010000000000 4
+b0000000000000010011010010000000000 6
+17
+b0000000000000010011010010000000000 8
+b0000001011100011111011000000000000 9
+b0000000000000010011010000000000000 :
+b0000001011100011111011000000000000 ;
+1<
+b0000001011100011111011000000000000 =
+1C
+1J
+#622500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#623000000
+1"
+b0000001011100110010101000000000000 *
+1-
+12
+b0000000000000010011010100000000000 4
+b0000000000000010011010100000000000 6
+17
+b0000000000000010011010100000000000 8
+b0000001011100110010101000000000000 9
+b0000000000000010011010010000000000 :
+b0000001011100110010101000000000000 ;
+1<
+b0000001011100110010101000000000000 =
+1C
+1J
+#623500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#624000000
+1"
+b0000001011101000101111010000000000 *
+1-
+12
+b0000000000000010011010110000000000 4
+b0000000000000010011010110000000000 6
+17
+b0000000000000010011010110000000000 8
+b0000001011101000101111010000000000 9
+b0000000000000010011010100000000000 :
+b0000001011101000101111010000000000 ;
+1<
+b0000001011101000101111010000000000 =
+1C
+1J
+#624500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#625000000
+1"
+b0000001011101011001001110000000000 *
+1-
+12
+b0000000000000010011011000000000000 4
+b0000000000000010011011000000000000 6
+17
+b0000000000000010011011000000000000 8
+b0000001011101011001001110000000000 9
+b0000000000000010011010110000000000 :
+b0000001011101011001001110000000000 ;
+1<
+b0000001011101011001001110000000000 =
+1C
+1J
+#625500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#626000000
+1"
+b0000001011101101100100100000000000 *
+1-
+12
+b0000000000000010011011010000000000 4
+b0000000000000010011011010000000000 6
+17
+b0000000000000010011011010000000000 8
+b0000001011101101100100100000000000 9
+b0000000000000010011011000000000000 :
+b0000001011101101100100100000000000 ;
+1<
+b0000001011101101100100100000000000 =
+1C
+1J
+#626500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#627000000
+1"
+b0000001011101111111111100000000000 *
+1-
+12
+b0000000000000010011011100000000000 4
+b0000000000000010011011100000000000 6
+17
+b0000000000000010011011100000000000 8
+b0000001011101111111111100000000000 9
+b0000000000000010011011010000000000 :
+b0000001011101111111111100000000000 ;
+1<
+b0000001011101111111111100000000000 =
+1C
+1J
+#627500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#628000000
+1"
+b0000001011110010011010110000000000 *
+1-
+12
+b0000000000000010011011110000000000 4
+b0000000000000010011011110000000000 6
+17
+b0000000000000010011011110000000000 8
+b0000001011110010011010110000000000 9
+b0000000000000010011011100000000000 :
+b0000001011110010011010110000000000 ;
+1<
+b0000001011110010011010110000000000 =
+1C
+1J
+#628500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#629000000
+1"
+b0000001011110100110110010000000000 *
+1-
+12
+b0000000000000010011100000000000000 4
+b0000000000000010011100000000000000 6
+17
+b0000000000000010011100000000000000 8
+b0000001011110100110110010000000000 9
+b0000000000000010011011110000000000 :
+b0000001011110100110110010000000000 ;
+1<
+b0000001011110100110110010000000000 =
+1C
+1J
+#629500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#630000000
+1"
+b0000001011110111010010000000000000 *
+1-
+12
+b0000000000000010011100010000000000 4
+b0000000000000010011100010000000000 6
+17
+b0000000000000010011100010000000000 8
+b0000001011110111010010000000000000 9
+b0000000000000010011100000000000000 :
+b0000001011110111010010000000000000 ;
+1<
+b0000001011110111010010000000000000 =
+1C
+1J
+#630500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#631000000
+1"
+b0000001011111001101110000000000000 *
+1-
+12
+b0000000000000010011100100000000000 4
+b0000000000000010011100100000000000 6
+17
+b0000000000000010011100100000000000 8
+b0000001011111001101110000000000000 9
+b0000000000000010011100010000000000 :
+b0000001011111001101110000000000000 ;
+1<
+b0000001011111001101110000000000000 =
+1C
+1J
+#631500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#632000000
+1"
+b0000001011111100001010010000000000 *
+1-
+12
+b0000000000000010011100110000000000 4
+b0000000000000010011100110000000000 6
+17
+b0000000000000010011100110000000000 8
+b0000001011111100001010010000000000 9
+b0000000000000010011100100000000000 :
+b0000001011111100001010010000000000 ;
+1<
+b0000001011111100001010010000000000 =
+1C
+1J
+#632500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#633000000
+1"
+b0000001011111110100110110000000000 *
+1-
+12
+b0000000000000010011101000000000000 4
+b0000000000000010011101000000000000 6
+17
+b0000000000000010011101000000000000 8
+b0000001011111110100110110000000000 9
+b0000000000000010011100110000000000 :
+b0000001011111110100110110000000000 ;
+1<
+b0000001011111110100110110000000000 =
+1C
+1J
+#633500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#634000000
+1"
+b0000001100000001000011100000000000 *
+1-
+12
+b0000000000000010011101010000000000 4
+b0000000000000010011101010000000000 6
+17
+b0000000000000010011101010000000000 8
+b0000001100000001000011100000000000 9
+b0000000000000010011101000000000000 :
+b0000001100000001000011100000000000 ;
+1<
+b0000001100000001000011100000000000 =
+1C
+1J
+#634500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#635000000
+1"
+b0000001100000011100000100000000000 *
+1-
+12
+b0000000000000010011101100000000000 4
+b0000000000000010011101100000000000 6
+17
+b0000000000000010011101100000000000 8
+b0000001100000011100000100000000000 9
+b0000000000000010011101010000000000 :
+b0000001100000011100000100000000000 ;
+1<
+b0000001100000011100000100000000000 =
+1C
+1J
+#635500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#636000000
+1"
+b0000001100000101111101110000000000 *
+1-
+12
+b0000000000000010011101110000000000 4
+b0000000000000010011101110000000000 6
+17
+b0000000000000010011101110000000000 8
+b0000001100000101111101110000000000 9
+b0000000000000010011101100000000000 :
+b0000001100000101111101110000000000 ;
+1<
+b0000001100000101111101110000000000 =
+1C
+1J
+#636500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#637000000
+1"
+b0000001100001000011011010000000000 *
+1-
+12
+b0000000000000010011110000000000000 4
+b0000000000000010011110000000000000 6
+17
+b0000000000000010011110000000000000 8
+b0000001100001000011011010000000000 9
+b0000000000000010011101110000000000 :
+b0000001100001000011011010000000000 ;
+1<
+b0000001100001000011011010000000000 =
+1C
+1J
+#637500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#638000000
+1"
+b0000001100001010111001000000000000 *
+1-
+12
+b0000000000000010011110010000000000 4
+b0000000000000010011110010000000000 6
+17
+b0000000000000010011110010000000000 8
+b0000001100001010111001000000000000 9
+b0000000000000010011110000000000000 :
+b0000001100001010111001000000000000 ;
+1<
+b0000001100001010111001000000000000 =
+1C
+1J
+#638500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#639000000
+1"
+b0000001100001101010111000000000000 *
+1-
+12
+b0000000000000010011110100000000000 4
+b0000000000000010011110100000000000 6
+17
+b0000000000000010011110100000000000 8
+b0000001100001101010111000000000000 9
+b0000000000000010011110010000000000 :
+b0000001100001101010111000000000000 ;
+1<
+b0000001100001101010111000000000000 =
+1C
+1J
+#639500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#640000000
+1"
+b0000001100001111110101010000000000 *
+1-
+12
+b0000000000000010011110110000000000 4
+b0000000000000010011110110000000000 6
+17
+b0000000000000010011110110000000000 8
+b0000001100001111110101010000000000 9
+b0000000000000010011110100000000000 :
+b0000001100001111110101010000000000 ;
+1<
+b0000001100001111110101010000000000 =
+1C
+1J
+#640500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#641000000
+1"
+1'
+b0000001100010010010011110000000000 *
+1+
+1-
+12
+b0000000000000010011111000000000000 4
+b0000000000000010011111000000000000 6
+17
+b0000000000000010011111000000000000 8
+b0000001100010010010011110000000000 9
+b0000000000000010011110110000000000 :
+b0000001100010010010011110000000000 ;
+1<
+b0000001100010010010011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#641500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#642000000
+1"
+0'
+b0000001100010100110010100000000000 *
+0+
+1-
+12
+b0000000000000010011111010000000000 4
+b0000000000000010011111010000000000 6
+17
+b0000000000000010011111010000000000 8
+b0000001100010100110010100000000000 9
+b0000000000000010011111000000000000 :
+b0000001100010100110010100000000000 ;
+1<
+b0000001100010100110010100000000000 =
+b0000000010010110101000000000000000 >
+0?
+b0000001100010010010011110000000000 A
+b0000000010010110101000000000000000 B
+1C
+b0000000010010110101000000000000000 D
+0F
+b0000000010000110101000000000000000 H
+1J
+0M
+#642500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#643000000
+1"
+b0000001100010111010001100000000000 *
+1-
+12
+b0000000000000010011111100000000000 4
+b0000000000000010011111100000000000 6
+17
+b0000000000000010011111100000000000 8
+b0000001100010111010001100000000000 9
+b0000000000000010011111010000000000 :
+b0000001100010111010001100000000000 ;
+1<
+b0000001100010111010001100000000000 =
+1C
+1J
+#643500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#644000000
+1"
+b0000001100011001110000110000000000 *
+1-
+12
+b0000000000000010011111110000000000 4
+b0000000000000010011111110000000000 6
+17
+b0000000000000010011111110000000000 8
+b0000001100011001110000110000000000 9
+b0000000000000010011111100000000000 :
+b0000001100011001110000110000000000 ;
+1<
+b0000001100011001110000110000000000 =
+1C
+1J
+#644500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#645000000
+1"
+b0000001100011100010000010000000000 *
+1-
+12
+b0000000000000010100000000000000000 4
+b0000000000000010100000000000000000 6
+17
+b0000000000000010100000000000000000 8
+b0000001100011100010000010000000000 9
+b0000000000000010011111110000000000 :
+b0000001100011100010000010000000000 ;
+1<
+b0000001100011100010000010000000000 =
+1C
+1J
+#645500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#646000000
+1"
+b0000001100011110110000000000000000 *
+1-
+12
+b0000000000000010100000010000000000 4
+b0000000000000010100000010000000000 6
+17
+b0000000000000010100000010000000000 8
+b0000001100011110110000000000000000 9
+b0000000000000010100000000000000000 :
+b0000001100011110110000000000000000 ;
+1<
+b0000001100011110110000000000000000 =
+1C
+1J
+#646500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#647000000
+1"
+b0000001100100001010000000000000000 *
+1-
+12
+b0000000000000010100000100000000000 4
+b0000000000000010100000100000000000 6
+17
+b0000000000000010100000100000000000 8
+b0000001100100001010000000000000000 9
+b0000000000000010100000010000000000 :
+b0000001100100001010000000000000000 ;
+1<
+b0000001100100001010000000000000000 =
+1C
+1J
+#647500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#648000000
+1"
+b0000001100100011110000010000000000 *
+1-
+12
+b0000000000000010100000110000000000 4
+b0000000000000010100000110000000000 6
+17
+b0000000000000010100000110000000000 8
+b0000001100100011110000010000000000 9
+b0000000000000010100000100000000000 :
+b0000001100100011110000010000000000 ;
+1<
+b0000001100100011110000010000000000 =
+1C
+1J
+#648500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#649000000
+1"
+b0000001100100110010000110000000000 *
+1-
+12
+b0000000000000010100001000000000000 4
+b0000000000000010100001000000000000 6
+17
+b0000000000000010100001000000000000 8
+b0000001100100110010000110000000000 9
+b0000000000000010100000110000000000 :
+b0000001100100110010000110000000000 ;
+1<
+b0000001100100110010000110000000000 =
+1C
+1J
+#649500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#650000000
+1"
+b0000001100101000110001100000000000 *
+1-
+12
+b0000000000000010100001010000000000 4
+b0000000000000010100001010000000000 6
+17
+b0000000000000010100001010000000000 8
+b0000001100101000110001100000000000 9
+b0000000000000010100001000000000000 :
+b0000001100101000110001100000000000 ;
+1<
+b0000001100101000110001100000000000 =
+1C
+1J
+#650500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#651000000
+1"
+b0000001100101011010010100000000000 *
+1-
+12
+b0000000000000010100001100000000000 4
+b0000000000000010100001100000000000 6
+17
+b0000000000000010100001100000000000 8
+b0000001100101011010010100000000000 9
+b0000000000000010100001010000000000 :
+b0000001100101011010010100000000000 ;
+1<
+b0000001100101011010010100000000000 =
+1C
+1J
+#651500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#652000000
+1"
+b0000001100101101110011110000000000 *
+1-
+12
+b0000000000000010100001110000000000 4
+b0000000000000010100001110000000000 6
+17
+b0000000000000010100001110000000000 8
+b0000001100101101110011110000000000 9
+b0000000000000010100001100000000000 :
+b0000001100101101110011110000000000 ;
+1<
+b0000001100101101110011110000000000 =
+1C
+1J
+#652500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#653000000
+1"
+b0000001100110000010101010000000000 *
+1-
+12
+b0000000000000010100010000000000000 4
+b0000000000000010100010000000000000 6
+17
+b0000000000000010100010000000000000 8
+b0000001100110000010101010000000000 9
+b0000000000000010100001110000000000 :
+b0000001100110000010101010000000000 ;
+1<
+b0000001100110000010101010000000000 =
+1C
+1J
+#653500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#654000000
+1"
+b0000001100110010110111000000000000 *
+1-
+12
+b0000000000000010100010010000000000 4
+b0000000000000010100010010000000000 6
+17
+b0000000000000010100010010000000000 8
+b0000001100110010110111000000000000 9
+b0000000000000010100010000000000000 :
+b0000001100110010110111000000000000 ;
+1<
+b0000001100110010110111000000000000 =
+1C
+1J
+#654500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#655000000
+1"
+b0000001100110101011001000000000000 *
+1-
+12
+b0000000000000010100010100000000000 4
+b0000000000000010100010100000000000 6
+17
+b0000000000000010100010100000000000 8
+b0000001100110101011001000000000000 9
+b0000000000000010100010010000000000 :
+b0000001100110101011001000000000000 ;
+1<
+b0000001100110101011001000000000000 =
+1C
+1J
+#655500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#656000000
+1"
+b0000001100110111111011010000000000 *
+1-
+12
+b0000000000000010100010110000000000 4
+b0000000000000010100010110000000000 6
+17
+b0000000000000010100010110000000000 8
+b0000001100110111111011010000000000 9
+b0000000000000010100010100000000000 :
+b0000001100110111111011010000000000 ;
+1<
+b0000001100110111111011010000000000 =
+1C
+1J
+#656500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#657000000
+1"
+b0000001100111010011101110000000000 *
+1-
+12
+b0000000000000010100011000000000000 4
+b0000000000000010100011000000000000 6
+17
+b0000000000000010100011000000000000 8
+b0000001100111010011101110000000000 9
+b0000000000000010100010110000000000 :
+b0000001100111010011101110000000000 ;
+1<
+b0000001100111010011101110000000000 =
+1C
+1J
+#657500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#658000000
+1"
+b0000001100111101000000100000000000 *
+1-
+12
+b0000000000000010100011010000000000 4
+b0000000000000010100011010000000000 6
+17
+b0000000000000010100011010000000000 8
+b0000001100111101000000100000000000 9
+b0000000000000010100011000000000000 :
+b0000001100111101000000100000000000 ;
+1<
+b0000001100111101000000100000000000 =
+1C
+1J
+#658500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#659000000
+1"
+b0000001100111111100011100000000000 *
+1-
+12
+b0000000000000010100011100000000000 4
+b0000000000000010100011100000000000 6
+17
+b0000000000000010100011100000000000 8
+b0000001100111111100011100000000000 9
+b0000000000000010100011010000000000 :
+b0000001100111111100011100000000000 ;
+1<
+b0000001100111111100011100000000000 =
+1C
+1J
+#659500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#660000000
+1"
+b0000001101000010000110110000000000 *
+1-
+12
+b0000000000000010100011110000000000 4
+b0000000000000010100011110000000000 6
+17
+b0000000000000010100011110000000000 8
+b0000001101000010000110110000000000 9
+b0000000000000010100011100000000000 :
+b0000001101000010000110110000000000 ;
+1<
+b0000001101000010000110110000000000 =
+1C
+1J
+#660500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#661000000
+1"
+b0000001101000100101010010000000000 *
+1-
+12
+b0000000000000010100100000000000000 4
+b0000000000000010100100000000000000 6
+17
+b0000000000000010100100000000000000 8
+b0000001101000100101010010000000000 9
+b0000000000000010100011110000000000 :
+b0000001101000100101010010000000000 ;
+1<
+b0000001101000100101010010000000000 =
+1C
+1J
+#661500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#662000000
+1"
+b0000001101000111001110000000000000 *
+1-
+12
+b0000000000000010100100010000000000 4
+b0000000000000010100100010000000000 6
+17
+b0000000000000010100100010000000000 8
+b0000001101000111001110000000000000 9
+b0000000000000010100100000000000000 :
+b0000001101000111001110000000000000 ;
+1<
+b0000001101000111001110000000000000 =
+1C
+1J
+#662500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#663000000
+1"
+b0000001101001001110010000000000000 *
+1-
+12
+b0000000000000010100100100000000000 4
+b0000000000000010100100100000000000 6
+17
+b0000000000000010100100100000000000 8
+b0000001101001001110010000000000000 9
+b0000000000000010100100010000000000 :
+b0000001101001001110010000000000000 ;
+1<
+b0000001101001001110010000000000000 =
+1C
+1J
+#663500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#664000000
+1"
+b0000001101001100010110010000000000 *
+1-
+12
+b0000000000000010100100110000000000 4
+b0000000000000010100100110000000000 6
+17
+b0000000000000010100100110000000000 8
+b0000001101001100010110010000000000 9
+b0000000000000010100100100000000000 :
+b0000001101001100010110010000000000 ;
+1<
+b0000001101001100010110010000000000 =
+1C
+1J
+#664500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#665000000
+1"
+b0000001101001110111010110000000000 *
+1-
+12
+b0000000000000010100101000000000000 4
+b0000000000000010100101000000000000 6
+17
+b0000000000000010100101000000000000 8
+b0000001101001110111010110000000000 9
+b0000000000000010100100110000000000 :
+b0000001101001110111010110000000000 ;
+1<
+b0000001101001110111010110000000000 =
+1C
+1J
+#665500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#666000000
+1"
+b0000001101010001011111100000000000 *
+1-
+12
+b0000000000000010100101010000000000 4
+b0000000000000010100101010000000000 6
+17
+b0000000000000010100101010000000000 8
+b0000001101010001011111100000000000 9
+b0000000000000010100101000000000000 :
+b0000001101010001011111100000000000 ;
+1<
+b0000001101010001011111100000000000 =
+1C
+1J
+#666500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#667000000
+1"
+b0000001101010100000100100000000000 *
+1-
+12
+b0000000000000010100101100000000000 4
+b0000000000000010100101100000000000 6
+17
+b0000000000000010100101100000000000 8
+b0000001101010100000100100000000000 9
+b0000000000000010100101010000000000 :
+b0000001101010100000100100000000000 ;
+1<
+b0000001101010100000100100000000000 =
+1C
+1J
+#667500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#668000000
+1"
+b0000001101010110101001110000000000 *
+1-
+12
+b0000000000000010100101110000000000 4
+b0000000000000010100101110000000000 6
+17
+b0000000000000010100101110000000000 8
+b0000001101010110101001110000000000 9
+b0000000000000010100101100000000000 :
+b0000001101010110101001110000000000 ;
+1<
+b0000001101010110101001110000000000 =
+1C
+1J
+#668500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#669000000
+1"
+b0000001101011001001111010000000000 *
+1-
+12
+b0000000000000010100110000000000000 4
+b0000000000000010100110000000000000 6
+17
+b0000000000000010100110000000000000 8
+b0000001101011001001111010000000000 9
+b0000000000000010100101110000000000 :
+b0000001101011001001111010000000000 ;
+1<
+b0000001101011001001111010000000000 =
+1C
+1J
+#669500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#670000000
+1"
+b0000001101011011110101000000000000 *
+1-
+12
+b0000000000000010100110010000000000 4
+b0000000000000010100110010000000000 6
+17
+b0000000000000010100110010000000000 8
+b0000001101011011110101000000000000 9
+b0000000000000010100110000000000000 :
+b0000001101011011110101000000000000 ;
+1<
+b0000001101011011110101000000000000 =
+1C
+1J
+#670500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#671000000
+1"
+b0000001101011110011011000000000000 *
+1-
+12
+b0000000000000010100110100000000000 4
+b0000000000000010100110100000000000 6
+17
+b0000000000000010100110100000000000 8
+b0000001101011110011011000000000000 9
+b0000000000000010100110010000000000 :
+b0000001101011110011011000000000000 ;
+1<
+b0000001101011110011011000000000000 =
+1C
+1J
+#671500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#672000000
+1"
+b0000001101100001000001010000000000 *
+1-
+12
+b0000000000000010100110110000000000 4
+b0000000000000010100110110000000000 6
+17
+b0000000000000010100110110000000000 8
+b0000001101100001000001010000000000 9
+b0000000000000010100110100000000000 :
+b0000001101100001000001010000000000 ;
+1<
+b0000001101100001000001010000000000 =
+1C
+1J
+#672500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#673000000
+1"
+b0000001101100011100111110000000000 *
+1-
+12
+b0000000000000010100111000000000000 4
+b0000000000000010100111000000000000 6
+17
+b0000000000000010100111000000000000 8
+b0000001101100011100111110000000000 9
+b0000000000000010100110110000000000 :
+b0000001101100011100111110000000000 ;
+1<
+b0000001101100011100111110000000000 =
+1C
+1J
+#673500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#674000000
+1"
+b0000001101100110001110100000000000 *
+1-
+12
+b0000000000000010100111010000000000 4
+b0000000000000010100111010000000000 6
+17
+b0000000000000010100111010000000000 8
+b0000001101100110001110100000000000 9
+b0000000000000010100111000000000000 :
+b0000001101100110001110100000000000 ;
+1<
+b0000001101100110001110100000000000 =
+1C
+1J
+#674500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#675000000
+1"
+b0000001101101000110101100000000000 *
+1-
+12
+b0000000000000010100111100000000000 4
+b0000000000000010100111100000000000 6
+17
+b0000000000000010100111100000000000 8
+b0000001101101000110101100000000000 9
+b0000000000000010100111010000000000 :
+b0000001101101000110101100000000000 ;
+1<
+b0000001101101000110101100000000000 =
+1C
+1J
+#675500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#676000000
+1"
+b0000001101101011011100110000000000 *
+1-
+12
+b0000000000000010100111110000000000 4
+b0000000000000010100111110000000000 6
+17
+b0000000000000010100111110000000000 8
+b0000001101101011011100110000000000 9
+b0000000000000010100111100000000000 :
+b0000001101101011011100110000000000 ;
+1<
+b0000001101101011011100110000000000 =
+1C
+1J
+#676500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#677000000
+1"
+b0000001101101110000100010000000000 *
+1-
+12
+b0000000000000010101000000000000000 4
+b0000000000000010101000000000000000 6
+17
+b0000000000000010101000000000000000 8
+b0000001101101110000100010000000000 9
+b0000000000000010100111110000000000 :
+b0000001101101110000100010000000000 ;
+1<
+b0000001101101110000100010000000000 =
+1C
+1J
+#677500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#678000000
+1"
+b0000001101110000101100000000000000 *
+1-
+12
+b0000000000000010101000010000000000 4
+b0000000000000010101000010000000000 6
+17
+b0000000000000010101000010000000000 8
+b0000001101110000101100000000000000 9
+b0000000000000010101000000000000000 :
+b0000001101110000101100000000000000 ;
+1<
+b0000001101110000101100000000000000 =
+1C
+1J
+#678500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#679000000
+1"
+b0000001101110011010100000000000000 *
+1-
+12
+b0000000000000010101000100000000000 4
+b0000000000000010101000100000000000 6
+17
+b0000000000000010101000100000000000 8
+b0000001101110011010100000000000000 9
+b0000000000000010101000010000000000 :
+b0000001101110011010100000000000000 ;
+1<
+b0000001101110011010100000000000000 =
+1C
+1J
+#679500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#680000000
+1"
+b0000001101110101111100010000000000 *
+1-
+12
+b0000000000000010101000110000000000 4
+b0000000000000010101000110000000000 6
+17
+b0000000000000010101000110000000000 8
+b0000001101110101111100010000000000 9
+b0000000000000010101000100000000000 :
+b0000001101110101111100010000000000 ;
+1<
+b0000001101110101111100010000000000 =
+1C
+1J
+#680500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#681000000
+1"
+b0000001101111000100100110000000000 *
+1-
+12
+b0000000000000010101001000000000000 4
+b0000000000000010101001000000000000 6
+17
+b0000000000000010101001000000000000 8
+b0000001101111000100100110000000000 9
+b0000000000000010101000110000000000 :
+b0000001101111000100100110000000000 ;
+1<
+b0000001101111000100100110000000000 =
+1C
+1J
+#681500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#682000000
+1"
+b0000001101111011001101100000000000 *
+1-
+12
+b0000000000000010101001010000000000 4
+b0000000000000010101001010000000000 6
+17
+b0000000000000010101001010000000000 8
+b0000001101111011001101100000000000 9
+b0000000000000010101001000000000000 :
+b0000001101111011001101100000000000 ;
+1<
+b0000001101111011001101100000000000 =
+1C
+1J
+#682500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#683000000
+1"
+b0000001101111101110110100000000000 *
+1-
+12
+b0000000000000010101001100000000000 4
+b0000000000000010101001100000000000 6
+17
+b0000000000000010101001100000000000 8
+b0000001101111101110110100000000000 9
+b0000000000000010101001010000000000 :
+b0000001101111101110110100000000000 ;
+1<
+b0000001101111101110110100000000000 =
+1C
+1J
+#683500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#684000000
+1"
+b0000001110000000011111110000000000 *
+1-
+12
+b0000000000000010101001110000000000 4
+b0000000000000010101001110000000000 6
+17
+b0000000000000010101001110000000000 8
+b0000001110000000011111110000000000 9
+b0000000000000010101001100000000000 :
+b0000001110000000011111110000000000 ;
+1<
+b0000001110000000011111110000000000 =
+1C
+1J
+#684500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#685000000
+1"
+b0000001110000011001001010000000000 *
+1-
+12
+b0000000000000010101010000000000000 4
+b0000000000000010101010000000000000 6
+17
+b0000000000000010101010000000000000 8
+b0000001110000011001001010000000000 9
+b0000000000000010101001110000000000 :
+b0000001110000011001001010000000000 ;
+1<
+b0000001110000011001001010000000000 =
+1C
+1J
+#685500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#686000000
+1"
+b0000001110000101110011000000000000 *
+1-
+12
+b0000000000000010101010010000000000 4
+b0000000000000010101010010000000000 6
+17
+b0000000000000010101010010000000000 8
+b0000001110000101110011000000000000 9
+b0000000000000010101010000000000000 :
+b0000001110000101110011000000000000 ;
+1<
+b0000001110000101110011000000000000 =
+1C
+1J
+#686500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#687000000
+1"
+b0000001110001000011101000000000000 *
+1-
+12
+b0000000000000010101010100000000000 4
+b0000000000000010101010100000000000 6
+17
+b0000000000000010101010100000000000 8
+b0000001110001000011101000000000000 9
+b0000000000000010101010010000000000 :
+b0000001110001000011101000000000000 ;
+1<
+b0000001110001000011101000000000000 =
+1C
+1J
+#687500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#688000000
+1"
+b0000001110001011000111010000000000 *
+1-
+12
+b0000000000000010101010110000000000 4
+b0000000000000010101010110000000000 6
+17
+b0000000000000010101010110000000000 8
+b0000001110001011000111010000000000 9
+b0000000000000010101010100000000000 :
+b0000001110001011000111010000000000 ;
+1<
+b0000001110001011000111010000000000 =
+1C
+1J
+#688500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#689000000
+1"
+b0000001110001101110001110000000000 *
+1-
+12
+b0000000000000010101011000000000000 4
+b0000000000000010101011000000000000 6
+17
+b0000000000000010101011000000000000 8
+b0000001110001101110001110000000000 9
+b0000000000000010101010110000000000 :
+b0000001110001101110001110000000000 ;
+1<
+b0000001110001101110001110000000000 =
+1C
+1J
+#689500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#690000000
+1"
+b0000001110010000011100100000000000 *
+1-
+12
+b0000000000000010101011010000000000 4
+b0000000000000010101011010000000000 6
+17
+b0000000000000010101011010000000000 8
+b0000001110010000011100100000000000 9
+b0000000000000010101011000000000000 :
+b0000001110010000011100100000000000 ;
+1<
+b0000001110010000011100100000000000 =
+1C
+1J
+#690500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#691000000
+1"
+b0000001110010011000111100000000000 *
+1-
+12
+b0000000000000010101011100000000000 4
+b0000000000000010101011100000000000 6
+17
+b0000000000000010101011100000000000 8
+b0000001110010011000111100000000000 9
+b0000000000000010101011010000000000 :
+b0000001110010011000111100000000000 ;
+1<
+b0000001110010011000111100000000000 =
+1C
+1J
+#691500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#692000000
+1"
+b0000001110010101110010110000000000 *
+1-
+12
+b0000000000000010101011110000000000 4
+b0000000000000010101011110000000000 6
+17
+b0000000000000010101011110000000000 8
+b0000001110010101110010110000000000 9
+b0000000000000010101011100000000000 :
+b0000001110010101110010110000000000 ;
+1<
+b0000001110010101110010110000000000 =
+1C
+1J
+#692500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#693000000
+1"
+b0000001110011000011110010000000000 *
+1-
+12
+b0000000000000010101100000000000000 4
+b0000000000000010101100000000000000 6
+17
+b0000000000000010101100000000000000 8
+b0000001110011000011110010000000000 9
+b0000000000000010101011110000000000 :
+b0000001110011000011110010000000000 ;
+1<
+b0000001110011000011110010000000000 =
+1C
+1J
+#693500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#694000000
+1"
+b0000001110011011001010000000000000 *
+1-
+12
+b0000000000000010101100010000000000 4
+b0000000000000010101100010000000000 6
+17
+b0000000000000010101100010000000000 8
+b0000001110011011001010000000000000 9
+b0000000000000010101100000000000000 :
+b0000001110011011001010000000000000 ;
+1<
+b0000001110011011001010000000000000 =
+1C
+1J
+#694500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#695000000
+1"
+b0000001110011101110110000000000000 *
+1-
+12
+b0000000000000010101100100000000000 4
+b0000000000000010101100100000000000 6
+17
+b0000000000000010101100100000000000 8
+b0000001110011101110110000000000000 9
+b0000000000000010101100010000000000 :
+b0000001110011101110110000000000000 ;
+1<
+b0000001110011101110110000000000000 =
+1C
+1J
+#695500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#696000000
+1"
+b0000001110100000100010010000000000 *
+1-
+12
+b0000000000000010101100110000000000 4
+b0000000000000010101100110000000000 6
+17
+b0000000000000010101100110000000000 8
+b0000001110100000100010010000000000 9
+b0000000000000010101100100000000000 :
+b0000001110100000100010010000000000 ;
+1<
+b0000001110100000100010010000000000 =
+1C
+1J
+#696500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#697000000
+1"
+b0000001110100011001110110000000000 *
+1-
+12
+b0000000000000010101101000000000000 4
+b0000000000000010101101000000000000 6
+17
+b0000000000000010101101000000000000 8
+b0000001110100011001110110000000000 9
+b0000000000000010101100110000000000 :
+b0000001110100011001110110000000000 ;
+1<
+b0000001110100011001110110000000000 =
+1C
+1J
+#697500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#698000000
+1"
+b0000001110100101111011100000000000 *
+1-
+12
+b0000000000000010101101010000000000 4
+b0000000000000010101101010000000000 6
+17
+b0000000000000010101101010000000000 8
+b0000001110100101111011100000000000 9
+b0000000000000010101101000000000000 :
+b0000001110100101111011100000000000 ;
+1<
+b0000001110100101111011100000000000 =
+1C
+1J
+#698500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#699000000
+1"
+b0000001110101000101000100000000000 *
+1-
+12
+b0000000000000010101101100000000000 4
+b0000000000000010101101100000000000 6
+17
+b0000000000000010101101100000000000 8
+b0000001110101000101000100000000000 9
+b0000000000000010101101010000000000 :
+b0000001110101000101000100000000000 ;
+1<
+b0000001110101000101000100000000000 =
+1C
+1J
+#699500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#700000000
+1"
+b0000001110101011010101110000000000 *
+1-
+12
+b0000000000000010101101110000000000 4
+b0000000000000010101101110000000000 6
+17
+b0000000000000010101101110000000000 8
+b0000001110101011010101110000000000 9
+b0000000000000010101101100000000000 :
+b0000001110101011010101110000000000 ;
+1<
+b0000001110101011010101110000000000 =
+1C
+1J
+#700500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#701000000
+1"
+b0000001110101110000011010000000000 *
+1-
+12
+b0000000000000010101110000000000000 4
+b0000000000000010101110000000000000 6
+17
+b0000000000000010101110000000000000 8
+b0000001110101110000011010000000000 9
+b0000000000000010101101110000000000 :
+b0000001110101110000011010000000000 ;
+1<
+b0000001110101110000011010000000000 =
+1C
+1J
+#701500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#702000000
+1"
+b0000001110110000110001000000000000 *
+1-
+12
+b0000000000000010101110010000000000 4
+b0000000000000010101110010000000000 6
+17
+b0000000000000010101110010000000000 8
+b0000001110110000110001000000000000 9
+b0000000000000010101110000000000000 :
+b0000001110110000110001000000000000 ;
+1<
+b0000001110110000110001000000000000 =
+1C
+1J
+#702500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#703000000
+1"
+b0000001110110011011111000000000000 *
+1-
+12
+b0000000000000010101110100000000000 4
+b0000000000000010101110100000000000 6
+17
+b0000000000000010101110100000000000 8
+b0000001110110011011111000000000000 9
+b0000000000000010101110010000000000 :
+b0000001110110011011111000000000000 ;
+1<
+b0000001110110011011111000000000000 =
+1C
+1J
+#703500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#704000000
+1"
+b0000001110110110001101010000000000 *
+1-
+12
+b0000000000000010101110110000000000 4
+b0000000000000010101110110000000000 6
+17
+b0000000000000010101110110000000000 8
+b0000001110110110001101010000000000 9
+b0000000000000010101110100000000000 :
+b0000001110110110001101010000000000 ;
+1<
+b0000001110110110001101010000000000 =
+1C
+1J
+#704500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#705000000
+1"
+1'
+b0000001110111000111011110000000000 *
+1+
+1-
+12
+b0000000000000010101111000000000000 4
+b0000000000000010101111000000000000 6
+17
+b0000000000000010101111000000000000 8
+b0000001110111000111011110000000000 9
+b0000000000000010101110110000000000 :
+b0000001110111000111011110000000000 ;
+1<
+b0000001110111000111011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#705500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#706000000
+1"
+0'
+b0000001110111011101010100000000000 *
+0+
+1-
+12
+b0000000000000010101111010000000000 4
+b0000000000000010101111010000000000 6
+17
+b0000000000000010101111010000000000 8
+b0000001110111011101010100000000000 9
+b0000000000000010101111000000000000 :
+b0000001110111011101010100000000000 ;
+1<
+b0000001110111011101010100000000000 =
+b0000000010100110101000000000000000 >
+0?
+b0000001110111000111011110000000000 A
+b0000000010100110101000000000000000 B
+1C
+b0000000010100110101000000000000000 D
+0F
+b0000000010010110101000000000000000 H
+1J
+0M
+#706500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#707000000
+1"
+b0000001110111110011001100000000000 *
+1-
+12
+b0000000000000010101111100000000000 4
+b0000000000000010101111100000000000 6
+17
+b0000000000000010101111100000000000 8
+b0000001110111110011001100000000000 9
+b0000000000000010101111010000000000 :
+b0000001110111110011001100000000000 ;
+1<
+b0000001110111110011001100000000000 =
+1C
+1J
+#707500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#708000000
+1"
+b0000001111000001001000110000000000 *
+1-
+12
+b0000000000000010101111110000000000 4
+b0000000000000010101111110000000000 6
+17
+b0000000000000010101111110000000000 8
+b0000001111000001001000110000000000 9
+b0000000000000010101111100000000000 :
+b0000001111000001001000110000000000 ;
+1<
+b0000001111000001001000110000000000 =
+1C
+1J
+#708500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#709000000
+1"
+b0000001111000011111000010000000000 *
+1-
+12
+b0000000000000010110000000000000000 4
+b0000000000000010110000000000000000 6
+17
+b0000000000000010110000000000000000 8
+b0000001111000011111000010000000000 9
+b0000000000000010101111110000000000 :
+b0000001111000011111000010000000000 ;
+1<
+b0000001111000011111000010000000000 =
+1C
+1J
+#709500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#710000000
+1"
+b0000001111000110101000000000000000 *
+1-
+12
+b0000000000000010110000010000000000 4
+b0000000000000010110000010000000000 6
+17
+b0000000000000010110000010000000000 8
+b0000001111000110101000000000000000 9
+b0000000000000010110000000000000000 :
+b0000001111000110101000000000000000 ;
+1<
+b0000001111000110101000000000000000 =
+1C
+1J
+#710500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#711000000
+1"
+b0000001111001001011000000000000000 *
+1-
+12
+b0000000000000010110000100000000000 4
+b0000000000000010110000100000000000 6
+17
+b0000000000000010110000100000000000 8
+b0000001111001001011000000000000000 9
+b0000000000000010110000010000000000 :
+b0000001111001001011000000000000000 ;
+1<
+b0000001111001001011000000000000000 =
+1C
+1J
+#711500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#712000000
+1"
+b0000001111001100001000010000000000 *
+1-
+12
+b0000000000000010110000110000000000 4
+b0000000000000010110000110000000000 6
+17
+b0000000000000010110000110000000000 8
+b0000001111001100001000010000000000 9
+b0000000000000010110000100000000000 :
+b0000001111001100001000010000000000 ;
+1<
+b0000001111001100001000010000000000 =
+1C
+1J
+#712500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#713000000
+1"
+b0000001111001110111000110000000000 *
+1-
+12
+b0000000000000010110001000000000000 4
+b0000000000000010110001000000000000 6
+17
+b0000000000000010110001000000000000 8
+b0000001111001110111000110000000000 9
+b0000000000000010110000110000000000 :
+b0000001111001110111000110000000000 ;
+1<
+b0000001111001110111000110000000000 =
+1C
+1J
+#713500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#714000000
+1"
+b0000001111010001101001100000000000 *
+1-
+12
+b0000000000000010110001010000000000 4
+b0000000000000010110001010000000000 6
+17
+b0000000000000010110001010000000000 8
+b0000001111010001101001100000000000 9
+b0000000000000010110001000000000000 :
+b0000001111010001101001100000000000 ;
+1<
+b0000001111010001101001100000000000 =
+1C
+1J
+#714500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#715000000
+1"
+b0000001111010100011010100000000000 *
+1-
+12
+b0000000000000010110001100000000000 4
+b0000000000000010110001100000000000 6
+17
+b0000000000000010110001100000000000 8
+b0000001111010100011010100000000000 9
+b0000000000000010110001010000000000 :
+b0000001111010100011010100000000000 ;
+1<
+b0000001111010100011010100000000000 =
+1C
+1J
+#715500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#716000000
+1"
+b0000001111010111001011110000000000 *
+1-
+12
+b0000000000000010110001110000000000 4
+b0000000000000010110001110000000000 6
+17
+b0000000000000010110001110000000000 8
+b0000001111010111001011110000000000 9
+b0000000000000010110001100000000000 :
+b0000001111010111001011110000000000 ;
+1<
+b0000001111010111001011110000000000 =
+1C
+1J
+#716500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#717000000
+1"
+b0000001111011001111101010000000000 *
+1-
+12
+b0000000000000010110010000000000000 4
+b0000000000000010110010000000000000 6
+17
+b0000000000000010110010000000000000 8
+b0000001111011001111101010000000000 9
+b0000000000000010110001110000000000 :
+b0000001111011001111101010000000000 ;
+1<
+b0000001111011001111101010000000000 =
+1C
+1J
+#717500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#718000000
+1"
+b0000001111011100101111000000000000 *
+1-
+12
+b0000000000000010110010010000000000 4
+b0000000000000010110010010000000000 6
+17
+b0000000000000010110010010000000000 8
+b0000001111011100101111000000000000 9
+b0000000000000010110010000000000000 :
+b0000001111011100101111000000000000 ;
+1<
+b0000001111011100101111000000000000 =
+1C
+1J
+#718500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#719000000
+1"
+b0000001111011111100001000000000000 *
+1-
+12
+b0000000000000010110010100000000000 4
+b0000000000000010110010100000000000 6
+17
+b0000000000000010110010100000000000 8
+b0000001111011111100001000000000000 9
+b0000000000000010110010010000000000 :
+b0000001111011111100001000000000000 ;
+1<
+b0000001111011111100001000000000000 =
+1C
+1J
+#719500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#720000000
+1"
+b0000001111100010010011010000000000 *
+1-
+12
+b0000000000000010110010110000000000 4
+b0000000000000010110010110000000000 6
+17
+b0000000000000010110010110000000000 8
+b0000001111100010010011010000000000 9
+b0000000000000010110010100000000000 :
+b0000001111100010010011010000000000 ;
+1<
+b0000001111100010010011010000000000 =
+1C
+1J
+#720500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#721000000
+1"
+b0000001111100101000101110000000000 *
+1-
+12
+b0000000000000010110011000000000000 4
+b0000000000000010110011000000000000 6
+17
+b0000000000000010110011000000000000 8
+b0000001111100101000101110000000000 9
+b0000000000000010110010110000000000 :
+b0000001111100101000101110000000000 ;
+1<
+b0000001111100101000101110000000000 =
+1C
+1J
+#721500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#722000000
+1"
+b0000001111100111111000100000000000 *
+1-
+12
+b0000000000000010110011010000000000 4
+b0000000000000010110011010000000000 6
+17
+b0000000000000010110011010000000000 8
+b0000001111100111111000100000000000 9
+b0000000000000010110011000000000000 :
+b0000001111100111111000100000000000 ;
+1<
+b0000001111100111111000100000000000 =
+1C
+1J
+#722500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#723000000
+1"
+b0000001111101010101011100000000000 *
+1-
+12
+b0000000000000010110011100000000000 4
+b0000000000000010110011100000000000 6
+17
+b0000000000000010110011100000000000 8
+b0000001111101010101011100000000000 9
+b0000000000000010110011010000000000 :
+b0000001111101010101011100000000000 ;
+1<
+b0000001111101010101011100000000000 =
+1C
+1J
+#723500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#724000000
+1"
+b0000001111101101011110110000000000 *
+1-
+12
+b0000000000000010110011110000000000 4
+b0000000000000010110011110000000000 6
+17
+b0000000000000010110011110000000000 8
+b0000001111101101011110110000000000 9
+b0000000000000010110011100000000000 :
+b0000001111101101011110110000000000 ;
+1<
+b0000001111101101011110110000000000 =
+1C
+1J
+#724500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#725000000
+1"
+b0000001111110000010010010000000000 *
+1-
+12
+b0000000000000010110100000000000000 4
+b0000000000000010110100000000000000 6
+17
+b0000000000000010110100000000000000 8
+b0000001111110000010010010000000000 9
+b0000000000000010110011110000000000 :
+b0000001111110000010010010000000000 ;
+1<
+b0000001111110000010010010000000000 =
+1C
+1J
+#725500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#726000000
+1"
+b0000001111110011000110000000000000 *
+1-
+12
+b0000000000000010110100010000000000 4
+b0000000000000010110100010000000000 6
+17
+b0000000000000010110100010000000000 8
+b0000001111110011000110000000000000 9
+b0000000000000010110100000000000000 :
+b0000001111110011000110000000000000 ;
+1<
+b0000001111110011000110000000000000 =
+1C
+1J
+#726500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#727000000
+1"
+b0000001111110101111010000000000000 *
+1-
+12
+b0000000000000010110100100000000000 4
+b0000000000000010110100100000000000 6
+17
+b0000000000000010110100100000000000 8
+b0000001111110101111010000000000000 9
+b0000000000000010110100010000000000 :
+b0000001111110101111010000000000000 ;
+1<
+b0000001111110101111010000000000000 =
+1C
+1J
+#727500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#728000000
+1"
+b0000001111111000101110010000000000 *
+1-
+12
+b0000000000000010110100110000000000 4
+b0000000000000010110100110000000000 6
+17
+b0000000000000010110100110000000000 8
+b0000001111111000101110010000000000 9
+b0000000000000010110100100000000000 :
+b0000001111111000101110010000000000 ;
+1<
+b0000001111111000101110010000000000 =
+1C
+1J
+#728500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#729000000
+1"
+b0000001111111011100010110000000000 *
+1-
+12
+b0000000000000010110101000000000000 4
+b0000000000000010110101000000000000 6
+17
+b0000000000000010110101000000000000 8
+b0000001111111011100010110000000000 9
+b0000000000000010110100110000000000 :
+b0000001111111011100010110000000000 ;
+1<
+b0000001111111011100010110000000000 =
+1C
+1J
+#729500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#730000000
+1"
+b0000001111111110010111100000000000 *
+1-
+12
+b0000000000000010110101010000000000 4
+b0000000000000010110101010000000000 6
+17
+b0000000000000010110101010000000000 8
+b0000001111111110010111100000000000 9
+b0000000000000010110101000000000000 :
+b0000001111111110010111100000000000 ;
+1<
+b0000001111111110010111100000000000 =
+1C
+1J
+#730500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#731000000
+1"
+b0000010000000001001100100000000000 *
+1-
+12
+b0000000000000010110101100000000000 4
+b0000000000000010110101100000000000 6
+17
+b0000000000000010110101100000000000 8
+b0000010000000001001100100000000000 9
+b0000000000000010110101010000000000 :
+b0000010000000001001100100000000000 ;
+1<
+b0000010000000001001100100000000000 =
+1C
+1J
+#731500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#732000000
+1"
+b0000010000000100000001110000000000 *
+1-
+12
+b0000000000000010110101110000000000 4
+b0000000000000010110101110000000000 6
+17
+b0000000000000010110101110000000000 8
+b0000010000000100000001110000000000 9
+b0000000000000010110101100000000000 :
+b0000010000000100000001110000000000 ;
+1<
+b0000010000000100000001110000000000 =
+1C
+1J
+#732500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#733000000
+1"
+b0000010000000110110111010000000000 *
+1-
+12
+b0000000000000010110110000000000000 4
+b0000000000000010110110000000000000 6
+17
+b0000000000000010110110000000000000 8
+b0000010000000110110111010000000000 9
+b0000000000000010110101110000000000 :
+b0000010000000110110111010000000000 ;
+1<
+b0000010000000110110111010000000000 =
+1C
+1J
+#733500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#734000000
+1"
+b0000010000001001101101000000000000 *
+1-
+12
+b0000000000000010110110010000000000 4
+b0000000000000010110110010000000000 6
+17
+b0000000000000010110110010000000000 8
+b0000010000001001101101000000000000 9
+b0000000000000010110110000000000000 :
+b0000010000001001101101000000000000 ;
+1<
+b0000010000001001101101000000000000 =
+1C
+1J
+#734500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#735000000
+1"
+b0000010000001100100011000000000000 *
+1-
+12
+b0000000000000010110110100000000000 4
+b0000000000000010110110100000000000 6
+17
+b0000000000000010110110100000000000 8
+b0000010000001100100011000000000000 9
+b0000000000000010110110010000000000 :
+b0000010000001100100011000000000000 ;
+1<
+b0000010000001100100011000000000000 =
+1C
+1J
+#735500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#736000000
+1"
+b0000010000001111011001010000000000 *
+1-
+12
+b0000000000000010110110110000000000 4
+b0000000000000010110110110000000000 6
+17
+b0000000000000010110110110000000000 8
+b0000010000001111011001010000000000 9
+b0000000000000010110110100000000000 :
+b0000010000001111011001010000000000 ;
+1<
+b0000010000001111011001010000000000 =
+1C
+1J
+#736500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#737000000
+1"
+b0000010000010010001111110000000000 *
+1-
+12
+b0000000000000010110111000000000000 4
+b0000000000000010110111000000000000 6
+17
+b0000000000000010110111000000000000 8
+b0000010000010010001111110000000000 9
+b0000000000000010110110110000000000 :
+b0000010000010010001111110000000000 ;
+1<
+b0000010000010010001111110000000000 =
+1C
+1J
+#737500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#738000000
+1"
+b0000010000010101000110100000000000 *
+1-
+12
+b0000000000000010110111010000000000 4
+b0000000000000010110111010000000000 6
+17
+b0000000000000010110111010000000000 8
+b0000010000010101000110100000000000 9
+b0000000000000010110111000000000000 :
+b0000010000010101000110100000000000 ;
+1<
+b0000010000010101000110100000000000 =
+1C
+1J
+#738500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#739000000
+1"
+b0000010000010111111101100000000000 *
+1-
+12
+b0000000000000010110111100000000000 4
+b0000000000000010110111100000000000 6
+17
+b0000000000000010110111100000000000 8
+b0000010000010111111101100000000000 9
+b0000000000000010110111010000000000 :
+b0000010000010111111101100000000000 ;
+1<
+b0000010000010111111101100000000000 =
+1C
+1J
+#739500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#740000000
+1"
+b0000010000011010110100110000000000 *
+1-
+12
+b0000000000000010110111110000000000 4
+b0000000000000010110111110000000000 6
+17
+b0000000000000010110111110000000000 8
+b0000010000011010110100110000000000 9
+b0000000000000010110111100000000000 :
+b0000010000011010110100110000000000 ;
+1<
+b0000010000011010110100110000000000 =
+1C
+1J
+#740500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#741000000
+1"
+b0000010000011101101100010000000000 *
+1-
+12
+b0000000000000010111000000000000000 4
+b0000000000000010111000000000000000 6
+17
+b0000000000000010111000000000000000 8
+b0000010000011101101100010000000000 9
+b0000000000000010110111110000000000 :
+b0000010000011101101100010000000000 ;
+1<
+b0000010000011101101100010000000000 =
+1C
+1J
+#741500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#742000000
+1"
+b0000010000100000100100000000000000 *
+1-
+12
+b0000000000000010111000010000000000 4
+b0000000000000010111000010000000000 6
+17
+b0000000000000010111000010000000000 8
+b0000010000100000100100000000000000 9
+b0000000000000010111000000000000000 :
+b0000010000100000100100000000000000 ;
+1<
+b0000010000100000100100000000000000 =
+1C
+1J
+#742500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#743000000
+1"
+b0000010000100011011100000000000000 *
+1-
+12
+b0000000000000010111000100000000000 4
+b0000000000000010111000100000000000 6
+17
+b0000000000000010111000100000000000 8
+b0000010000100011011100000000000000 9
+b0000000000000010111000010000000000 :
+b0000010000100011011100000000000000 ;
+1<
+b0000010000100011011100000000000000 =
+1C
+1J
+#743500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#744000000
+1"
+b0000010000100110010100010000000000 *
+1-
+12
+b0000000000000010111000110000000000 4
+b0000000000000010111000110000000000 6
+17
+b0000000000000010111000110000000000 8
+b0000010000100110010100010000000000 9
+b0000000000000010111000100000000000 :
+b0000010000100110010100010000000000 ;
+1<
+b0000010000100110010100010000000000 =
+1C
+1J
+#744500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#745000000
+1"
+b0000010000101001001100110000000000 *
+1-
+12
+b0000000000000010111001000000000000 4
+b0000000000000010111001000000000000 6
+17
+b0000000000000010111001000000000000 8
+b0000010000101001001100110000000000 9
+b0000000000000010111000110000000000 :
+b0000010000101001001100110000000000 ;
+1<
+b0000010000101001001100110000000000 =
+1C
+1J
+#745500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#746000000
+1"
+b0000010000101100000101100000000000 *
+1-
+12
+b0000000000000010111001010000000000 4
+b0000000000000010111001010000000000 6
+17
+b0000000000000010111001010000000000 8
+b0000010000101100000101100000000000 9
+b0000000000000010111001000000000000 :
+b0000010000101100000101100000000000 ;
+1<
+b0000010000101100000101100000000000 =
+1C
+1J
+#746500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#747000000
+1"
+b0000010000101110111110100000000000 *
+1-
+12
+b0000000000000010111001100000000000 4
+b0000000000000010111001100000000000 6
+17
+b0000000000000010111001100000000000 8
+b0000010000101110111110100000000000 9
+b0000000000000010111001010000000000 :
+b0000010000101110111110100000000000 ;
+1<
+b0000010000101110111110100000000000 =
+1C
+1J
+#747500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#748000000
+1"
+b0000010000110001110111110000000000 *
+1-
+12
+b0000000000000010111001110000000000 4
+b0000000000000010111001110000000000 6
+17
+b0000000000000010111001110000000000 8
+b0000010000110001110111110000000000 9
+b0000000000000010111001100000000000 :
+b0000010000110001110111110000000000 ;
+1<
+b0000010000110001110111110000000000 =
+1C
+1J
+#748500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#749000000
+1"
+b0000010000110100110001010000000000 *
+1-
+12
+b0000000000000010111010000000000000 4
+b0000000000000010111010000000000000 6
+17
+b0000000000000010111010000000000000 8
+b0000010000110100110001010000000000 9
+b0000000000000010111001110000000000 :
+b0000010000110100110001010000000000 ;
+1<
+b0000010000110100110001010000000000 =
+1C
+1J
+#749500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#750000000
+1"
+b0000010000110111101011000000000000 *
+1-
+12
+b0000000000000010111010010000000000 4
+b0000000000000010111010010000000000 6
+17
+b0000000000000010111010010000000000 8
+b0000010000110111101011000000000000 9
+b0000000000000010111010000000000000 :
+b0000010000110111101011000000000000 ;
+1<
+b0000010000110111101011000000000000 =
+1C
+1J
+#750500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#751000000
+1"
+b0000010000111010100101000000000000 *
+1-
+12
+b0000000000000010111010100000000000 4
+b0000000000000010111010100000000000 6
+17
+b0000000000000010111010100000000000 8
+b0000010000111010100101000000000000 9
+b0000000000000010111010010000000000 :
+b0000010000111010100101000000000000 ;
+1<
+b0000010000111010100101000000000000 =
+1C
+1J
+#751500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#752000000
+1"
+b0000010000111101011111010000000000 *
+1-
+12
+b0000000000000010111010110000000000 4
+b0000000000000010111010110000000000 6
+17
+b0000000000000010111010110000000000 8
+b0000010000111101011111010000000000 9
+b0000000000000010111010100000000000 :
+b0000010000111101011111010000000000 ;
+1<
+b0000010000111101011111010000000000 =
+1C
+1J
+#752500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#753000000
+1"
+b0000010001000000011001110000000000 *
+1-
+12
+b0000000000000010111011000000000000 4
+b0000000000000010111011000000000000 6
+17
+b0000000000000010111011000000000000 8
+b0000010001000000011001110000000000 9
+b0000000000000010111010110000000000 :
+b0000010001000000011001110000000000 ;
+1<
+b0000010001000000011001110000000000 =
+1C
+1J
+#753500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#754000000
+1"
+b0000010001000011010100100000000000 *
+1-
+12
+b0000000000000010111011010000000000 4
+b0000000000000010111011010000000000 6
+17
+b0000000000000010111011010000000000 8
+b0000010001000011010100100000000000 9
+b0000000000000010111011000000000000 :
+b0000010001000011010100100000000000 ;
+1<
+b0000010001000011010100100000000000 =
+1C
+1J
+#754500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#755000000
+1"
+b0000010001000110001111100000000000 *
+1-
+12
+b0000000000000010111011100000000000 4
+b0000000000000010111011100000000000 6
+17
+b0000000000000010111011100000000000 8
+b0000010001000110001111100000000000 9
+b0000000000000010111011010000000000 :
+b0000010001000110001111100000000000 ;
+1<
+b0000010001000110001111100000000000 =
+1C
+1J
+#755500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#756000000
+1"
+b0000010001001001001010110000000000 *
+1-
+12
+b0000000000000010111011110000000000 4
+b0000000000000010111011110000000000 6
+17
+b0000000000000010111011110000000000 8
+b0000010001001001001010110000000000 9
+b0000000000000010111011100000000000 :
+b0000010001001001001010110000000000 ;
+1<
+b0000010001001001001010110000000000 =
+1C
+1J
+#756500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#757000000
+1"
+b0000010001001100000110010000000000 *
+1-
+12
+b0000000000000010111100000000000000 4
+b0000000000000010111100000000000000 6
+17
+b0000000000000010111100000000000000 8
+b0000010001001100000110010000000000 9
+b0000000000000010111011110000000000 :
+b0000010001001100000110010000000000 ;
+1<
+b0000010001001100000110010000000000 =
+1C
+1J
+#757500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#758000000
+1"
+b0000010001001111000010000000000000 *
+1-
+12
+b0000000000000010111100010000000000 4
+b0000000000000010111100010000000000 6
+17
+b0000000000000010111100010000000000 8
+b0000010001001111000010000000000000 9
+b0000000000000010111100000000000000 :
+b0000010001001111000010000000000000 ;
+1<
+b0000010001001111000010000000000000 =
+1C
+1J
+#758500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#759000000
+1"
+b0000010001010001111110000000000000 *
+1-
+12
+b0000000000000010111100100000000000 4
+b0000000000000010111100100000000000 6
+17
+b0000000000000010111100100000000000 8
+b0000010001010001111110000000000000 9
+b0000000000000010111100010000000000 :
+b0000010001010001111110000000000000 ;
+1<
+b0000010001010001111110000000000000 =
+1C
+1J
+#759500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#760000000
+1"
+b0000010001010100111010010000000000 *
+1-
+12
+b0000000000000010111100110000000000 4
+b0000000000000010111100110000000000 6
+17
+b0000000000000010111100110000000000 8
+b0000010001010100111010010000000000 9
+b0000000000000010111100100000000000 :
+b0000010001010100111010010000000000 ;
+1<
+b0000010001010100111010010000000000 =
+1C
+1J
+#760500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#761000000
+1"
+b0000010001010111110110110000000000 *
+1-
+12
+b0000000000000010111101000000000000 4
+b0000000000000010111101000000000000 6
+17
+b0000000000000010111101000000000000 8
+b0000010001010111110110110000000000 9
+b0000000000000010111100110000000000 :
+b0000010001010111110110110000000000 ;
+1<
+b0000010001010111110110110000000000 =
+1C
+1J
+#761500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#762000000
+1"
+b0000010001011010110011100000000000 *
+1-
+12
+b0000000000000010111101010000000000 4
+b0000000000000010111101010000000000 6
+17
+b0000000000000010111101010000000000 8
+b0000010001011010110011100000000000 9
+b0000000000000010111101000000000000 :
+b0000010001011010110011100000000000 ;
+1<
+b0000010001011010110011100000000000 =
+1C
+1J
+#762500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#763000000
+1"
+b0000010001011101110000100000000000 *
+1-
+12
+b0000000000000010111101100000000000 4
+b0000000000000010111101100000000000 6
+17
+b0000000000000010111101100000000000 8
+b0000010001011101110000100000000000 9
+b0000000000000010111101010000000000 :
+b0000010001011101110000100000000000 ;
+1<
+b0000010001011101110000100000000000 =
+1C
+1J
+#763500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#764000000
+1"
+b0000010001100000101101110000000000 *
+1-
+12
+b0000000000000010111101110000000000 4
+b0000000000000010111101110000000000 6
+17
+b0000000000000010111101110000000000 8
+b0000010001100000101101110000000000 9
+b0000000000000010111101100000000000 :
+b0000010001100000101101110000000000 ;
+1<
+b0000010001100000101101110000000000 =
+1C
+1J
+#764500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#765000000
+1"
+b0000010001100011101011010000000000 *
+1-
+12
+b0000000000000010111110000000000000 4
+b0000000000000010111110000000000000 6
+17
+b0000000000000010111110000000000000 8
+b0000010001100011101011010000000000 9
+b0000000000000010111101110000000000 :
+b0000010001100011101011010000000000 ;
+1<
+b0000010001100011101011010000000000 =
+1C
+1J
+#765500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#766000000
+1"
+b0000010001100110101001000000000000 *
+1-
+12
+b0000000000000010111110010000000000 4
+b0000000000000010111110010000000000 6
+17
+b0000000000000010111110010000000000 8
+b0000010001100110101001000000000000 9
+b0000000000000010111110000000000000 :
+b0000010001100110101001000000000000 ;
+1<
+b0000010001100110101001000000000000 =
+1C
+1J
+#766500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#767000000
+1"
+b0000010001101001100111000000000000 *
+1-
+12
+b0000000000000010111110100000000000 4
+b0000000000000010111110100000000000 6
+17
+b0000000000000010111110100000000000 8
+b0000010001101001100111000000000000 9
+b0000000000000010111110010000000000 :
+b0000010001101001100111000000000000 ;
+1<
+b0000010001101001100111000000000000 =
+1C
+1J
+#767500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#768000000
+1"
+b0000010001101100100101010000000000 *
+1-
+12
+b0000000000000010111110110000000000 4
+b0000000000000010111110110000000000 6
+17
+b0000000000000010111110110000000000 8
+b0000010001101100100101010000000000 9
+b0000000000000010111110100000000000 :
+b0000010001101100100101010000000000 ;
+1<
+b0000010001101100100101010000000000 =
+1C
+1J
+#768500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#769000000
+1"
+1'
+b0000010001101111100011110000000000 *
+1+
+1-
+12
+b0000000000000010111111000000000000 4
+b0000000000000010111111000000000000 6
+17
+b0000000000000010111111000000000000 8
+b0000010001101111100011110000000000 9
+b0000000000000010111110110000000000 :
+b0000010001101111100011110000000000 ;
+1<
+b0000010001101111100011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#769500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#770000000
+1"
+0'
+b0000010001110010100010100000000000 *
+0+
+1-
+12
+b0000000000000010111111010000000000 4
+b0000000000000010111111010000000000 6
+17
+b0000000000000010111111010000000000 8
+b0000010001110010100010100000000000 9
+b0000000000000010111111000000000000 :
+b0000010001110010100010100000000000 ;
+1<
+b0000010001110010100010100000000000 =
+b0000000010110110101000000000000000 >
+0?
+b0000010001101111100011110000000000 A
+b0000000010110110101000000000000000 B
+1C
+b0000000010110110101000000000000000 D
+0F
+b0000000010100110101000000000000000 H
+1J
+0M
+#770500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#771000000
+1"
+b0000010001110101100001100000000000 *
+1-
+12
+b0000000000000010111111100000000000 4
+b0000000000000010111111100000000000 6
+17
+b0000000000000010111111100000000000 8
+b0000010001110101100001100000000000 9
+b0000000000000010111111010000000000 :
+b0000010001110101100001100000000000 ;
+1<
+b0000010001110101100001100000000000 =
+1C
+1J
+#771500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#772000000
+1"
+b0000010001111000100000110000000000 *
+1-
+12
+b0000000000000010111111110000000000 4
+b0000000000000010111111110000000000 6
+17
+b0000000000000010111111110000000000 8
+b0000010001111000100000110000000000 9
+b0000000000000010111111100000000000 :
+b0000010001111000100000110000000000 ;
+1<
+b0000010001111000100000110000000000 =
+1C
+1J
+#772500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#773000000
+1"
+b0000010001111011100000010000000000 *
+1-
+12
+b0000000000000011000000000000000000 4
+b0000000000000011000000000000000000 6
+17
+b0000000000000011000000000000000000 8
+b0000010001111011100000010000000000 9
+b0000000000000010111111110000000000 :
+b0000010001111011100000010000000000 ;
+1<
+b0000010001111011100000010000000000 =
+1C
+1J
+#773500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#774000000
+1"
+b0000010001111110100000000000000000 *
+1-
+12
+b0000000000000011000000010000000000 4
+b0000000000000011000000010000000000 6
+17
+b0000000000000011000000010000000000 8
+b0000010001111110100000000000000000 9
+b0000000000000011000000000000000000 :
+b0000010001111110100000000000000000 ;
+1<
+b0000010001111110100000000000000000 =
+1C
+1J
+#774500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#775000000
+1"
+b0000010010000001100000000000000000 *
+1-
+12
+b0000000000000011000000100000000000 4
+b0000000000000011000000100000000000 6
+17
+b0000000000000011000000100000000000 8
+b0000010010000001100000000000000000 9
+b0000000000000011000000010000000000 :
+b0000010010000001100000000000000000 ;
+1<
+b0000010010000001100000000000000000 =
+1C
+1J
+#775500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#776000000
+1"
+b0000010010000100100000010000000000 *
+1-
+12
+b0000000000000011000000110000000000 4
+b0000000000000011000000110000000000 6
+17
+b0000000000000011000000110000000000 8
+b0000010010000100100000010000000000 9
+b0000000000000011000000100000000000 :
+b0000010010000100100000010000000000 ;
+1<
+b0000010010000100100000010000000000 =
+1C
+1J
+#776500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#777000000
+1"
+b0000010010000111100000110000000000 *
+1-
+12
+b0000000000000011000001000000000000 4
+b0000000000000011000001000000000000 6
+17
+b0000000000000011000001000000000000 8
+b0000010010000111100000110000000000 9
+b0000000000000011000000110000000000 :
+b0000010010000111100000110000000000 ;
+1<
+b0000010010000111100000110000000000 =
+1C
+1J
+#777500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#778000000
+1"
+b0000010010001010100001100000000000 *
+1-
+12
+b0000000000000011000001010000000000 4
+b0000000000000011000001010000000000 6
+17
+b0000000000000011000001010000000000 8
+b0000010010001010100001100000000000 9
+b0000000000000011000001000000000000 :
+b0000010010001010100001100000000000 ;
+1<
+b0000010010001010100001100000000000 =
+1C
+1J
+#778500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#779000000
+1"
+b0000010010001101100010100000000000 *
+1-
+12
+b0000000000000011000001100000000000 4
+b0000000000000011000001100000000000 6
+17
+b0000000000000011000001100000000000 8
+b0000010010001101100010100000000000 9
+b0000000000000011000001010000000000 :
+b0000010010001101100010100000000000 ;
+1<
+b0000010010001101100010100000000000 =
+1C
+1J
+#779500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#780000000
+1"
+b0000010010010000100011110000000000 *
+1-
+12
+b0000000000000011000001110000000000 4
+b0000000000000011000001110000000000 6
+17
+b0000000000000011000001110000000000 8
+b0000010010010000100011110000000000 9
+b0000000000000011000001100000000000 :
+b0000010010010000100011110000000000 ;
+1<
+b0000010010010000100011110000000000 =
+1C
+1J
+#780500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#781000000
+1"
+b0000010010010011100101010000000000 *
+1-
+12
+b0000000000000011000010000000000000 4
+b0000000000000011000010000000000000 6
+17
+b0000000000000011000010000000000000 8
+b0000010010010011100101010000000000 9
+b0000000000000011000001110000000000 :
+b0000010010010011100101010000000000 ;
+1<
+b0000010010010011100101010000000000 =
+1C
+1J
+#781500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#782000000
+1"
+b0000010010010110100111000000000000 *
+1-
+12
+b0000000000000011000010010000000000 4
+b0000000000000011000010010000000000 6
+17
+b0000000000000011000010010000000000 8
+b0000010010010110100111000000000000 9
+b0000000000000011000010000000000000 :
+b0000010010010110100111000000000000 ;
+1<
+b0000010010010110100111000000000000 =
+1C
+1J
+#782500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#783000000
+1"
+b0000010010011001101001000000000000 *
+1-
+12
+b0000000000000011000010100000000000 4
+b0000000000000011000010100000000000 6
+17
+b0000000000000011000010100000000000 8
+b0000010010011001101001000000000000 9
+b0000000000000011000010010000000000 :
+b0000010010011001101001000000000000 ;
+1<
+b0000010010011001101001000000000000 =
+1C
+1J
+#783500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#784000000
+1"
+b0000010010011100101011010000000000 *
+1-
+12
+b0000000000000011000010110000000000 4
+b0000000000000011000010110000000000 6
+17
+b0000000000000011000010110000000000 8
+b0000010010011100101011010000000000 9
+b0000000000000011000010100000000000 :
+b0000010010011100101011010000000000 ;
+1<
+b0000010010011100101011010000000000 =
+1C
+1J
+#784500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#785000000
+1"
+b0000010010011111101101110000000000 *
+1-
+12
+b0000000000000011000011000000000000 4
+b0000000000000011000011000000000000 6
+17
+b0000000000000011000011000000000000 8
+b0000010010011111101101110000000000 9
+b0000000000000011000010110000000000 :
+b0000010010011111101101110000000000 ;
+1<
+b0000010010011111101101110000000000 =
+1C
+1J
+#785500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#786000000
+1"
+b0000010010100010110000100000000000 *
+1-
+12
+b0000000000000011000011010000000000 4
+b0000000000000011000011010000000000 6
+17
+b0000000000000011000011010000000000 8
+b0000010010100010110000100000000000 9
+b0000000000000011000011000000000000 :
+b0000010010100010110000100000000000 ;
+1<
+b0000010010100010110000100000000000 =
+1C
+1J
+#786500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#787000000
+1"
+b0000010010100101110011100000000000 *
+1-
+12
+b0000000000000011000011100000000000 4
+b0000000000000011000011100000000000 6
+17
+b0000000000000011000011100000000000 8
+b0000010010100101110011100000000000 9
+b0000000000000011000011010000000000 :
+b0000010010100101110011100000000000 ;
+1<
+b0000010010100101110011100000000000 =
+1C
+1J
+#787500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#788000000
+1"
+b0000010010101000110110110000000000 *
+1-
+12
+b0000000000000011000011110000000000 4
+b0000000000000011000011110000000000 6
+17
+b0000000000000011000011110000000000 8
+b0000010010101000110110110000000000 9
+b0000000000000011000011100000000000 :
+b0000010010101000110110110000000000 ;
+1<
+b0000010010101000110110110000000000 =
+1C
+1J
+#788500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#789000000
+1"
+b0000010010101011111010010000000000 *
+1-
+12
+b0000000000000011000100000000000000 4
+b0000000000000011000100000000000000 6
+17
+b0000000000000011000100000000000000 8
+b0000010010101011111010010000000000 9
+b0000000000000011000011110000000000 :
+b0000010010101011111010010000000000 ;
+1<
+b0000010010101011111010010000000000 =
+1C
+1J
+#789500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#790000000
+1"
+b0000010010101110111110000000000000 *
+1-
+12
+b0000000000000011000100010000000000 4
+b0000000000000011000100010000000000 6
+17
+b0000000000000011000100010000000000 8
+b0000010010101110111110000000000000 9
+b0000000000000011000100000000000000 :
+b0000010010101110111110000000000000 ;
+1<
+b0000010010101110111110000000000000 =
+1C
+1J
+#790500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#791000000
+1"
+b0000010010110010000010000000000000 *
+1-
+12
+b0000000000000011000100100000000000 4
+b0000000000000011000100100000000000 6
+17
+b0000000000000011000100100000000000 8
+b0000010010110010000010000000000000 9
+b0000000000000011000100010000000000 :
+b0000010010110010000010000000000000 ;
+1<
+b0000010010110010000010000000000000 =
+1C
+1J
+#791500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#792000000
+1"
+b0000010010110101000110010000000000 *
+1-
+12
+b0000000000000011000100110000000000 4
+b0000000000000011000100110000000000 6
+17
+b0000000000000011000100110000000000 8
+b0000010010110101000110010000000000 9
+b0000000000000011000100100000000000 :
+b0000010010110101000110010000000000 ;
+1<
+b0000010010110101000110010000000000 =
+1C
+1J
+#792500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#793000000
+1"
+b0000010010111000001010110000000000 *
+1-
+12
+b0000000000000011000101000000000000 4
+b0000000000000011000101000000000000 6
+17
+b0000000000000011000101000000000000 8
+b0000010010111000001010110000000000 9
+b0000000000000011000100110000000000 :
+b0000010010111000001010110000000000 ;
+1<
+b0000010010111000001010110000000000 =
+1C
+1J
+#793500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#794000000
+1"
+b0000010010111011001111100000000000 *
+1-
+12
+b0000000000000011000101010000000000 4
+b0000000000000011000101010000000000 6
+17
+b0000000000000011000101010000000000 8
+b0000010010111011001111100000000000 9
+b0000000000000011000101000000000000 :
+b0000010010111011001111100000000000 ;
+1<
+b0000010010111011001111100000000000 =
+1C
+1J
+#794500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#795000000
+1"
+b0000010010111110010100100000000000 *
+1-
+12
+b0000000000000011000101100000000000 4
+b0000000000000011000101100000000000 6
+17
+b0000000000000011000101100000000000 8
+b0000010010111110010100100000000000 9
+b0000000000000011000101010000000000 :
+b0000010010111110010100100000000000 ;
+1<
+b0000010010111110010100100000000000 =
+1C
+1J
+#795500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#796000000
+1"
+b0000010011000001011001110000000000 *
+1-
+12
+b0000000000000011000101110000000000 4
+b0000000000000011000101110000000000 6
+17
+b0000000000000011000101110000000000 8
+b0000010011000001011001110000000000 9
+b0000000000000011000101100000000000 :
+b0000010011000001011001110000000000 ;
+1<
+b0000010011000001011001110000000000 =
+1C
+1J
+#796500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#797000000
+1"
+b0000010011000100011111010000000000 *
+1-
+12
+b0000000000000011000110000000000000 4
+b0000000000000011000110000000000000 6
+17
+b0000000000000011000110000000000000 8
+b0000010011000100011111010000000000 9
+b0000000000000011000101110000000000 :
+b0000010011000100011111010000000000 ;
+1<
+b0000010011000100011111010000000000 =
+1C
+1J
+#797500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#798000000
+1"
+b0000010011000111100101000000000000 *
+1-
+12
+b0000000000000011000110010000000000 4
+b0000000000000011000110010000000000 6
+17
+b0000000000000011000110010000000000 8
+b0000010011000111100101000000000000 9
+b0000000000000011000110000000000000 :
+b0000010011000111100101000000000000 ;
+1<
+b0000010011000111100101000000000000 =
+1C
+1J
+#798500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#799000000
+1"
+b0000010011001010101011000000000000 *
+1-
+12
+b0000000000000011000110100000000000 4
+b0000000000000011000110100000000000 6
+17
+b0000000000000011000110100000000000 8
+b0000010011001010101011000000000000 9
+b0000000000000011000110010000000000 :
+b0000010011001010101011000000000000 ;
+1<
+b0000010011001010101011000000000000 =
+1C
+1J
+#799500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#800000000
+1"
+b0000010011001101110001010000000000 *
+1-
+12
+b0000000000000011000110110000000000 4
+b0000000000000011000110110000000000 6
+17
+b0000000000000011000110110000000000 8
+b0000010011001101110001010000000000 9
+b0000000000000011000110100000000000 :
+b0000010011001101110001010000000000 ;
+1<
+b0000010011001101110001010000000000 =
+1C
+1J
+#800500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#801000000
+1"
+b0000010011010000110111110000000000 *
+1-
+12
+b0000000000000011000111000000000000 4
+b0000000000000011000111000000000000 6
+17
+b0000000000000011000111000000000000 8
+b0000010011010000110111110000000000 9
+b0000000000000011000110110000000000 :
+b0000010011010000110111110000000000 ;
+1<
+b0000010011010000110111110000000000 =
+1C
+1J
+#801500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#802000000
+1"
+b0000010011010011111110100000000000 *
+1-
+12
+b0000000000000011000111010000000000 4
+b0000000000000011000111010000000000 6
+17
+b0000000000000011000111010000000000 8
+b0000010011010011111110100000000000 9
+b0000000000000011000111000000000000 :
+b0000010011010011111110100000000000 ;
+1<
+b0000010011010011111110100000000000 =
+1C
+1J
+#802500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#803000000
+1"
+b0000010011010111000101100000000000 *
+1-
+12
+b0000000000000011000111100000000000 4
+b0000000000000011000111100000000000 6
+17
+b0000000000000011000111100000000000 8
+b0000010011010111000101100000000000 9
+b0000000000000011000111010000000000 :
+b0000010011010111000101100000000000 ;
+1<
+b0000010011010111000101100000000000 =
+1C
+1J
+#803500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#804000000
+1"
+b0000010011011010001100110000000000 *
+1-
+12
+b0000000000000011000111110000000000 4
+b0000000000000011000111110000000000 6
+17
+b0000000000000011000111110000000000 8
+b0000010011011010001100110000000000 9
+b0000000000000011000111100000000000 :
+b0000010011011010001100110000000000 ;
+1<
+b0000010011011010001100110000000000 =
+1C
+1J
+#804500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#805000000
+1"
+b0000010011011101010100010000000000 *
+1-
+12
+b0000000000000011001000000000000000 4
+b0000000000000011001000000000000000 6
+17
+b0000000000000011001000000000000000 8
+b0000010011011101010100010000000000 9
+b0000000000000011000111110000000000 :
+b0000010011011101010100010000000000 ;
+1<
+b0000010011011101010100010000000000 =
+1C
+1J
+#805500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#806000000
+1"
+b0000010011100000011100000000000000 *
+1-
+12
+b0000000000000011001000010000000000 4
+b0000000000000011001000010000000000 6
+17
+b0000000000000011001000010000000000 8
+b0000010011100000011100000000000000 9
+b0000000000000011001000000000000000 :
+b0000010011100000011100000000000000 ;
+1<
+b0000010011100000011100000000000000 =
+1C
+1J
+#806500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#807000000
+1"
+b0000010011100011100100000000000000 *
+1-
+12
+b0000000000000011001000100000000000 4
+b0000000000000011001000100000000000 6
+17
+b0000000000000011001000100000000000 8
+b0000010011100011100100000000000000 9
+b0000000000000011001000010000000000 :
+b0000010011100011100100000000000000 ;
+1<
+b0000010011100011100100000000000000 =
+1C
+1J
+#807500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#808000000
+1"
+b0000010011100110101100010000000000 *
+1-
+12
+b0000000000000011001000110000000000 4
+b0000000000000011001000110000000000 6
+17
+b0000000000000011001000110000000000 8
+b0000010011100110101100010000000000 9
+b0000000000000011001000100000000000 :
+b0000010011100110101100010000000000 ;
+1<
+b0000010011100110101100010000000000 =
+1C
+1J
+#808500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#809000000
+1"
+b0000010011101001110100110000000000 *
+1-
+12
+b0000000000000011001001000000000000 4
+b0000000000000011001001000000000000 6
+17
+b0000000000000011001001000000000000 8
+b0000010011101001110100110000000000 9
+b0000000000000011001000110000000000 :
+b0000010011101001110100110000000000 ;
+1<
+b0000010011101001110100110000000000 =
+1C
+1J
+#809500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#810000000
+1"
+b0000010011101100111101100000000000 *
+1-
+12
+b0000000000000011001001010000000000 4
+b0000000000000011001001010000000000 6
+17
+b0000000000000011001001010000000000 8
+b0000010011101100111101100000000000 9
+b0000000000000011001001000000000000 :
+b0000010011101100111101100000000000 ;
+1<
+b0000010011101100111101100000000000 =
+1C
+1J
+#810500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#811000000
+1"
+b0000010011110000000110100000000000 *
+1-
+12
+b0000000000000011001001100000000000 4
+b0000000000000011001001100000000000 6
+17
+b0000000000000011001001100000000000 8
+b0000010011110000000110100000000000 9
+b0000000000000011001001010000000000 :
+b0000010011110000000110100000000000 ;
+1<
+b0000010011110000000110100000000000 =
+1C
+1J
+#811500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#812000000
+1"
+b0000010011110011001111110000000000 *
+1-
+12
+b0000000000000011001001110000000000 4
+b0000000000000011001001110000000000 6
+17
+b0000000000000011001001110000000000 8
+b0000010011110011001111110000000000 9
+b0000000000000011001001100000000000 :
+b0000010011110011001111110000000000 ;
+1<
+b0000010011110011001111110000000000 =
+1C
+1J
+#812500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#813000000
+1"
+b0000010011110110011001010000000000 *
+1-
+12
+b0000000000000011001010000000000000 4
+b0000000000000011001010000000000000 6
+17
+b0000000000000011001010000000000000 8
+b0000010011110110011001010000000000 9
+b0000000000000011001001110000000000 :
+b0000010011110110011001010000000000 ;
+1<
+b0000010011110110011001010000000000 =
+1C
+1J
+#813500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#814000000
+1"
+b0000010011111001100011000000000000 *
+1-
+12
+b0000000000000011001010010000000000 4
+b0000000000000011001010010000000000 6
+17
+b0000000000000011001010010000000000 8
+b0000010011111001100011000000000000 9
+b0000000000000011001010000000000000 :
+b0000010011111001100011000000000000 ;
+1<
+b0000010011111001100011000000000000 =
+1C
+1J
+#814500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#815000000
+1"
+b0000010011111100101101000000000000 *
+1-
+12
+b0000000000000011001010100000000000 4
+b0000000000000011001010100000000000 6
+17
+b0000000000000011001010100000000000 8
+b0000010011111100101101000000000000 9
+b0000000000000011001010010000000000 :
+b0000010011111100101101000000000000 ;
+1<
+b0000010011111100101101000000000000 =
+1C
+1J
+#815500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#816000000
+1"
+b0000010011111111110111010000000000 *
+1-
+12
+b0000000000000011001010110000000000 4
+b0000000000000011001010110000000000 6
+17
+b0000000000000011001010110000000000 8
+b0000010011111111110111010000000000 9
+b0000000000000011001010100000000000 :
+b0000010011111111110111010000000000 ;
+1<
+b0000010011111111110111010000000000 =
+1C
+1J
+#816500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#817000000
+1"
+b0000010100000011000001110000000000 *
+1-
+12
+b0000000000000011001011000000000000 4
+b0000000000000011001011000000000000 6
+17
+b0000000000000011001011000000000000 8
+b0000010100000011000001110000000000 9
+b0000000000000011001010110000000000 :
+b0000010100000011000001110000000000 ;
+1<
+b0000010100000011000001110000000000 =
+1C
+1J
+#817500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#818000000
+1"
+b0000010100000110001100100000000000 *
+1-
+12
+b0000000000000011001011010000000000 4
+b0000000000000011001011010000000000 6
+17
+b0000000000000011001011010000000000 8
+b0000010100000110001100100000000000 9
+b0000000000000011001011000000000000 :
+b0000010100000110001100100000000000 ;
+1<
+b0000010100000110001100100000000000 =
+1C
+1J
+#818500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#819000000
+1"
+b0000010100001001010111100000000000 *
+1-
+12
+b0000000000000011001011100000000000 4
+b0000000000000011001011100000000000 6
+17
+b0000000000000011001011100000000000 8
+b0000010100001001010111100000000000 9
+b0000000000000011001011010000000000 :
+b0000010100001001010111100000000000 ;
+1<
+b0000010100001001010111100000000000 =
+1C
+1J
+#819500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#820000000
+1"
+b0000010100001100100010110000000000 *
+1-
+12
+b0000000000000011001011110000000000 4
+b0000000000000011001011110000000000 6
+17
+b0000000000000011001011110000000000 8
+b0000010100001100100010110000000000 9
+b0000000000000011001011100000000000 :
+b0000010100001100100010110000000000 ;
+1<
+b0000010100001100100010110000000000 =
+1C
+1J
+#820500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#821000000
+1"
+b0000010100001111101110010000000000 *
+1-
+12
+b0000000000000011001100000000000000 4
+b0000000000000011001100000000000000 6
+17
+b0000000000000011001100000000000000 8
+b0000010100001111101110010000000000 9
+b0000000000000011001011110000000000 :
+b0000010100001111101110010000000000 ;
+1<
+b0000010100001111101110010000000000 =
+1C
+1J
+#821500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#822000000
+1"
+b0000010100010010111010000000000000 *
+1-
+12
+b0000000000000011001100010000000000 4
+b0000000000000011001100010000000000 6
+17
+b0000000000000011001100010000000000 8
+b0000010100010010111010000000000000 9
+b0000000000000011001100000000000000 :
+b0000010100010010111010000000000000 ;
+1<
+b0000010100010010111010000000000000 =
+1C
+1J
+#822500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#823000000
+1"
+b0000010100010110000110000000000000 *
+1-
+12
+b0000000000000011001100100000000000 4
+b0000000000000011001100100000000000 6
+17
+b0000000000000011001100100000000000 8
+b0000010100010110000110000000000000 9
+b0000000000000011001100010000000000 :
+b0000010100010110000110000000000000 ;
+1<
+b0000010100010110000110000000000000 =
+1C
+1J
+#823500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#824000000
+1"
+b0000010100011001010010010000000000 *
+1-
+12
+b0000000000000011001100110000000000 4
+b0000000000000011001100110000000000 6
+17
+b0000000000000011001100110000000000 8
+b0000010100011001010010010000000000 9
+b0000000000000011001100100000000000 :
+b0000010100011001010010010000000000 ;
+1<
+b0000010100011001010010010000000000 =
+1C
+1J
+#824500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#825000000
+1"
+b0000010100011100011110110000000000 *
+1-
+12
+b0000000000000011001101000000000000 4
+b0000000000000011001101000000000000 6
+17
+b0000000000000011001101000000000000 8
+b0000010100011100011110110000000000 9
+b0000000000000011001100110000000000 :
+b0000010100011100011110110000000000 ;
+1<
+b0000010100011100011110110000000000 =
+1C
+1J
+#825500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#826000000
+1"
+b0000010100011111101011100000000000 *
+1-
+12
+b0000000000000011001101010000000000 4
+b0000000000000011001101010000000000 6
+17
+b0000000000000011001101010000000000 8
+b0000010100011111101011100000000000 9
+b0000000000000011001101000000000000 :
+b0000010100011111101011100000000000 ;
+1<
+b0000010100011111101011100000000000 =
+1C
+1J
+#826500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#827000000
+1"
+b0000010100100010111000100000000000 *
+1-
+12
+b0000000000000011001101100000000000 4
+b0000000000000011001101100000000000 6
+17
+b0000000000000011001101100000000000 8
+b0000010100100010111000100000000000 9
+b0000000000000011001101010000000000 :
+b0000010100100010111000100000000000 ;
+1<
+b0000010100100010111000100000000000 =
+1C
+1J
+#827500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#828000000
+1"
+b0000010100100110000101110000000000 *
+1-
+12
+b0000000000000011001101110000000000 4
+b0000000000000011001101110000000000 6
+17
+b0000000000000011001101110000000000 8
+b0000010100100110000101110000000000 9
+b0000000000000011001101100000000000 :
+b0000010100100110000101110000000000 ;
+1<
+b0000010100100110000101110000000000 =
+1C
+1J
+#828500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#829000000
+1"
+b0000010100101001010011010000000000 *
+1-
+12
+b0000000000000011001110000000000000 4
+b0000000000000011001110000000000000 6
+17
+b0000000000000011001110000000000000 8
+b0000010100101001010011010000000000 9
+b0000000000000011001101110000000000 :
+b0000010100101001010011010000000000 ;
+1<
+b0000010100101001010011010000000000 =
+1C
+1J
+#829500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#830000000
+1"
+b0000010100101100100001000000000000 *
+1-
+12
+b0000000000000011001110010000000000 4
+b0000000000000011001110010000000000 6
+17
+b0000000000000011001110010000000000 8
+b0000010100101100100001000000000000 9
+b0000000000000011001110000000000000 :
+b0000010100101100100001000000000000 ;
+1<
+b0000010100101100100001000000000000 =
+1C
+1J
+#830500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#831000000
+1"
+b0000010100101111101111000000000000 *
+1-
+12
+b0000000000000011001110100000000000 4
+b0000000000000011001110100000000000 6
+17
+b0000000000000011001110100000000000 8
+b0000010100101111101111000000000000 9
+b0000000000000011001110010000000000 :
+b0000010100101111101111000000000000 ;
+1<
+b0000010100101111101111000000000000 =
+1C
+1J
+#831500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#832000000
+1"
+b0000010100110010111101010000000000 *
+1-
+12
+b0000000000000011001110110000000000 4
+b0000000000000011001110110000000000 6
+17
+b0000000000000011001110110000000000 8
+b0000010100110010111101010000000000 9
+b0000000000000011001110100000000000 :
+b0000010100110010111101010000000000 ;
+1<
+b0000010100110010111101010000000000 =
+1C
+1J
+#832500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#833000000
+1"
+1'
+b0000010100110110001011110000000000 *
+1+
+1-
+12
+b0000000000000011001111000000000000 4
+b0000000000000011001111000000000000 6
+17
+b0000000000000011001111000000000000 8
+b0000010100110110001011110000000000 9
+b0000000000000011001110110000000000 :
+b0000010100110110001011110000000000 ;
+1<
+b0000010100110110001011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#833500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#834000000
+1"
+0'
+b0000010100111001011010100000000000 *
+0+
+1-
+12
+b0000000000000011001111010000000000 4
+b0000000000000011001111010000000000 6
+17
+b0000000000000011001111010000000000 8
+b0000010100111001011010100000000000 9
+b0000000000000011001111000000000000 :
+b0000010100111001011010100000000000 ;
+1<
+b0000010100111001011010100000000000 =
+b0000000011000110101000000000000000 >
+0?
+b0000010100110110001011110000000000 A
+b0000000011000110101000000000000000 B
+1C
+b0000000011000110101000000000000000 D
+0F
+b0000000010110110101000000000000000 H
+1J
+0M
+#834500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#835000000
+1"
+b0000010100111100101001100000000000 *
+1-
+12
+b0000000000000011001111100000000000 4
+b0000000000000011001111100000000000 6
+17
+b0000000000000011001111100000000000 8
+b0000010100111100101001100000000000 9
+b0000000000000011001111010000000000 :
+b0000010100111100101001100000000000 ;
+1<
+b0000010100111100101001100000000000 =
+1C
+1J
+#835500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#836000000
+1"
+b0000010100111111111000110000000000 *
+1-
+12
+b0000000000000011001111110000000000 4
+b0000000000000011001111110000000000 6
+17
+b0000000000000011001111110000000000 8
+b0000010100111111111000110000000000 9
+b0000000000000011001111100000000000 :
+b0000010100111111111000110000000000 ;
+1<
+b0000010100111111111000110000000000 =
+1C
+1J
+#836500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#837000000
+1"
+b0000010101000011001000010000000000 *
+1-
+12
+b0000000000000011010000000000000000 4
+b0000000000000011010000000000000000 6
+17
+b0000000000000011010000000000000000 8
+b0000010101000011001000010000000000 9
+b0000000000000011001111110000000000 :
+b0000010101000011001000010000000000 ;
+1<
+b0000010101000011001000010000000000 =
+1C
+1J
+#837500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#838000000
+1"
+b0000010101000110011000000000000000 *
+1-
+12
+b0000000000000011010000010000000000 4
+b0000000000000011010000010000000000 6
+17
+b0000000000000011010000010000000000 8
+b0000010101000110011000000000000000 9
+b0000000000000011010000000000000000 :
+b0000010101000110011000000000000000 ;
+1<
+b0000010101000110011000000000000000 =
+1C
+1J
+#838500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#839000000
+1"
+b0000010101001001101000000000000000 *
+1-
+12
+b0000000000000011010000100000000000 4
+b0000000000000011010000100000000000 6
+17
+b0000000000000011010000100000000000 8
+b0000010101001001101000000000000000 9
+b0000000000000011010000010000000000 :
+b0000010101001001101000000000000000 ;
+1<
+b0000010101001001101000000000000000 =
+1C
+1J
+#839500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#840000000
+1"
+b0000010101001100111000010000000000 *
+1-
+12
+b0000000000000011010000110000000000 4
+b0000000000000011010000110000000000 6
+17
+b0000000000000011010000110000000000 8
+b0000010101001100111000010000000000 9
+b0000000000000011010000100000000000 :
+b0000010101001100111000010000000000 ;
+1<
+b0000010101001100111000010000000000 =
+1C
+1J
+#840500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#841000000
+1"
+b0000010101010000001000110000000000 *
+1-
+12
+b0000000000000011010001000000000000 4
+b0000000000000011010001000000000000 6
+17
+b0000000000000011010001000000000000 8
+b0000010101010000001000110000000000 9
+b0000000000000011010000110000000000 :
+b0000010101010000001000110000000000 ;
+1<
+b0000010101010000001000110000000000 =
+1C
+1J
+#841500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#842000000
+1"
+b0000010101010011011001100000000000 *
+1-
+12
+b0000000000000011010001010000000000 4
+b0000000000000011010001010000000000 6
+17
+b0000000000000011010001010000000000 8
+b0000010101010011011001100000000000 9
+b0000000000000011010001000000000000 :
+b0000010101010011011001100000000000 ;
+1<
+b0000010101010011011001100000000000 =
+1C
+1J
+#842500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#843000000
+1"
+b0000010101010110101010100000000000 *
+1-
+12
+b0000000000000011010001100000000000 4
+b0000000000000011010001100000000000 6
+17
+b0000000000000011010001100000000000 8
+b0000010101010110101010100000000000 9
+b0000000000000011010001010000000000 :
+b0000010101010110101010100000000000 ;
+1<
+b0000010101010110101010100000000000 =
+1C
+1J
+#843500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#844000000
+1"
+b0000010101011001111011110000000000 *
+1-
+12
+b0000000000000011010001110000000000 4
+b0000000000000011010001110000000000 6
+17
+b0000000000000011010001110000000000 8
+b0000010101011001111011110000000000 9
+b0000000000000011010001100000000000 :
+b0000010101011001111011110000000000 ;
+1<
+b0000010101011001111011110000000000 =
+1C
+1J
+#844500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#845000000
+1"
+b0000010101011101001101010000000000 *
+1-
+12
+b0000000000000011010010000000000000 4
+b0000000000000011010010000000000000 6
+17
+b0000000000000011010010000000000000 8
+b0000010101011101001101010000000000 9
+b0000000000000011010001110000000000 :
+b0000010101011101001101010000000000 ;
+1<
+b0000010101011101001101010000000000 =
+1C
+1J
+#845500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#846000000
+1"
+b0000010101100000011111000000000000 *
+1-
+12
+b0000000000000011010010010000000000 4
+b0000000000000011010010010000000000 6
+17
+b0000000000000011010010010000000000 8
+b0000010101100000011111000000000000 9
+b0000000000000011010010000000000000 :
+b0000010101100000011111000000000000 ;
+1<
+b0000010101100000011111000000000000 =
+1C
+1J
+#846500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#847000000
+1"
+b0000010101100011110001000000000000 *
+1-
+12
+b0000000000000011010010100000000000 4
+b0000000000000011010010100000000000 6
+17
+b0000000000000011010010100000000000 8
+b0000010101100011110001000000000000 9
+b0000000000000011010010010000000000 :
+b0000010101100011110001000000000000 ;
+1<
+b0000010101100011110001000000000000 =
+1C
+1J
+#847500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#848000000
+1"
+b0000010101100111000011010000000000 *
+1-
+12
+b0000000000000011010010110000000000 4
+b0000000000000011010010110000000000 6
+17
+b0000000000000011010010110000000000 8
+b0000010101100111000011010000000000 9
+b0000000000000011010010100000000000 :
+b0000010101100111000011010000000000 ;
+1<
+b0000010101100111000011010000000000 =
+1C
+1J
+#848500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#849000000
+1"
+b0000010101101010010101110000000000 *
+1-
+12
+b0000000000000011010011000000000000 4
+b0000000000000011010011000000000000 6
+17
+b0000000000000011010011000000000000 8
+b0000010101101010010101110000000000 9
+b0000000000000011010010110000000000 :
+b0000010101101010010101110000000000 ;
+1<
+b0000010101101010010101110000000000 =
+1C
+1J
+#849500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#850000000
+1"
+b0000010101101101101000100000000000 *
+1-
+12
+b0000000000000011010011010000000000 4
+b0000000000000011010011010000000000 6
+17
+b0000000000000011010011010000000000 8
+b0000010101101101101000100000000000 9
+b0000000000000011010011000000000000 :
+b0000010101101101101000100000000000 ;
+1<
+b0000010101101101101000100000000000 =
+1C
+1J
+#850500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#851000000
+1"
+b0000010101110000111011100000000000 *
+1-
+12
+b0000000000000011010011100000000000 4
+b0000000000000011010011100000000000 6
+17
+b0000000000000011010011100000000000 8
+b0000010101110000111011100000000000 9
+b0000000000000011010011010000000000 :
+b0000010101110000111011100000000000 ;
+1<
+b0000010101110000111011100000000000 =
+1C
+1J
+#851500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#852000000
+1"
+b0000010101110100001110110000000000 *
+1-
+12
+b0000000000000011010011110000000000 4
+b0000000000000011010011110000000000 6
+17
+b0000000000000011010011110000000000 8
+b0000010101110100001110110000000000 9
+b0000000000000011010011100000000000 :
+b0000010101110100001110110000000000 ;
+1<
+b0000010101110100001110110000000000 =
+1C
+1J
+#852500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#853000000
+1"
+b0000010101110111100010010000000000 *
+1-
+12
+b0000000000000011010100000000000000 4
+b0000000000000011010100000000000000 6
+17
+b0000000000000011010100000000000000 8
+b0000010101110111100010010000000000 9
+b0000000000000011010011110000000000 :
+b0000010101110111100010010000000000 ;
+1<
+b0000010101110111100010010000000000 =
+1C
+1J
+#853500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#854000000
+1"
+b0000010101111010110110000000000000 *
+1-
+12
+b0000000000000011010100010000000000 4
+b0000000000000011010100010000000000 6
+17
+b0000000000000011010100010000000000 8
+b0000010101111010110110000000000000 9
+b0000000000000011010100000000000000 :
+b0000010101111010110110000000000000 ;
+1<
+b0000010101111010110110000000000000 =
+1C
+1J
+#854500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#855000000
+1"
+b0000010101111110001010000000000000 *
+1-
+12
+b0000000000000011010100100000000000 4
+b0000000000000011010100100000000000 6
+17
+b0000000000000011010100100000000000 8
+b0000010101111110001010000000000000 9
+b0000000000000011010100010000000000 :
+b0000010101111110001010000000000000 ;
+1<
+b0000010101111110001010000000000000 =
+1C
+1J
+#855500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#856000000
+1"
+b0000010110000001011110010000000000 *
+1-
+12
+b0000000000000011010100110000000000 4
+b0000000000000011010100110000000000 6
+17
+b0000000000000011010100110000000000 8
+b0000010110000001011110010000000000 9
+b0000000000000011010100100000000000 :
+b0000010110000001011110010000000000 ;
+1<
+b0000010110000001011110010000000000 =
+1C
+1J
+#856500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#857000000
+1"
+b0000010110000100110010110000000000 *
+1-
+12
+b0000000000000011010101000000000000 4
+b0000000000000011010101000000000000 6
+17
+b0000000000000011010101000000000000 8
+b0000010110000100110010110000000000 9
+b0000000000000011010100110000000000 :
+b0000010110000100110010110000000000 ;
+1<
+b0000010110000100110010110000000000 =
+1C
+1J
+#857500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#858000000
+1"
+b0000010110001000000111100000000000 *
+1-
+12
+b0000000000000011010101010000000000 4
+b0000000000000011010101010000000000 6
+17
+b0000000000000011010101010000000000 8
+b0000010110001000000111100000000000 9
+b0000000000000011010101000000000000 :
+b0000010110001000000111100000000000 ;
+1<
+b0000010110001000000111100000000000 =
+1C
+1J
+#858500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#859000000
+1"
+b0000010110001011011100100000000000 *
+1-
+12
+b0000000000000011010101100000000000 4
+b0000000000000011010101100000000000 6
+17
+b0000000000000011010101100000000000 8
+b0000010110001011011100100000000000 9
+b0000000000000011010101010000000000 :
+b0000010110001011011100100000000000 ;
+1<
+b0000010110001011011100100000000000 =
+1C
+1J
+#859500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#860000000
+1"
+b0000010110001110110001110000000000 *
+1-
+12
+b0000000000000011010101110000000000 4
+b0000000000000011010101110000000000 6
+17
+b0000000000000011010101110000000000 8
+b0000010110001110110001110000000000 9
+b0000000000000011010101100000000000 :
+b0000010110001110110001110000000000 ;
+1<
+b0000010110001110110001110000000000 =
+1C
+1J
+#860500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#861000000
+1"
+b0000010110010010000111010000000000 *
+1-
+12
+b0000000000000011010110000000000000 4
+b0000000000000011010110000000000000 6
+17
+b0000000000000011010110000000000000 8
+b0000010110010010000111010000000000 9
+b0000000000000011010101110000000000 :
+b0000010110010010000111010000000000 ;
+1<
+b0000010110010010000111010000000000 =
+1C
+1J
+#861500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#862000000
+1"
+b0000010110010101011101000000000000 *
+1-
+12
+b0000000000000011010110010000000000 4
+b0000000000000011010110010000000000 6
+17
+b0000000000000011010110010000000000 8
+b0000010110010101011101000000000000 9
+b0000000000000011010110000000000000 :
+b0000010110010101011101000000000000 ;
+1<
+b0000010110010101011101000000000000 =
+1C
+1J
+#862500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#863000000
+1"
+b0000010110011000110011000000000000 *
+1-
+12
+b0000000000000011010110100000000000 4
+b0000000000000011010110100000000000 6
+17
+b0000000000000011010110100000000000 8
+b0000010110011000110011000000000000 9
+b0000000000000011010110010000000000 :
+b0000010110011000110011000000000000 ;
+1<
+b0000010110011000110011000000000000 =
+1C
+1J
+#863500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#864000000
+1"
+b0000010110011100001001010000000000 *
+1-
+12
+b0000000000000011010110110000000000 4
+b0000000000000011010110110000000000 6
+17
+b0000000000000011010110110000000000 8
+b0000010110011100001001010000000000 9
+b0000000000000011010110100000000000 :
+b0000010110011100001001010000000000 ;
+1<
+b0000010110011100001001010000000000 =
+1C
+1J
+#864500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#865000000
+1"
+b0000010110011111011111110000000000 *
+1-
+12
+b0000000000000011010111000000000000 4
+b0000000000000011010111000000000000 6
+17
+b0000000000000011010111000000000000 8
+b0000010110011111011111110000000000 9
+b0000000000000011010110110000000000 :
+b0000010110011111011111110000000000 ;
+1<
+b0000010110011111011111110000000000 =
+1C
+1J
+#865500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#866000000
+1"
+b0000010110100010110110100000000000 *
+1-
+12
+b0000000000000011010111010000000000 4
+b0000000000000011010111010000000000 6
+17
+b0000000000000011010111010000000000 8
+b0000010110100010110110100000000000 9
+b0000000000000011010111000000000000 :
+b0000010110100010110110100000000000 ;
+1<
+b0000010110100010110110100000000000 =
+1C
+1J
+#866500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#867000000
+1"
+b0000010110100110001101100000000000 *
+1-
+12
+b0000000000000011010111100000000000 4
+b0000000000000011010111100000000000 6
+17
+b0000000000000011010111100000000000 8
+b0000010110100110001101100000000000 9
+b0000000000000011010111010000000000 :
+b0000010110100110001101100000000000 ;
+1<
+b0000010110100110001101100000000000 =
+1C
+1J
+#867500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#868000000
+1"
+b0000010110101001100100110000000000 *
+1-
+12
+b0000000000000011010111110000000000 4
+b0000000000000011010111110000000000 6
+17
+b0000000000000011010111110000000000 8
+b0000010110101001100100110000000000 9
+b0000000000000011010111100000000000 :
+b0000010110101001100100110000000000 ;
+1<
+b0000010110101001100100110000000000 =
+1C
+1J
+#868500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#869000000
+1"
+b0000010110101100111100010000000000 *
+1-
+12
+b0000000000000011011000000000000000 4
+b0000000000000011011000000000000000 6
+17
+b0000000000000011011000000000000000 8
+b0000010110101100111100010000000000 9
+b0000000000000011010111110000000000 :
+b0000010110101100111100010000000000 ;
+1<
+b0000010110101100111100010000000000 =
+1C
+1J
+#869500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#870000000
+1"
+b0000010110110000010100000000000000 *
+1-
+12
+b0000000000000011011000010000000000 4
+b0000000000000011011000010000000000 6
+17
+b0000000000000011011000010000000000 8
+b0000010110110000010100000000000000 9
+b0000000000000011011000000000000000 :
+b0000010110110000010100000000000000 ;
+1<
+b0000010110110000010100000000000000 =
+1C
+1J
+#870500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#871000000
+1"
+b0000010110110011101100000000000000 *
+1-
+12
+b0000000000000011011000100000000000 4
+b0000000000000011011000100000000000 6
+17
+b0000000000000011011000100000000000 8
+b0000010110110011101100000000000000 9
+b0000000000000011011000010000000000 :
+b0000010110110011101100000000000000 ;
+1<
+b0000010110110011101100000000000000 =
+1C
+1J
+#871500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#872000000
+1"
+b0000010110110111000100010000000000 *
+1-
+12
+b0000000000000011011000110000000000 4
+b0000000000000011011000110000000000 6
+17
+b0000000000000011011000110000000000 8
+b0000010110110111000100010000000000 9
+b0000000000000011011000100000000000 :
+b0000010110110111000100010000000000 ;
+1<
+b0000010110110111000100010000000000 =
+1C
+1J
+#872500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#873000000
+1"
+b0000010110111010011100110000000000 *
+1-
+12
+b0000000000000011011001000000000000 4
+b0000000000000011011001000000000000 6
+17
+b0000000000000011011001000000000000 8
+b0000010110111010011100110000000000 9
+b0000000000000011011000110000000000 :
+b0000010110111010011100110000000000 ;
+1<
+b0000010110111010011100110000000000 =
+1C
+1J
+#873500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#874000000
+1"
+b0000010110111101110101100000000000 *
+1-
+12
+b0000000000000011011001010000000000 4
+b0000000000000011011001010000000000 6
+17
+b0000000000000011011001010000000000 8
+b0000010110111101110101100000000000 9
+b0000000000000011011001000000000000 :
+b0000010110111101110101100000000000 ;
+1<
+b0000010110111101110101100000000000 =
+1C
+1J
+#874500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#875000000
+1"
+b0000010111000001001110100000000000 *
+1-
+12
+b0000000000000011011001100000000000 4
+b0000000000000011011001100000000000 6
+17
+b0000000000000011011001100000000000 8
+b0000010111000001001110100000000000 9
+b0000000000000011011001010000000000 :
+b0000010111000001001110100000000000 ;
+1<
+b0000010111000001001110100000000000 =
+1C
+1J
+#875500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#876000000
+1"
+b0000010111000100100111110000000000 *
+1-
+12
+b0000000000000011011001110000000000 4
+b0000000000000011011001110000000000 6
+17
+b0000000000000011011001110000000000 8
+b0000010111000100100111110000000000 9
+b0000000000000011011001100000000000 :
+b0000010111000100100111110000000000 ;
+1<
+b0000010111000100100111110000000000 =
+1C
+1J
+#876500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#877000000
+1"
+b0000010111001000000001010000000000 *
+1-
+12
+b0000000000000011011010000000000000 4
+b0000000000000011011010000000000000 6
+17
+b0000000000000011011010000000000000 8
+b0000010111001000000001010000000000 9
+b0000000000000011011001110000000000 :
+b0000010111001000000001010000000000 ;
+1<
+b0000010111001000000001010000000000 =
+1C
+1J
+#877500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#878000000
+1"
+b0000010111001011011011000000000000 *
+1-
+12
+b0000000000000011011010010000000000 4
+b0000000000000011011010010000000000 6
+17
+b0000000000000011011010010000000000 8
+b0000010111001011011011000000000000 9
+b0000000000000011011010000000000000 :
+b0000010111001011011011000000000000 ;
+1<
+b0000010111001011011011000000000000 =
+1C
+1J
+#878500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#879000000
+1"
+b0000010111001110110101000000000000 *
+1-
+12
+b0000000000000011011010100000000000 4
+b0000000000000011011010100000000000 6
+17
+b0000000000000011011010100000000000 8
+b0000010111001110110101000000000000 9
+b0000000000000011011010010000000000 :
+b0000010111001110110101000000000000 ;
+1<
+b0000010111001110110101000000000000 =
+1C
+1J
+#879500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#880000000
+1"
+b0000010111010010001111010000000000 *
+1-
+12
+b0000000000000011011010110000000000 4
+b0000000000000011011010110000000000 6
+17
+b0000000000000011011010110000000000 8
+b0000010111010010001111010000000000 9
+b0000000000000011011010100000000000 :
+b0000010111010010001111010000000000 ;
+1<
+b0000010111010010001111010000000000 =
+1C
+1J
+#880500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#881000000
+1"
+b0000010111010101101001110000000000 *
+1-
+12
+b0000000000000011011011000000000000 4
+b0000000000000011011011000000000000 6
+17
+b0000000000000011011011000000000000 8
+b0000010111010101101001110000000000 9
+b0000000000000011011010110000000000 :
+b0000010111010101101001110000000000 ;
+1<
+b0000010111010101101001110000000000 =
+1C
+1J
+#881500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#882000000
+1"
+b0000010111011001000100100000000000 *
+1-
+12
+b0000000000000011011011010000000000 4
+b0000000000000011011011010000000000 6
+17
+b0000000000000011011011010000000000 8
+b0000010111011001000100100000000000 9
+b0000000000000011011011000000000000 :
+b0000010111011001000100100000000000 ;
+1<
+b0000010111011001000100100000000000 =
+1C
+1J
+#882500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#883000000
+1"
+b0000010111011100011111100000000000 *
+1-
+12
+b0000000000000011011011100000000000 4
+b0000000000000011011011100000000000 6
+17
+b0000000000000011011011100000000000 8
+b0000010111011100011111100000000000 9
+b0000000000000011011011010000000000 :
+b0000010111011100011111100000000000 ;
+1<
+b0000010111011100011111100000000000 =
+1C
+1J
+#883500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#884000000
+1"
+b0000010111011111111010110000000000 *
+1-
+12
+b0000000000000011011011110000000000 4
+b0000000000000011011011110000000000 6
+17
+b0000000000000011011011110000000000 8
+b0000010111011111111010110000000000 9
+b0000000000000011011011100000000000 :
+b0000010111011111111010110000000000 ;
+1<
+b0000010111011111111010110000000000 =
+1C
+1J
+#884500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#885000000
+1"
+b0000010111100011010110010000000000 *
+1-
+12
+b0000000000000011011100000000000000 4
+b0000000000000011011100000000000000 6
+17
+b0000000000000011011100000000000000 8
+b0000010111100011010110010000000000 9
+b0000000000000011011011110000000000 :
+b0000010111100011010110010000000000 ;
+1<
+b0000010111100011010110010000000000 =
+1C
+1J
+#885500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#886000000
+1"
+b0000010111100110110010000000000000 *
+1-
+12
+b0000000000000011011100010000000000 4
+b0000000000000011011100010000000000 6
+17
+b0000000000000011011100010000000000 8
+b0000010111100110110010000000000000 9
+b0000000000000011011100000000000000 :
+b0000010111100110110010000000000000 ;
+1<
+b0000010111100110110010000000000000 =
+1C
+1J
+#886500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#887000000
+1"
+b0000010111101010001110000000000000 *
+1-
+12
+b0000000000000011011100100000000000 4
+b0000000000000011011100100000000000 6
+17
+b0000000000000011011100100000000000 8
+b0000010111101010001110000000000000 9
+b0000000000000011011100010000000000 :
+b0000010111101010001110000000000000 ;
+1<
+b0000010111101010001110000000000000 =
+1C
+1J
+#887500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#888000000
+1"
+b0000010111101101101010010000000000 *
+1-
+12
+b0000000000000011011100110000000000 4
+b0000000000000011011100110000000000 6
+17
+b0000000000000011011100110000000000 8
+b0000010111101101101010010000000000 9
+b0000000000000011011100100000000000 :
+b0000010111101101101010010000000000 ;
+1<
+b0000010111101101101010010000000000 =
+1C
+1J
+#888500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#889000000
+1"
+b0000010111110001000110110000000000 *
+1-
+12
+b0000000000000011011101000000000000 4
+b0000000000000011011101000000000000 6
+17
+b0000000000000011011101000000000000 8
+b0000010111110001000110110000000000 9
+b0000000000000011011100110000000000 :
+b0000010111110001000110110000000000 ;
+1<
+b0000010111110001000110110000000000 =
+1C
+1J
+#889500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#890000000
+1"
+b0000010111110100100011100000000000 *
+1-
+12
+b0000000000000011011101010000000000 4
+b0000000000000011011101010000000000 6
+17
+b0000000000000011011101010000000000 8
+b0000010111110100100011100000000000 9
+b0000000000000011011101000000000000 :
+b0000010111110100100011100000000000 ;
+1<
+b0000010111110100100011100000000000 =
+1C
+1J
+#890500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#891000000
+1"
+b0000010111111000000000100000000000 *
+1-
+12
+b0000000000000011011101100000000000 4
+b0000000000000011011101100000000000 6
+17
+b0000000000000011011101100000000000 8
+b0000010111111000000000100000000000 9
+b0000000000000011011101010000000000 :
+b0000010111111000000000100000000000 ;
+1<
+b0000010111111000000000100000000000 =
+1C
+1J
+#891500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#892000000
+1"
+b0000010111111011011101110000000000 *
+1-
+12
+b0000000000000011011101110000000000 4
+b0000000000000011011101110000000000 6
+17
+b0000000000000011011101110000000000 8
+b0000010111111011011101110000000000 9
+b0000000000000011011101100000000000 :
+b0000010111111011011101110000000000 ;
+1<
+b0000010111111011011101110000000000 =
+1C
+1J
+#892500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#893000000
+1"
+b0000010111111110111011010000000000 *
+1-
+12
+b0000000000000011011110000000000000 4
+b0000000000000011011110000000000000 6
+17
+b0000000000000011011110000000000000 8
+b0000010111111110111011010000000000 9
+b0000000000000011011101110000000000 :
+b0000010111111110111011010000000000 ;
+1<
+b0000010111111110111011010000000000 =
+1C
+1J
+#893500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#894000000
+1"
+b0000011000000010011001000000000000 *
+1-
+12
+b0000000000000011011110010000000000 4
+b0000000000000011011110010000000000 6
+17
+b0000000000000011011110010000000000 8
+b0000011000000010011001000000000000 9
+b0000000000000011011110000000000000 :
+b0000011000000010011001000000000000 ;
+1<
+b0000011000000010011001000000000000 =
+1C
+1J
+#894500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#895000000
+1"
+b0000011000000101110111000000000000 *
+1-
+12
+b0000000000000011011110100000000000 4
+b0000000000000011011110100000000000 6
+17
+b0000000000000011011110100000000000 8
+b0000011000000101110111000000000000 9
+b0000000000000011011110010000000000 :
+b0000011000000101110111000000000000 ;
+1<
+b0000011000000101110111000000000000 =
+1C
+1J
+#895500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#896000000
+1"
+b0000011000001001010101010000000000 *
+1-
+12
+b0000000000000011011110110000000000 4
+b0000000000000011011110110000000000 6
+17
+b0000000000000011011110110000000000 8
+b0000011000001001010101010000000000 9
+b0000000000000011011110100000000000 :
+b0000011000001001010101010000000000 ;
+1<
+b0000011000001001010101010000000000 =
+1C
+1J
+#896500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#897000000
+1"
+1'
+b0000011000001100110011110000000000 *
+1+
+1-
+12
+b0000000000000011011111000000000000 4
+b0000000000000011011111000000000000 6
+17
+b0000000000000011011111000000000000 8
+b0000011000001100110011110000000000 9
+b0000000000000011011110110000000000 :
+b0000011000001100110011110000000000 ;
+1<
+b0000011000001100110011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#897500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#898000000
+1"
+0'
+b0000011000010000010010100000000000 *
+0+
+1-
+12
+b0000000000000011011111010000000000 4
+b0000000000000011011111010000000000 6
+17
+b0000000000000011011111010000000000 8
+b0000011000010000010010100000000000 9
+b0000000000000011011111000000000000 :
+b0000011000010000010010100000000000 ;
+1<
+b0000011000010000010010100000000000 =
+b0000000011010110101000000000000000 >
+0?
+b0000011000001100110011110000000000 A
+b0000000011010110101000000000000000 B
+1C
+b0000000011010110101000000000000000 D
+0F
+b0000000011000110101000000000000000 H
+1J
+0M
+#898500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#899000000
+1"
+b0000011000010011110001100000000000 *
+1-
+12
+b0000000000000011011111100000000000 4
+b0000000000000011011111100000000000 6
+17
+b0000000000000011011111100000000000 8
+b0000011000010011110001100000000000 9
+b0000000000000011011111010000000000 :
+b0000011000010011110001100000000000 ;
+1<
+b0000011000010011110001100000000000 =
+1C
+1J
+#899500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#900000000
+1"
+b0000011000010111010000110000000000 *
+1-
+12
+b0000000000000011011111110000000000 4
+b0000000000000011011111110000000000 6
+17
+b0000000000000011011111110000000000 8
+b0000011000010111010000110000000000 9
+b0000000000000011011111100000000000 :
+b0000011000010111010000110000000000 ;
+1<
+b0000011000010111010000110000000000 =
+1C
+1J
+#900500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#901000000
+1"
+b0000011000011010110000010000000000 *
+1-
+12
+b0000000000000011100000000000000000 4
+b0000000000000011100000000000000000 6
+17
+b0000000000000011100000000000000000 8
+b0000011000011010110000010000000000 9
+b0000000000000011011111110000000000 :
+b0000011000011010110000010000000000 ;
+1<
+b0000011000011010110000010000000000 =
+1C
+1J
+#901500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#902000000
+1"
+b0000011000011110010000000000000000 *
+1-
+12
+b0000000000000011100000010000000000 4
+b0000000000000011100000010000000000 6
+17
+b0000000000000011100000010000000000 8
+b0000011000011110010000000000000000 9
+b0000000000000011100000000000000000 :
+b0000011000011110010000000000000000 ;
+1<
+b0000011000011110010000000000000000 =
+1C
+1J
+#902500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#903000000
+1"
+b0000011000100001110000000000000000 *
+1-
+12
+b0000000000000011100000100000000000 4
+b0000000000000011100000100000000000 6
+17
+b0000000000000011100000100000000000 8
+b0000011000100001110000000000000000 9
+b0000000000000011100000010000000000 :
+b0000011000100001110000000000000000 ;
+1<
+b0000011000100001110000000000000000 =
+1C
+1J
+#903500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#904000000
+1"
+b0000011000100101010000010000000000 *
+1-
+12
+b0000000000000011100000110000000000 4
+b0000000000000011100000110000000000 6
+17
+b0000000000000011100000110000000000 8
+b0000011000100101010000010000000000 9
+b0000000000000011100000100000000000 :
+b0000011000100101010000010000000000 ;
+1<
+b0000011000100101010000010000000000 =
+1C
+1J
+#904500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#905000000
+1"
+b0000011000101000110000110000000000 *
+1-
+12
+b0000000000000011100001000000000000 4
+b0000000000000011100001000000000000 6
+17
+b0000000000000011100001000000000000 8
+b0000011000101000110000110000000000 9
+b0000000000000011100000110000000000 :
+b0000011000101000110000110000000000 ;
+1<
+b0000011000101000110000110000000000 =
+1C
+1J
+#905500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#906000000
+1"
+b0000011000101100010001100000000000 *
+1-
+12
+b0000000000000011100001010000000000 4
+b0000000000000011100001010000000000 6
+17
+b0000000000000011100001010000000000 8
+b0000011000101100010001100000000000 9
+b0000000000000011100001000000000000 :
+b0000011000101100010001100000000000 ;
+1<
+b0000011000101100010001100000000000 =
+1C
+1J
+#906500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#907000000
+1"
+b0000011000101111110010100000000000 *
+1-
+12
+b0000000000000011100001100000000000 4
+b0000000000000011100001100000000000 6
+17
+b0000000000000011100001100000000000 8
+b0000011000101111110010100000000000 9
+b0000000000000011100001010000000000 :
+b0000011000101111110010100000000000 ;
+1<
+b0000011000101111110010100000000000 =
+1C
+1J
+#907500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#908000000
+1"
+b0000011000110011010011110000000000 *
+1-
+12
+b0000000000000011100001110000000000 4
+b0000000000000011100001110000000000 6
+17
+b0000000000000011100001110000000000 8
+b0000011000110011010011110000000000 9
+b0000000000000011100001100000000000 :
+b0000011000110011010011110000000000 ;
+1<
+b0000011000110011010011110000000000 =
+1C
+1J
+#908500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#909000000
+1"
+b0000011000110110110101010000000000 *
+1-
+12
+b0000000000000011100010000000000000 4
+b0000000000000011100010000000000000 6
+17
+b0000000000000011100010000000000000 8
+b0000011000110110110101010000000000 9
+b0000000000000011100001110000000000 :
+b0000011000110110110101010000000000 ;
+1<
+b0000011000110110110101010000000000 =
+1C
+1J
+#909500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#910000000
+1"
+b0000011000111010010111000000000000 *
+1-
+12
+b0000000000000011100010010000000000 4
+b0000000000000011100010010000000000 6
+17
+b0000000000000011100010010000000000 8
+b0000011000111010010111000000000000 9
+b0000000000000011100010000000000000 :
+b0000011000111010010111000000000000 ;
+1<
+b0000011000111010010111000000000000 =
+1C
+1J
+#910500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#911000000
+1"
+b0000011000111101111001000000000000 *
+1-
+12
+b0000000000000011100010100000000000 4
+b0000000000000011100010100000000000 6
+17
+b0000000000000011100010100000000000 8
+b0000011000111101111001000000000000 9
+b0000000000000011100010010000000000 :
+b0000011000111101111001000000000000 ;
+1<
+b0000011000111101111001000000000000 =
+1C
+1J
+#911500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#912000000
+1"
+b0000011001000001011011010000000000 *
+1-
+12
+b0000000000000011100010110000000000 4
+b0000000000000011100010110000000000 6
+17
+b0000000000000011100010110000000000 8
+b0000011001000001011011010000000000 9
+b0000000000000011100010100000000000 :
+b0000011001000001011011010000000000 ;
+1<
+b0000011001000001011011010000000000 =
+1C
+1J
+#912500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#913000000
+1"
+b0000011001000100111101110000000000 *
+1-
+12
+b0000000000000011100011000000000000 4
+b0000000000000011100011000000000000 6
+17
+b0000000000000011100011000000000000 8
+b0000011001000100111101110000000000 9
+b0000000000000011100010110000000000 :
+b0000011001000100111101110000000000 ;
+1<
+b0000011001000100111101110000000000 =
+1C
+1J
+#913500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#914000000
+1"
+b0000011001001000100000100000000000 *
+1-
+12
+b0000000000000011100011010000000000 4
+b0000000000000011100011010000000000 6
+17
+b0000000000000011100011010000000000 8
+b0000011001001000100000100000000000 9
+b0000000000000011100011000000000000 :
+b0000011001001000100000100000000000 ;
+1<
+b0000011001001000100000100000000000 =
+1C
+1J
+#914500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#915000000
+1"
+b0000011001001100000011100000000000 *
+1-
+12
+b0000000000000011100011100000000000 4
+b0000000000000011100011100000000000 6
+17
+b0000000000000011100011100000000000 8
+b0000011001001100000011100000000000 9
+b0000000000000011100011010000000000 :
+b0000011001001100000011100000000000 ;
+1<
+b0000011001001100000011100000000000 =
+1C
+1J
+#915500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#916000000
+1"
+b0000011001001111100110110000000000 *
+1-
+12
+b0000000000000011100011110000000000 4
+b0000000000000011100011110000000000 6
+17
+b0000000000000011100011110000000000 8
+b0000011001001111100110110000000000 9
+b0000000000000011100011100000000000 :
+b0000011001001111100110110000000000 ;
+1<
+b0000011001001111100110110000000000 =
+1C
+1J
+#916500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#917000000
+1"
+b0000011001010011001010010000000000 *
+1-
+12
+b0000000000000011100100000000000000 4
+b0000000000000011100100000000000000 6
+17
+b0000000000000011100100000000000000 8
+b0000011001010011001010010000000000 9
+b0000000000000011100011110000000000 :
+b0000011001010011001010010000000000 ;
+1<
+b0000011001010011001010010000000000 =
+1C
+1J
+#917500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#918000000
+1"
+b0000011001010110101110000000000000 *
+1-
+12
+b0000000000000011100100010000000000 4
+b0000000000000011100100010000000000 6
+17
+b0000000000000011100100010000000000 8
+b0000011001010110101110000000000000 9
+b0000000000000011100100000000000000 :
+b0000011001010110101110000000000000 ;
+1<
+b0000011001010110101110000000000000 =
+1C
+1J
+#918500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#919000000
+1"
+b0000011001011010010010000000000000 *
+1-
+12
+b0000000000000011100100100000000000 4
+b0000000000000011100100100000000000 6
+17
+b0000000000000011100100100000000000 8
+b0000011001011010010010000000000000 9
+b0000000000000011100100010000000000 :
+b0000011001011010010010000000000000 ;
+1<
+b0000011001011010010010000000000000 =
+1C
+1J
+#919500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#920000000
+1"
+b0000011001011101110110010000000000 *
+1-
+12
+b0000000000000011100100110000000000 4
+b0000000000000011100100110000000000 6
+17
+b0000000000000011100100110000000000 8
+b0000011001011101110110010000000000 9
+b0000000000000011100100100000000000 :
+b0000011001011101110110010000000000 ;
+1<
+b0000011001011101110110010000000000 =
+1C
+1J
+#920500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#921000000
+1"
+b0000011001100001011010110000000000 *
+1-
+12
+b0000000000000011100101000000000000 4
+b0000000000000011100101000000000000 6
+17
+b0000000000000011100101000000000000 8
+b0000011001100001011010110000000000 9
+b0000000000000011100100110000000000 :
+b0000011001100001011010110000000000 ;
+1<
+b0000011001100001011010110000000000 =
+1C
+1J
+#921500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#922000000
+1"
+b0000011001100100111111100000000000 *
+1-
+12
+b0000000000000011100101010000000000 4
+b0000000000000011100101010000000000 6
+17
+b0000000000000011100101010000000000 8
+b0000011001100100111111100000000000 9
+b0000000000000011100101000000000000 :
+b0000011001100100111111100000000000 ;
+1<
+b0000011001100100111111100000000000 =
+1C
+1J
+#922500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#923000000
+1"
+b0000011001101000100100100000000000 *
+1-
+12
+b0000000000000011100101100000000000 4
+b0000000000000011100101100000000000 6
+17
+b0000000000000011100101100000000000 8
+b0000011001101000100100100000000000 9
+b0000000000000011100101010000000000 :
+b0000011001101000100100100000000000 ;
+1<
+b0000011001101000100100100000000000 =
+1C
+1J
+#923500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#924000000
+1"
+b0000011001101100001001110000000000 *
+1-
+12
+b0000000000000011100101110000000000 4
+b0000000000000011100101110000000000 6
+17
+b0000000000000011100101110000000000 8
+b0000011001101100001001110000000000 9
+b0000000000000011100101100000000000 :
+b0000011001101100001001110000000000 ;
+1<
+b0000011001101100001001110000000000 =
+1C
+1J
+#924500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#925000000
+1"
+b0000011001101111101111010000000000 *
+1-
+12
+b0000000000000011100110000000000000 4
+b0000000000000011100110000000000000 6
+17
+b0000000000000011100110000000000000 8
+b0000011001101111101111010000000000 9
+b0000000000000011100101110000000000 :
+b0000011001101111101111010000000000 ;
+1<
+b0000011001101111101111010000000000 =
+1C
+1J
+#925500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#926000000
+1"
+b0000011001110011010101000000000000 *
+1-
+12
+b0000000000000011100110010000000000 4
+b0000000000000011100110010000000000 6
+17
+b0000000000000011100110010000000000 8
+b0000011001110011010101000000000000 9
+b0000000000000011100110000000000000 :
+b0000011001110011010101000000000000 ;
+1<
+b0000011001110011010101000000000000 =
+1C
+1J
+#926500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#927000000
+1"
+b0000011001110110111011000000000000 *
+1-
+12
+b0000000000000011100110100000000000 4
+b0000000000000011100110100000000000 6
+17
+b0000000000000011100110100000000000 8
+b0000011001110110111011000000000000 9
+b0000000000000011100110010000000000 :
+b0000011001110110111011000000000000 ;
+1<
+b0000011001110110111011000000000000 =
+1C
+1J
+#927500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#928000000
+1"
+b0000011001111010100001010000000000 *
+1-
+12
+b0000000000000011100110110000000000 4
+b0000000000000011100110110000000000 6
+17
+b0000000000000011100110110000000000 8
+b0000011001111010100001010000000000 9
+b0000000000000011100110100000000000 :
+b0000011001111010100001010000000000 ;
+1<
+b0000011001111010100001010000000000 =
+1C
+1J
+#928500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#929000000
+1"
+b0000011001111110000111110000000000 *
+1-
+12
+b0000000000000011100111000000000000 4
+b0000000000000011100111000000000000 6
+17
+b0000000000000011100111000000000000 8
+b0000011001111110000111110000000000 9
+b0000000000000011100110110000000000 :
+b0000011001111110000111110000000000 ;
+1<
+b0000011001111110000111110000000000 =
+1C
+1J
+#929500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#930000000
+1"
+b0000011010000001101110100000000000 *
+1-
+12
+b0000000000000011100111010000000000 4
+b0000000000000011100111010000000000 6
+17
+b0000000000000011100111010000000000 8
+b0000011010000001101110100000000000 9
+b0000000000000011100111000000000000 :
+b0000011010000001101110100000000000 ;
+1<
+b0000011010000001101110100000000000 =
+1C
+1J
+#930500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#931000000
+1"
+b0000011010000101010101100000000000 *
+1-
+12
+b0000000000000011100111100000000000 4
+b0000000000000011100111100000000000 6
+17
+b0000000000000011100111100000000000 8
+b0000011010000101010101100000000000 9
+b0000000000000011100111010000000000 :
+b0000011010000101010101100000000000 ;
+1<
+b0000011010000101010101100000000000 =
+1C
+1J
+#931500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#932000000
+1"
+b0000011010001000111100110000000000 *
+1-
+12
+b0000000000000011100111110000000000 4
+b0000000000000011100111110000000000 6
+17
+b0000000000000011100111110000000000 8
+b0000011010001000111100110000000000 9
+b0000000000000011100111100000000000 :
+b0000011010001000111100110000000000 ;
+1<
+b0000011010001000111100110000000000 =
+1C
+1J
+#932500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#933000000
+1"
+b0000011010001100100100010000000000 *
+1-
+12
+b0000000000000011101000000000000000 4
+b0000000000000011101000000000000000 6
+17
+b0000000000000011101000000000000000 8
+b0000011010001100100100010000000000 9
+b0000000000000011100111110000000000 :
+b0000011010001100100100010000000000 ;
+1<
+b0000011010001100100100010000000000 =
+1C
+1J
+#933500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#934000000
+1"
+b0000011010010000001100000000000000 *
+1-
+12
+b0000000000000011101000010000000000 4
+b0000000000000011101000010000000000 6
+17
+b0000000000000011101000010000000000 8
+b0000011010010000001100000000000000 9
+b0000000000000011101000000000000000 :
+b0000011010010000001100000000000000 ;
+1<
+b0000011010010000001100000000000000 =
+1C
+1J
+#934500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#935000000
+1"
+b0000011010010011110100000000000000 *
+1-
+12
+b0000000000000011101000100000000000 4
+b0000000000000011101000100000000000 6
+17
+b0000000000000011101000100000000000 8
+b0000011010010011110100000000000000 9
+b0000000000000011101000010000000000 :
+b0000011010010011110100000000000000 ;
+1<
+b0000011010010011110100000000000000 =
+1C
+1J
+#935500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#936000000
+1"
+b0000011010010111011100010000000000 *
+1-
+12
+b0000000000000011101000110000000000 4
+b0000000000000011101000110000000000 6
+17
+b0000000000000011101000110000000000 8
+b0000011010010111011100010000000000 9
+b0000000000000011101000100000000000 :
+b0000011010010111011100010000000000 ;
+1<
+b0000011010010111011100010000000000 =
+1C
+1J
+#936500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#937000000
+1"
+b0000011010011011000100110000000000 *
+1-
+12
+b0000000000000011101001000000000000 4
+b0000000000000011101001000000000000 6
+17
+b0000000000000011101001000000000000 8
+b0000011010011011000100110000000000 9
+b0000000000000011101000110000000000 :
+b0000011010011011000100110000000000 ;
+1<
+b0000011010011011000100110000000000 =
+1C
+1J
+#937500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#938000000
+1"
+b0000011010011110101101100000000000 *
+1-
+12
+b0000000000000011101001010000000000 4
+b0000000000000011101001010000000000 6
+17
+b0000000000000011101001010000000000 8
+b0000011010011110101101100000000000 9
+b0000000000000011101001000000000000 :
+b0000011010011110101101100000000000 ;
+1<
+b0000011010011110101101100000000000 =
+1C
+1J
+#938500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#939000000
+1"
+b0000011010100010010110100000000000 *
+1-
+12
+b0000000000000011101001100000000000 4
+b0000000000000011101001100000000000 6
+17
+b0000000000000011101001100000000000 8
+b0000011010100010010110100000000000 9
+b0000000000000011101001010000000000 :
+b0000011010100010010110100000000000 ;
+1<
+b0000011010100010010110100000000000 =
+1C
+1J
+#939500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#940000000
+1"
+b0000011010100101111111110000000000 *
+1-
+12
+b0000000000000011101001110000000000 4
+b0000000000000011101001110000000000 6
+17
+b0000000000000011101001110000000000 8
+b0000011010100101111111110000000000 9
+b0000000000000011101001100000000000 :
+b0000011010100101111111110000000000 ;
+1<
+b0000011010100101111111110000000000 =
+1C
+1J
+#940500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#941000000
+1"
+b0000011010101001101001010000000000 *
+1-
+12
+b0000000000000011101010000000000000 4
+b0000000000000011101010000000000000 6
+17
+b0000000000000011101010000000000000 8
+b0000011010101001101001010000000000 9
+b0000000000000011101001110000000000 :
+b0000011010101001101001010000000000 ;
+1<
+b0000011010101001101001010000000000 =
+1C
+1J
+#941500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#942000000
+1"
+b0000011010101101010011000000000000 *
+1-
+12
+b0000000000000011101010010000000000 4
+b0000000000000011101010010000000000 6
+17
+b0000000000000011101010010000000000 8
+b0000011010101101010011000000000000 9
+b0000000000000011101010000000000000 :
+b0000011010101101010011000000000000 ;
+1<
+b0000011010101101010011000000000000 =
+1C
+1J
+#942500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#943000000
+1"
+b0000011010110000111101000000000000 *
+1-
+12
+b0000000000000011101010100000000000 4
+b0000000000000011101010100000000000 6
+17
+b0000000000000011101010100000000000 8
+b0000011010110000111101000000000000 9
+b0000000000000011101010010000000000 :
+b0000011010110000111101000000000000 ;
+1<
+b0000011010110000111101000000000000 =
+1C
+1J
+#943500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#944000000
+1"
+b0000011010110100100111010000000000 *
+1-
+12
+b0000000000000011101010110000000000 4
+b0000000000000011101010110000000000 6
+17
+b0000000000000011101010110000000000 8
+b0000011010110100100111010000000000 9
+b0000000000000011101010100000000000 :
+b0000011010110100100111010000000000 ;
+1<
+b0000011010110100100111010000000000 =
+1C
+1J
+#944500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#945000000
+1"
+b0000011010111000010001110000000000 *
+1-
+12
+b0000000000000011101011000000000000 4
+b0000000000000011101011000000000000 6
+17
+b0000000000000011101011000000000000 8
+b0000011010111000010001110000000000 9
+b0000000000000011101010110000000000 :
+b0000011010111000010001110000000000 ;
+1<
+b0000011010111000010001110000000000 =
+1C
+1J
+#945500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#946000000
+1"
+b0000011010111011111100100000000000 *
+1-
+12
+b0000000000000011101011010000000000 4
+b0000000000000011101011010000000000 6
+17
+b0000000000000011101011010000000000 8
+b0000011010111011111100100000000000 9
+b0000000000000011101011000000000000 :
+b0000011010111011111100100000000000 ;
+1<
+b0000011010111011111100100000000000 =
+1C
+1J
+#946500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#947000000
+1"
+b0000011010111111100111100000000000 *
+1-
+12
+b0000000000000011101011100000000000 4
+b0000000000000011101011100000000000 6
+17
+b0000000000000011101011100000000000 8
+b0000011010111111100111100000000000 9
+b0000000000000011101011010000000000 :
+b0000011010111111100111100000000000 ;
+1<
+b0000011010111111100111100000000000 =
+1C
+1J
+#947500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#948000000
+1"
+b0000011011000011010010110000000000 *
+1-
+12
+b0000000000000011101011110000000000 4
+b0000000000000011101011110000000000 6
+17
+b0000000000000011101011110000000000 8
+b0000011011000011010010110000000000 9
+b0000000000000011101011100000000000 :
+b0000011011000011010010110000000000 ;
+1<
+b0000011011000011010010110000000000 =
+1C
+1J
+#948500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#949000000
+1"
+b0000011011000110111110010000000000 *
+1-
+12
+b0000000000000011101100000000000000 4
+b0000000000000011101100000000000000 6
+17
+b0000000000000011101100000000000000 8
+b0000011011000110111110010000000000 9
+b0000000000000011101011110000000000 :
+b0000011011000110111110010000000000 ;
+1<
+b0000011011000110111110010000000000 =
+1C
+1J
+#949500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#950000000
+1"
+b0000011011001010101010000000000000 *
+1-
+12
+b0000000000000011101100010000000000 4
+b0000000000000011101100010000000000 6
+17
+b0000000000000011101100010000000000 8
+b0000011011001010101010000000000000 9
+b0000000000000011101100000000000000 :
+b0000011011001010101010000000000000 ;
+1<
+b0000011011001010101010000000000000 =
+1C
+1J
+#950500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#951000000
+1"
+b0000011011001110010110000000000000 *
+1-
+12
+b0000000000000011101100100000000000 4
+b0000000000000011101100100000000000 6
+17
+b0000000000000011101100100000000000 8
+b0000011011001110010110000000000000 9
+b0000000000000011101100010000000000 :
+b0000011011001110010110000000000000 ;
+1<
+b0000011011001110010110000000000000 =
+1C
+1J
+#951500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#952000000
+1"
+b0000011011010010000010010000000000 *
+1-
+12
+b0000000000000011101100110000000000 4
+b0000000000000011101100110000000000 6
+17
+b0000000000000011101100110000000000 8
+b0000011011010010000010010000000000 9
+b0000000000000011101100100000000000 :
+b0000011011010010000010010000000000 ;
+1<
+b0000011011010010000010010000000000 =
+1C
+1J
+#952500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#953000000
+1"
+b0000011011010101101110110000000000 *
+1-
+12
+b0000000000000011101101000000000000 4
+b0000000000000011101101000000000000 6
+17
+b0000000000000011101101000000000000 8
+b0000011011010101101110110000000000 9
+b0000000000000011101100110000000000 :
+b0000011011010101101110110000000000 ;
+1<
+b0000011011010101101110110000000000 =
+1C
+1J
+#953500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#954000000
+1"
+b0000011011011001011011100000000000 *
+1-
+12
+b0000000000000011101101010000000000 4
+b0000000000000011101101010000000000 6
+17
+b0000000000000011101101010000000000 8
+b0000011011011001011011100000000000 9
+b0000000000000011101101000000000000 :
+b0000011011011001011011100000000000 ;
+1<
+b0000011011011001011011100000000000 =
+1C
+1J
+#954500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#955000000
+1"
+b0000011011011101001000100000000000 *
+1-
+12
+b0000000000000011101101100000000000 4
+b0000000000000011101101100000000000 6
+17
+b0000000000000011101101100000000000 8
+b0000011011011101001000100000000000 9
+b0000000000000011101101010000000000 :
+b0000011011011101001000100000000000 ;
+1<
+b0000011011011101001000100000000000 =
+1C
+1J
+#955500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#956000000
+1"
+b0000011011100000110101110000000000 *
+1-
+12
+b0000000000000011101101110000000000 4
+b0000000000000011101101110000000000 6
+17
+b0000000000000011101101110000000000 8
+b0000011011100000110101110000000000 9
+b0000000000000011101101100000000000 :
+b0000011011100000110101110000000000 ;
+1<
+b0000011011100000110101110000000000 =
+1C
+1J
+#956500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#957000000
+1"
+b0000011011100100100011010000000000 *
+1-
+12
+b0000000000000011101110000000000000 4
+b0000000000000011101110000000000000 6
+17
+b0000000000000011101110000000000000 8
+b0000011011100100100011010000000000 9
+b0000000000000011101101110000000000 :
+b0000011011100100100011010000000000 ;
+1<
+b0000011011100100100011010000000000 =
+1C
+1J
+#957500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#958000000
+1"
+b0000011011101000010001000000000000 *
+1-
+12
+b0000000000000011101110010000000000 4
+b0000000000000011101110010000000000 6
+17
+b0000000000000011101110010000000000 8
+b0000011011101000010001000000000000 9
+b0000000000000011101110000000000000 :
+b0000011011101000010001000000000000 ;
+1<
+b0000011011101000010001000000000000 =
+1C
+1J
+#958500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#959000000
+1"
+b0000011011101011111111000000000000 *
+1-
+12
+b0000000000000011101110100000000000 4
+b0000000000000011101110100000000000 6
+17
+b0000000000000011101110100000000000 8
+b0000011011101011111111000000000000 9
+b0000000000000011101110010000000000 :
+b0000011011101011111111000000000000 ;
+1<
+b0000011011101011111111000000000000 =
+1C
+1J
+#959500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#960000000
+1"
+b0000011011101111101101010000000000 *
+1-
+12
+b0000000000000011101110110000000000 4
+b0000000000000011101110110000000000 6
+17
+b0000000000000011101110110000000000 8
+b0000011011101111101101010000000000 9
+b0000000000000011101110100000000000 :
+b0000011011101111101101010000000000 ;
+1<
+b0000011011101111101101010000000000 =
+1C
+1J
+#960500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#961000000
+1"
+1'
+b0000011011110011011011110000000000 *
+1+
+1-
+12
+b0000000000000011101111000000000000 4
+b0000000000000011101111000000000000 6
+17
+b0000000000000011101111000000000000 8
+b0000011011110011011011110000000000 9
+b0000000000000011101110110000000000 :
+b0000011011110011011011110000000000 ;
+1<
+b0000011011110011011011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#961500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#962000000
+1"
+0'
+b0000011011110111001010100000000000 *
+0+
+1-
+12
+b0000000000000011101111010000000000 4
+b0000000000000011101111010000000000 6
+17
+b0000000000000011101111010000000000 8
+b0000011011110111001010100000000000 9
+b0000000000000011101111000000000000 :
+b0000011011110111001010100000000000 ;
+1<
+b0000011011110111001010100000000000 =
+b0000000011100110101000000000000000 >
+0?
+b0000011011110011011011110000000000 A
+b0000000011100110101000000000000000 B
+1C
+b0000000011100110101000000000000000 D
+0F
+b0000000011010110101000000000000000 H
+1J
+0M
+#962500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#963000000
+1"
+b0000011011111010111001100000000000 *
+1-
+12
+b0000000000000011101111100000000000 4
+b0000000000000011101111100000000000 6
+17
+b0000000000000011101111100000000000 8
+b0000011011111010111001100000000000 9
+b0000000000000011101111010000000000 :
+b0000011011111010111001100000000000 ;
+1<
+b0000011011111010111001100000000000 =
+1C
+1J
+#963500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#964000000
+1"
+b0000011011111110101000110000000000 *
+1-
+12
+b0000000000000011101111110000000000 4
+b0000000000000011101111110000000000 6
+17
+b0000000000000011101111110000000000 8
+b0000011011111110101000110000000000 9
+b0000000000000011101111100000000000 :
+b0000011011111110101000110000000000 ;
+1<
+b0000011011111110101000110000000000 =
+1C
+1J
+#964500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#965000000
+1"
+b0000011100000010011000010000000000 *
+1-
+12
+b0000000000000011110000000000000000 4
+b0000000000000011110000000000000000 6
+17
+b0000000000000011110000000000000000 8
+b0000011100000010011000010000000000 9
+b0000000000000011101111110000000000 :
+b0000011100000010011000010000000000 ;
+1<
+b0000011100000010011000010000000000 =
+1C
+1J
+#965500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#966000000
+1"
+b0000011100000110001000000000000000 *
+1-
+12
+b0000000000000011110000010000000000 4
+b0000000000000011110000010000000000 6
+17
+b0000000000000011110000010000000000 8
+b0000011100000110001000000000000000 9
+b0000000000000011110000000000000000 :
+b0000011100000110001000000000000000 ;
+1<
+b0000011100000110001000000000000000 =
+1C
+1J
+#966500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#967000000
+1"
+b0000011100001001111000000000000000 *
+1-
+12
+b0000000000000011110000100000000000 4
+b0000000000000011110000100000000000 6
+17
+b0000000000000011110000100000000000 8
+b0000011100001001111000000000000000 9
+b0000000000000011110000010000000000 :
+b0000011100001001111000000000000000 ;
+1<
+b0000011100001001111000000000000000 =
+1C
+1J
+#967500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#968000000
+1"
+b0000011100001101101000010000000000 *
+1-
+12
+b0000000000000011110000110000000000 4
+b0000000000000011110000110000000000 6
+17
+b0000000000000011110000110000000000 8
+b0000011100001101101000010000000000 9
+b0000000000000011110000100000000000 :
+b0000011100001101101000010000000000 ;
+1<
+b0000011100001101101000010000000000 =
+1C
+1J
+#968500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#969000000
+1"
+b0000011100010001011000110000000000 *
+1-
+12
+b0000000000000011110001000000000000 4
+b0000000000000011110001000000000000 6
+17
+b0000000000000011110001000000000000 8
+b0000011100010001011000110000000000 9
+b0000000000000011110000110000000000 :
+b0000011100010001011000110000000000 ;
+1<
+b0000011100010001011000110000000000 =
+1C
+1J
+#969500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#970000000
+1"
+b0000011100010101001001100000000000 *
+1-
+12
+b0000000000000011110001010000000000 4
+b0000000000000011110001010000000000 6
+17
+b0000000000000011110001010000000000 8
+b0000011100010101001001100000000000 9
+b0000000000000011110001000000000000 :
+b0000011100010101001001100000000000 ;
+1<
+b0000011100010101001001100000000000 =
+1C
+1J
+#970500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#971000000
+1"
+b0000011100011000111010100000000000 *
+1-
+12
+b0000000000000011110001100000000000 4
+b0000000000000011110001100000000000 6
+17
+b0000000000000011110001100000000000 8
+b0000011100011000111010100000000000 9
+b0000000000000011110001010000000000 :
+b0000011100011000111010100000000000 ;
+1<
+b0000011100011000111010100000000000 =
+1C
+1J
+#971500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#972000000
+1"
+b0000011100011100101011110000000000 *
+1-
+12
+b0000000000000011110001110000000000 4
+b0000000000000011110001110000000000 6
+17
+b0000000000000011110001110000000000 8
+b0000011100011100101011110000000000 9
+b0000000000000011110001100000000000 :
+b0000011100011100101011110000000000 ;
+1<
+b0000011100011100101011110000000000 =
+1C
+1J
+#972500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#973000000
+1"
+b0000011100100000011101010000000000 *
+1-
+12
+b0000000000000011110010000000000000 4
+b0000000000000011110010000000000000 6
+17
+b0000000000000011110010000000000000 8
+b0000011100100000011101010000000000 9
+b0000000000000011110001110000000000 :
+b0000011100100000011101010000000000 ;
+1<
+b0000011100100000011101010000000000 =
+1C
+1J
+#973500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#974000000
+1"
+b0000011100100100001111000000000000 *
+1-
+12
+b0000000000000011110010010000000000 4
+b0000000000000011110010010000000000 6
+17
+b0000000000000011110010010000000000 8
+b0000011100100100001111000000000000 9
+b0000000000000011110010000000000000 :
+b0000011100100100001111000000000000 ;
+1<
+b0000011100100100001111000000000000 =
+1C
+1J
+#974500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#975000000
+1"
+b0000011100101000000001000000000000 *
+1-
+12
+b0000000000000011110010100000000000 4
+b0000000000000011110010100000000000 6
+17
+b0000000000000011110010100000000000 8
+b0000011100101000000001000000000000 9
+b0000000000000011110010010000000000 :
+b0000011100101000000001000000000000 ;
+1<
+b0000011100101000000001000000000000 =
+1C
+1J
+#975500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#976000000
+1"
+b0000011100101011110011010000000000 *
+1-
+12
+b0000000000000011110010110000000000 4
+b0000000000000011110010110000000000 6
+17
+b0000000000000011110010110000000000 8
+b0000011100101011110011010000000000 9
+b0000000000000011110010100000000000 :
+b0000011100101011110011010000000000 ;
+1<
+b0000011100101011110011010000000000 =
+1C
+1J
+#976500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#977000000
+1"
+b0000011100101111100101110000000000 *
+1-
+12
+b0000000000000011110011000000000000 4
+b0000000000000011110011000000000000 6
+17
+b0000000000000011110011000000000000 8
+b0000011100101111100101110000000000 9
+b0000000000000011110010110000000000 :
+b0000011100101111100101110000000000 ;
+1<
+b0000011100101111100101110000000000 =
+1C
+1J
+#977500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#978000000
+1"
+b0000011100110011011000100000000000 *
+1-
+12
+b0000000000000011110011010000000000 4
+b0000000000000011110011010000000000 6
+17
+b0000000000000011110011010000000000 8
+b0000011100110011011000100000000000 9
+b0000000000000011110011000000000000 :
+b0000011100110011011000100000000000 ;
+1<
+b0000011100110011011000100000000000 =
+1C
+1J
+#978500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#979000000
+1"
+b0000011100110111001011100000000000 *
+1-
+12
+b0000000000000011110011100000000000 4
+b0000000000000011110011100000000000 6
+17
+b0000000000000011110011100000000000 8
+b0000011100110111001011100000000000 9
+b0000000000000011110011010000000000 :
+b0000011100110111001011100000000000 ;
+1<
+b0000011100110111001011100000000000 =
+1C
+1J
+#979500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#980000000
+1"
+b0000011100111010111110110000000000 *
+1-
+12
+b0000000000000011110011110000000000 4
+b0000000000000011110011110000000000 6
+17
+b0000000000000011110011110000000000 8
+b0000011100111010111110110000000000 9
+b0000000000000011110011100000000000 :
+b0000011100111010111110110000000000 ;
+1<
+b0000011100111010111110110000000000 =
+1C
+1J
+#980500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#981000000
+1"
+b0000011100111110110010010000000000 *
+1-
+12
+b0000000000000011110100000000000000 4
+b0000000000000011110100000000000000 6
+17
+b0000000000000011110100000000000000 8
+b0000011100111110110010010000000000 9
+b0000000000000011110011110000000000 :
+b0000011100111110110010010000000000 ;
+1<
+b0000011100111110110010010000000000 =
+1C
+1J
+#981500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#982000000
+1"
+b0000011101000010100110000000000000 *
+1-
+12
+b0000000000000011110100010000000000 4
+b0000000000000011110100010000000000 6
+17
+b0000000000000011110100010000000000 8
+b0000011101000010100110000000000000 9
+b0000000000000011110100000000000000 :
+b0000011101000010100110000000000000 ;
+1<
+b0000011101000010100110000000000000 =
+1C
+1J
+#982500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#983000000
+1"
+b0000011101000110011010000000000000 *
+1-
+12
+b0000000000000011110100100000000000 4
+b0000000000000011110100100000000000 6
+17
+b0000000000000011110100100000000000 8
+b0000011101000110011010000000000000 9
+b0000000000000011110100010000000000 :
+b0000011101000110011010000000000000 ;
+1<
+b0000011101000110011010000000000000 =
+1C
+1J
+#983500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#984000000
+1"
+b0000011101001010001110010000000000 *
+1-
+12
+b0000000000000011110100110000000000 4
+b0000000000000011110100110000000000 6
+17
+b0000000000000011110100110000000000 8
+b0000011101001010001110010000000000 9
+b0000000000000011110100100000000000 :
+b0000011101001010001110010000000000 ;
+1<
+b0000011101001010001110010000000000 =
+1C
+1J
+#984500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#985000000
+1"
+b0000011101001110000010110000000000 *
+1-
+12
+b0000000000000011110101000000000000 4
+b0000000000000011110101000000000000 6
+17
+b0000000000000011110101000000000000 8
+b0000011101001110000010110000000000 9
+b0000000000000011110100110000000000 :
+b0000011101001110000010110000000000 ;
+1<
+b0000011101001110000010110000000000 =
+1C
+1J
+#985500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#986000000
+1"
+b0000011101010001110111100000000000 *
+1-
+12
+b0000000000000011110101010000000000 4
+b0000000000000011110101010000000000 6
+17
+b0000000000000011110101010000000000 8
+b0000011101010001110111100000000000 9
+b0000000000000011110101000000000000 :
+b0000011101010001110111100000000000 ;
+1<
+b0000011101010001110111100000000000 =
+1C
+1J
+#986500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#987000000
+1"
+b0000011101010101101100100000000000 *
+1-
+12
+b0000000000000011110101100000000000 4
+b0000000000000011110101100000000000 6
+17
+b0000000000000011110101100000000000 8
+b0000011101010101101100100000000000 9
+b0000000000000011110101010000000000 :
+b0000011101010101101100100000000000 ;
+1<
+b0000011101010101101100100000000000 =
+1C
+1J
+#987500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#988000000
+1"
+b0000011101011001100001110000000000 *
+1-
+12
+b0000000000000011110101110000000000 4
+b0000000000000011110101110000000000 6
+17
+b0000000000000011110101110000000000 8
+b0000011101011001100001110000000000 9
+b0000000000000011110101100000000000 :
+b0000011101011001100001110000000000 ;
+1<
+b0000011101011001100001110000000000 =
+1C
+1J
+#988500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#989000000
+1"
+b0000011101011101010111010000000000 *
+1-
+12
+b0000000000000011110110000000000000 4
+b0000000000000011110110000000000000 6
+17
+b0000000000000011110110000000000000 8
+b0000011101011101010111010000000000 9
+b0000000000000011110101110000000000 :
+b0000011101011101010111010000000000 ;
+1<
+b0000011101011101010111010000000000 =
+1C
+1J
+#989500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#990000000
+1"
+b0000011101100001001101000000000000 *
+1-
+12
+b0000000000000011110110010000000000 4
+b0000000000000011110110010000000000 6
+17
+b0000000000000011110110010000000000 8
+b0000011101100001001101000000000000 9
+b0000000000000011110110000000000000 :
+b0000011101100001001101000000000000 ;
+1<
+b0000011101100001001101000000000000 =
+1C
+1J
+#990500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#991000000
+1"
+b0000011101100101000011000000000000 *
+1-
+12
+b0000000000000011110110100000000000 4
+b0000000000000011110110100000000000 6
+17
+b0000000000000011110110100000000000 8
+b0000011101100101000011000000000000 9
+b0000000000000011110110010000000000 :
+b0000011101100101000011000000000000 ;
+1<
+b0000011101100101000011000000000000 =
+1C
+1J
+#991500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#992000000
+1"
+b0000011101101000111001010000000000 *
+1-
+12
+b0000000000000011110110110000000000 4
+b0000000000000011110110110000000000 6
+17
+b0000000000000011110110110000000000 8
+b0000011101101000111001010000000000 9
+b0000000000000011110110100000000000 :
+b0000011101101000111001010000000000 ;
+1<
+b0000011101101000111001010000000000 =
+1C
+1J
+#992500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#993000000
+1"
+b0000011101101100101111110000000000 *
+1-
+12
+b0000000000000011110111000000000000 4
+b0000000000000011110111000000000000 6
+17
+b0000000000000011110111000000000000 8
+b0000011101101100101111110000000000 9
+b0000000000000011110110110000000000 :
+b0000011101101100101111110000000000 ;
+1<
+b0000011101101100101111110000000000 =
+1C
+1J
+#993500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#994000000
+1"
+b0000011101110000100110100000000000 *
+1-
+12
+b0000000000000011110111010000000000 4
+b0000000000000011110111010000000000 6
+17
+b0000000000000011110111010000000000 8
+b0000011101110000100110100000000000 9
+b0000000000000011110111000000000000 :
+b0000011101110000100110100000000000 ;
+1<
+b0000011101110000100110100000000000 =
+1C
+1J
+#994500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#995000000
+1"
+b0000011101110100011101100000000000 *
+1-
+12
+b0000000000000011110111100000000000 4
+b0000000000000011110111100000000000 6
+17
+b0000000000000011110111100000000000 8
+b0000011101110100011101100000000000 9
+b0000000000000011110111010000000000 :
+b0000011101110100011101100000000000 ;
+1<
+b0000011101110100011101100000000000 =
+1C
+1J
+#995500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#996000000
+1"
+b0000011101111000010100110000000000 *
+1-
+12
+b0000000000000011110111110000000000 4
+b0000000000000011110111110000000000 6
+17
+b0000000000000011110111110000000000 8
+b0000011101111000010100110000000000 9
+b0000000000000011110111100000000000 :
+b0000011101111000010100110000000000 ;
+1<
+b0000011101111000010100110000000000 =
+1C
+1J
+#996500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#997000000
+1"
+b0000011101111100001100010000000000 *
+1-
+12
+b0000000000000011111000000000000000 4
+b0000000000000011111000000000000000 6
+17
+b0000000000000011111000000000000000 8
+b0000011101111100001100010000000000 9
+b0000000000000011110111110000000000 :
+b0000011101111100001100010000000000 ;
+1<
+b0000011101111100001100010000000000 =
+1C
+1J
+#997500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#998000000
+1"
+b0000011110000000000100000000000000 *
+1-
+12
+b0000000000000011111000010000000000 4
+b0000000000000011111000010000000000 6
+17
+b0000000000000011111000010000000000 8
+b0000011110000000000100000000000000 9
+b0000000000000011111000000000000000 :
+b0000011110000000000100000000000000 ;
+1<
+b0000011110000000000100000000000000 =
+1C
+1J
+#998500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#999000000
+1"
+b0000011110000011111100000000000000 *
+1-
+12
+b0000000000000011111000100000000000 4
+b0000000000000011111000100000000000 6
+17
+b0000000000000011111000100000000000 8
+b0000011110000011111100000000000000 9
+b0000000000000011111000010000000000 :
+b0000011110000011111100000000000000 ;
+1<
+b0000011110000011111100000000000000 =
+1C
+1J
+#999500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1000000000
+1"
+b0000011110000111110100010000000000 *
+1-
+12
+b0000000000000011111000110000000000 4
+b0000000000000011111000110000000000 6
+17
+b0000000000000011111000110000000000 8
+b0000011110000111110100010000000000 9
+b0000000000000011111000100000000000 :
+b0000011110000111110100010000000000 ;
+1<
+b0000011110000111110100010000000000 =
+1C
+1J
+#1000500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1001000000
+1"
+b0000011110001011101100110000000000 *
+1-
+12
+b0000000000000011111001000000000000 4
+b0000000000000011111001000000000000 6
+17
+b0000000000000011111001000000000000 8
+b0000011110001011101100110000000000 9
+b0000000000000011111000110000000000 :
+b0000011110001011101100110000000000 ;
+1<
+b0000011110001011101100110000000000 =
+1C
+1J
+#1001500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1002000000
+1"
+b0000011110001111100101100000000000 *
+1-
+12
+b0000000000000011111001010000000000 4
+b0000000000000011111001010000000000 6
+17
+b0000000000000011111001010000000000 8
+b0000011110001111100101100000000000 9
+b0000000000000011111001000000000000 :
+b0000011110001111100101100000000000 ;
+1<
+b0000011110001111100101100000000000 =
+1C
+1J
+#1002500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1003000000
+1"
+b0000011110010011011110100000000000 *
+1-
+12
+b0000000000000011111001100000000000 4
+b0000000000000011111001100000000000 6
+17
+b0000000000000011111001100000000000 8
+b0000011110010011011110100000000000 9
+b0000000000000011111001010000000000 :
+b0000011110010011011110100000000000 ;
+1<
+b0000011110010011011110100000000000 =
+1C
+1J
+#1003500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1004000000
+1"
+b0000011110010111010111110000000000 *
+1-
+12
+b0000000000000011111001110000000000 4
+b0000000000000011111001110000000000 6
+17
+b0000000000000011111001110000000000 8
+b0000011110010111010111110000000000 9
+b0000000000000011111001100000000000 :
+b0000011110010111010111110000000000 ;
+1<
+b0000011110010111010111110000000000 =
+1C
+1J
+#1004500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1005000000
+1"
+b0000011110011011010001010000000000 *
+1-
+12
+b0000000000000011111010000000000000 4
+b0000000000000011111010000000000000 6
+17
+b0000000000000011111010000000000000 8
+b0000011110011011010001010000000000 9
+b0000000000000011111001110000000000 :
+b0000011110011011010001010000000000 ;
+1<
+b0000011110011011010001010000000000 =
+1C
+1J
+#1005500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1006000000
+1"
+b0000011110011111001011000000000000 *
+1-
+12
+b0000000000000011111010010000000000 4
+b0000000000000011111010010000000000 6
+17
+b0000000000000011111010010000000000 8
+b0000011110011111001011000000000000 9
+b0000000000000011111010000000000000 :
+b0000011110011111001011000000000000 ;
+1<
+b0000011110011111001011000000000000 =
+1C
+1J
+#1006500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1007000000
+1"
+b0000011110100011000101000000000000 *
+1-
+12
+b0000000000000011111010100000000000 4
+b0000000000000011111010100000000000 6
+17
+b0000000000000011111010100000000000 8
+b0000011110100011000101000000000000 9
+b0000000000000011111010010000000000 :
+b0000011110100011000101000000000000 ;
+1<
+b0000011110100011000101000000000000 =
+1C
+1J
+#1007500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1008000000
+1"
+b0000011110100110111111010000000000 *
+1-
+12
+b0000000000000011111010110000000000 4
+b0000000000000011111010110000000000 6
+17
+b0000000000000011111010110000000000 8
+b0000011110100110111111010000000000 9
+b0000000000000011111010100000000000 :
+b0000011110100110111111010000000000 ;
+1<
+b0000011110100110111111010000000000 =
+1C
+1J
+#1008500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1009000000
+1"
+b0000011110101010111001110000000000 *
+1-
+12
+b0000000000000011111011000000000000 4
+b0000000000000011111011000000000000 6
+17
+b0000000000000011111011000000000000 8
+b0000011110101010111001110000000000 9
+b0000000000000011111010110000000000 :
+b0000011110101010111001110000000000 ;
+1<
+b0000011110101010111001110000000000 =
+1C
+1J
+#1009500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1010000000
+1"
+b0000011110101110110100100000000000 *
+1-
+12
+b0000000000000011111011010000000000 4
+b0000000000000011111011010000000000 6
+17
+b0000000000000011111011010000000000 8
+b0000011110101110110100100000000000 9
+b0000000000000011111011000000000000 :
+b0000011110101110110100100000000000 ;
+1<
+b0000011110101110110100100000000000 =
+1C
+1J
+#1010500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1011000000
+1"
+b0000011110110010101111100000000000 *
+1-
+12
+b0000000000000011111011100000000000 4
+b0000000000000011111011100000000000 6
+17
+b0000000000000011111011100000000000 8
+b0000011110110010101111100000000000 9
+b0000000000000011111011010000000000 :
+b0000011110110010101111100000000000 ;
+1<
+b0000011110110010101111100000000000 =
+1C
+1J
+#1011500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1012000000
+1"
+b0000011110110110101010110000000000 *
+1-
+12
+b0000000000000011111011110000000000 4
+b0000000000000011111011110000000000 6
+17
+b0000000000000011111011110000000000 8
+b0000011110110110101010110000000000 9
+b0000000000000011111011100000000000 :
+b0000011110110110101010110000000000 ;
+1<
+b0000011110110110101010110000000000 =
+1C
+1J
+#1012500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1013000000
+1"
+b0000011110111010100110010000000000 *
+1-
+12
+b0000000000000011111100000000000000 4
+b0000000000000011111100000000000000 6
+17
+b0000000000000011111100000000000000 8
+b0000011110111010100110010000000000 9
+b0000000000000011111011110000000000 :
+b0000011110111010100110010000000000 ;
+1<
+b0000011110111010100110010000000000 =
+1C
+1J
+#1013500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1014000000
+1"
+b0000011110111110100010000000000000 *
+1-
+12
+b0000000000000011111100010000000000 4
+b0000000000000011111100010000000000 6
+17
+b0000000000000011111100010000000000 8
+b0000011110111110100010000000000000 9
+b0000000000000011111100000000000000 :
+b0000011110111110100010000000000000 ;
+1<
+b0000011110111110100010000000000000 =
+1C
+1J
+#1014500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1015000000
+1"
+b0000011111000010011110000000000000 *
+1-
+12
+b0000000000000011111100100000000000 4
+b0000000000000011111100100000000000 6
+17
+b0000000000000011111100100000000000 8
+b0000011111000010011110000000000000 9
+b0000000000000011111100010000000000 :
+b0000011111000010011110000000000000 ;
+1<
+b0000011111000010011110000000000000 =
+1C
+1J
+#1015500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1016000000
+1"
+b0000011111000110011010010000000000 *
+1-
+12
+b0000000000000011111100110000000000 4
+b0000000000000011111100110000000000 6
+17
+b0000000000000011111100110000000000 8
+b0000011111000110011010010000000000 9
+b0000000000000011111100100000000000 :
+b0000011111000110011010010000000000 ;
+1<
+b0000011111000110011010010000000000 =
+1C
+1J
+#1016500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1017000000
+1"
+b0000011111001010010110110000000000 *
+1-
+12
+b0000000000000011111101000000000000 4
+b0000000000000011111101000000000000 6
+17
+b0000000000000011111101000000000000 8
+b0000011111001010010110110000000000 9
+b0000000000000011111100110000000000 :
+b0000011111001010010110110000000000 ;
+1<
+b0000011111001010010110110000000000 =
+1C
+1J
+#1017500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1018000000
+1"
+b0000011111001110010011100000000000 *
+1-
+12
+b0000000000000011111101010000000000 4
+b0000000000000011111101010000000000 6
+17
+b0000000000000011111101010000000000 8
+b0000011111001110010011100000000000 9
+b0000000000000011111101000000000000 :
+b0000011111001110010011100000000000 ;
+1<
+b0000011111001110010011100000000000 =
+1C
+1J
+#1018500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1019000000
+1"
+b0000011111010010010000100000000000 *
+1-
+12
+b0000000000000011111101100000000000 4
+b0000000000000011111101100000000000 6
+17
+b0000000000000011111101100000000000 8
+b0000011111010010010000100000000000 9
+b0000000000000011111101010000000000 :
+b0000011111010010010000100000000000 ;
+1<
+b0000011111010010010000100000000000 =
+1C
+1J
+#1019500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1020000000
+1"
+b0000011111010110001101110000000000 *
+1-
+12
+b0000000000000011111101110000000000 4
+b0000000000000011111101110000000000 6
+17
+b0000000000000011111101110000000000 8
+b0000011111010110001101110000000000 9
+b0000000000000011111101100000000000 :
+b0000011111010110001101110000000000 ;
+1<
+b0000011111010110001101110000000000 =
+1C
+1J
+#1020500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1021000000
+1"
+b0000011111011010001011010000000000 *
+1-
+12
+b0000000000000011111110000000000000 4
+b0000000000000011111110000000000000 6
+17
+b0000000000000011111110000000000000 8
+b0000011111011010001011010000000000 9
+b0000000000000011111101110000000000 :
+b0000011111011010001011010000000000 ;
+1<
+b0000011111011010001011010000000000 =
+1C
+1J
+#1021500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1022000000
+1"
+b0000011111011110001001000000000000 *
+1-
+12
+b0000000000000011111110010000000000 4
+b0000000000000011111110010000000000 6
+17
+b0000000000000011111110010000000000 8
+b0000011111011110001001000000000000 9
+b0000000000000011111110000000000000 :
+b0000011111011110001001000000000000 ;
+1<
+b0000011111011110001001000000000000 =
+1C
+1J
+#1022500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1023000000
+1"
+b0000011111100010000111000000000000 *
+1-
+12
+b0000000000000011111110100000000000 4
+b0000000000000011111110100000000000 6
+17
+b0000000000000011111110100000000000 8
+b0000011111100010000111000000000000 9
+b0000000000000011111110010000000000 :
+b0000011111100010000111000000000000 ;
+1<
+b0000011111100010000111000000000000 =
+1C
+1J
+#1023500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1024000000
+1"
+b0000011111100110000101010000000000 *
+1-
+12
+b0000000000000011111110110000000000 4
+b0000000000000011111110110000000000 6
+17
+b0000000000000011111110110000000000 8
+b0000011111100110000101010000000000 9
+b0000000000000011111110100000000000 :
+b0000011111100110000101010000000000 ;
+1<
+b0000011111100110000101010000000000 =
+1C
+1J
+#1024500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1025000000
+1"
+1'
+b0000011111101010000011110000000000 *
+1+
+1-
+12
+b0000000000000011111111000000000000 4
+b0000000000000011111111000000000000 6
+17
+b0000000000000011111111000000000000 8
+b0000011111101010000011110000000000 9
+b0000000000000011111110110000000000 :
+b0000011111101010000011110000000000 ;
+1<
+b0000011111101010000011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1025500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1026000000
+1"
+0'
+b0000011111101110000010100000000000 *
+0+
+1-
+12
+b0000000000000011111111010000000000 4
+b0000000000000011111111010000000000 6
+17
+b0000000000000011111111010000000000 8
+b0000011111101110000010100000000000 9
+b0000000000000011111111000000000000 :
+b0000011111101110000010100000000000 ;
+1<
+b0000011111101110000010100000000000 =
+b0000000011110110101000000000000000 >
+0?
+b0000011111101010000011110000000000 A
+b0000000011110110101000000000000000 B
+1C
+b0000000011110110101000000000000000 D
+0F
+b0000000011100110101000000000000000 H
+1J
+0M
+#1026500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1027000000
+1"
+b0000011111110010000001100000000000 *
+1-
+12
+b0000000000000011111111100000000000 4
+b0000000000000011111111100000000000 6
+17
+b0000000000000011111111100000000000 8
+b0000011111110010000001100000000000 9
+b0000000000000011111111010000000000 :
+b0000011111110010000001100000000000 ;
+1<
+b0000011111110010000001100000000000 =
+1C
+1J
+#1027500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1028000000
+1"
+b0000011111110110000000110000000000 *
+1-
+12
+b0000000000000011111111110000000000 4
+b0000000000000011111111110000000000 6
+17
+b0000000000000011111111110000000000 8
+b0000011111110110000000110000000000 9
+b0000000000000011111111100000000000 :
+b0000011111110110000000110000000000 ;
+1<
+b0000011111110110000000110000000000 =
+1C
+1J
+#1028500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1029000000
+1"
+b0000011111111010000000010000000000 *
+1-
+12
+b0000000000000100000000000000000000 4
+b0000000000000100000000000000000000 6
+17
+b0000000000000100000000000000000000 8
+b0000011111111010000000010000000000 9
+b0000000000000011111111110000000000 :
+b0000011111111010000000010000000000 ;
+1<
+b0000011111111010000000010000000000 =
+1C
+1J
+#1029500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1030000000
+1"
+b0000011111111110000000000000000000 *
+1-
+12
+b0000000000000100000000010000000000 4
+b0000000000000100000000010000000000 6
+17
+b0000000000000100000000010000000000 8
+b0000011111111110000000000000000000 9
+b0000000000000100000000000000000000 :
+b0000011111111110000000000000000000 ;
+1<
+b0000011111111110000000000000000000 =
+1C
+1J
+#1030500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1031000000
+1"
+b0000100000000010000000000000000000 *
+1-
+12
+b0000000000000100000000100000000000 4
+b0000000000000100000000100000000000 6
+17
+b0000000000000100000000100000000000 8
+b0000100000000010000000000000000000 9
+b0000000000000100000000010000000000 :
+b0000100000000010000000000000000000 ;
+1<
+b0000100000000010000000000000000000 =
+1C
+1J
+#1031500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1032000000
+1"
+b0000100000000110000000010000000000 *
+1-
+12
+b0000000000000100000000110000000000 4
+b0000000000000100000000110000000000 6
+17
+b0000000000000100000000110000000000 8
+b0000100000000110000000010000000000 9
+b0000000000000100000000100000000000 :
+b0000100000000110000000010000000000 ;
+1<
+b0000100000000110000000010000000000 =
+1C
+1J
+#1032500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1033000000
+1"
+b0000100000001010000000110000000000 *
+1-
+12
+b0000000000000100000001000000000000 4
+b0000000000000100000001000000000000 6
+17
+b0000000000000100000001000000000000 8
+b0000100000001010000000110000000000 9
+b0000000000000100000000110000000000 :
+b0000100000001010000000110000000000 ;
+1<
+b0000100000001010000000110000000000 =
+1C
+1J
+#1033500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1034000000
+1"
+b0000100000001110000001100000000000 *
+1-
+12
+b0000000000000100000001010000000000 4
+b0000000000000100000001010000000000 6
+17
+b0000000000000100000001010000000000 8
+b0000100000001110000001100000000000 9
+b0000000000000100000001000000000000 :
+b0000100000001110000001100000000000 ;
+1<
+b0000100000001110000001100000000000 =
+1C
+1J
+#1034500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1035000000
+1"
+b0000100000010010000010100000000000 *
+1-
+12
+b0000000000000100000001100000000000 4
+b0000000000000100000001100000000000 6
+17
+b0000000000000100000001100000000000 8
+b0000100000010010000010100000000000 9
+b0000000000000100000001010000000000 :
+b0000100000010010000010100000000000 ;
+1<
+b0000100000010010000010100000000000 =
+1C
+1J
+#1035500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1036000000
+1"
+b0000100000010110000011110000000000 *
+1-
+12
+b0000000000000100000001110000000000 4
+b0000000000000100000001110000000000 6
+17
+b0000000000000100000001110000000000 8
+b0000100000010110000011110000000000 9
+b0000000000000100000001100000000000 :
+b0000100000010110000011110000000000 ;
+1<
+b0000100000010110000011110000000000 =
+1C
+1J
+#1036500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1037000000
+1"
+b0000100000011010000101010000000000 *
+1-
+12
+b0000000000000100000010000000000000 4
+b0000000000000100000010000000000000 6
+17
+b0000000000000100000010000000000000 8
+b0000100000011010000101010000000000 9
+b0000000000000100000001110000000000 :
+b0000100000011010000101010000000000 ;
+1<
+b0000100000011010000101010000000000 =
+1C
+1J
+#1037500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1038000000
+1"
+b0000100000011110000111000000000000 *
+1-
+12
+b0000000000000100000010010000000000 4
+b0000000000000100000010010000000000 6
+17
+b0000000000000100000010010000000000 8
+b0000100000011110000111000000000000 9
+b0000000000000100000010000000000000 :
+b0000100000011110000111000000000000 ;
+1<
+b0000100000011110000111000000000000 =
+1C
+1J
+#1038500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1039000000
+1"
+b0000100000100010001001000000000000 *
+1-
+12
+b0000000000000100000010100000000000 4
+b0000000000000100000010100000000000 6
+17
+b0000000000000100000010100000000000 8
+b0000100000100010001001000000000000 9
+b0000000000000100000010010000000000 :
+b0000100000100010001001000000000000 ;
+1<
+b0000100000100010001001000000000000 =
+1C
+1J
+#1039500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1040000000
+1"
+b0000100000100110001011010000000000 *
+1-
+12
+b0000000000000100000010110000000000 4
+b0000000000000100000010110000000000 6
+17
+b0000000000000100000010110000000000 8
+b0000100000100110001011010000000000 9
+b0000000000000100000010100000000000 :
+b0000100000100110001011010000000000 ;
+1<
+b0000100000100110001011010000000000 =
+1C
+1J
+#1040500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1041000000
+1"
+b0000100000101010001101110000000000 *
+1-
+12
+b0000000000000100000011000000000000 4
+b0000000000000100000011000000000000 6
+17
+b0000000000000100000011000000000000 8
+b0000100000101010001101110000000000 9
+b0000000000000100000010110000000000 :
+b0000100000101010001101110000000000 ;
+1<
+b0000100000101010001101110000000000 =
+1C
+1J
+#1041500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1042000000
+1"
+b0000100000101110010000100000000000 *
+1-
+12
+b0000000000000100000011010000000000 4
+b0000000000000100000011010000000000 6
+17
+b0000000000000100000011010000000000 8
+b0000100000101110010000100000000000 9
+b0000000000000100000011000000000000 :
+b0000100000101110010000100000000000 ;
+1<
+b0000100000101110010000100000000000 =
+1C
+1J
+#1042500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1043000000
+1"
+b0000100000110010010011100000000000 *
+1-
+12
+b0000000000000100000011100000000000 4
+b0000000000000100000011100000000000 6
+17
+b0000000000000100000011100000000000 8
+b0000100000110010010011100000000000 9
+b0000000000000100000011010000000000 :
+b0000100000110010010011100000000000 ;
+1<
+b0000100000110010010011100000000000 =
+1C
+1J
+#1043500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1044000000
+1"
+b0000100000110110010110110000000000 *
+1-
+12
+b0000000000000100000011110000000000 4
+b0000000000000100000011110000000000 6
+17
+b0000000000000100000011110000000000 8
+b0000100000110110010110110000000000 9
+b0000000000000100000011100000000000 :
+b0000100000110110010110110000000000 ;
+1<
+b0000100000110110010110110000000000 =
+1C
+1J
+#1044500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1045000000
+1"
+b0000100000111010011010010000000000 *
+1-
+12
+b0000000000000100000100000000000000 4
+b0000000000000100000100000000000000 6
+17
+b0000000000000100000100000000000000 8
+b0000100000111010011010010000000000 9
+b0000000000000100000011110000000000 :
+b0000100000111010011010010000000000 ;
+1<
+b0000100000111010011010010000000000 =
+1C
+1J
+#1045500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1046000000
+1"
+b0000100000111110011110000000000000 *
+1-
+12
+b0000000000000100000100010000000000 4
+b0000000000000100000100010000000000 6
+17
+b0000000000000100000100010000000000 8
+b0000100000111110011110000000000000 9
+b0000000000000100000100000000000000 :
+b0000100000111110011110000000000000 ;
+1<
+b0000100000111110011110000000000000 =
+1C
+1J
+#1046500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1047000000
+1"
+b0000100001000010100010000000000000 *
+1-
+12
+b0000000000000100000100100000000000 4
+b0000000000000100000100100000000000 6
+17
+b0000000000000100000100100000000000 8
+b0000100001000010100010000000000000 9
+b0000000000000100000100010000000000 :
+b0000100001000010100010000000000000 ;
+1<
+b0000100001000010100010000000000000 =
+1C
+1J
+#1047500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1048000000
+1"
+b0000100001000110100110010000000000 *
+1-
+12
+b0000000000000100000100110000000000 4
+b0000000000000100000100110000000000 6
+17
+b0000000000000100000100110000000000 8
+b0000100001000110100110010000000000 9
+b0000000000000100000100100000000000 :
+b0000100001000110100110010000000000 ;
+1<
+b0000100001000110100110010000000000 =
+1C
+1J
+#1048500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1049000000
+1"
+b0000100001001010101010110000000000 *
+1-
+12
+b0000000000000100000101000000000000 4
+b0000000000000100000101000000000000 6
+17
+b0000000000000100000101000000000000 8
+b0000100001001010101010110000000000 9
+b0000000000000100000100110000000000 :
+b0000100001001010101010110000000000 ;
+1<
+b0000100001001010101010110000000000 =
+1C
+1J
+#1049500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1050000000
+1"
+b0000100001001110101111100000000000 *
+1-
+12
+b0000000000000100000101010000000000 4
+b0000000000000100000101010000000000 6
+17
+b0000000000000100000101010000000000 8
+b0000100001001110101111100000000000 9
+b0000000000000100000101000000000000 :
+b0000100001001110101111100000000000 ;
+1<
+b0000100001001110101111100000000000 =
+1C
+1J
+#1050500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1051000000
+1"
+b0000100001010010110100100000000000 *
+1-
+12
+b0000000000000100000101100000000000 4
+b0000000000000100000101100000000000 6
+17
+b0000000000000100000101100000000000 8
+b0000100001010010110100100000000000 9
+b0000000000000100000101010000000000 :
+b0000100001010010110100100000000000 ;
+1<
+b0000100001010010110100100000000000 =
+1C
+1J
+#1051500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1052000000
+1"
+b0000100001010110111001110000000000 *
+1-
+12
+b0000000000000100000101110000000000 4
+b0000000000000100000101110000000000 6
+17
+b0000000000000100000101110000000000 8
+b0000100001010110111001110000000000 9
+b0000000000000100000101100000000000 :
+b0000100001010110111001110000000000 ;
+1<
+b0000100001010110111001110000000000 =
+1C
+1J
+#1052500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1053000000
+1"
+b0000100001011010111111010000000000 *
+1-
+12
+b0000000000000100000110000000000000 4
+b0000000000000100000110000000000000 6
+17
+b0000000000000100000110000000000000 8
+b0000100001011010111111010000000000 9
+b0000000000000100000101110000000000 :
+b0000100001011010111111010000000000 ;
+1<
+b0000100001011010111111010000000000 =
+1C
+1J
+#1053500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1054000000
+1"
+b0000100001011111000101000000000000 *
+1-
+12
+b0000000000000100000110010000000000 4
+b0000000000000100000110010000000000 6
+17
+b0000000000000100000110010000000000 8
+b0000100001011111000101000000000000 9
+b0000000000000100000110000000000000 :
+b0000100001011111000101000000000000 ;
+1<
+b0000100001011111000101000000000000 =
+1C
+1J
+#1054500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1055000000
+1"
+b0000100001100011001011000000000000 *
+1-
+12
+b0000000000000100000110100000000000 4
+b0000000000000100000110100000000000 6
+17
+b0000000000000100000110100000000000 8
+b0000100001100011001011000000000000 9
+b0000000000000100000110010000000000 :
+b0000100001100011001011000000000000 ;
+1<
+b0000100001100011001011000000000000 =
+1C
+1J
+#1055500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1056000000
+1"
+b0000100001100111010001010000000000 *
+1-
+12
+b0000000000000100000110110000000000 4
+b0000000000000100000110110000000000 6
+17
+b0000000000000100000110110000000000 8
+b0000100001100111010001010000000000 9
+b0000000000000100000110100000000000 :
+b0000100001100111010001010000000000 ;
+1<
+b0000100001100111010001010000000000 =
+1C
+1J
+#1056500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1057000000
+1"
+b0000100001101011010111110000000000 *
+1-
+12
+b0000000000000100000111000000000000 4
+b0000000000000100000111000000000000 6
+17
+b0000000000000100000111000000000000 8
+b0000100001101011010111110000000000 9
+b0000000000000100000110110000000000 :
+b0000100001101011010111110000000000 ;
+1<
+b0000100001101011010111110000000000 =
+1C
+1J
+#1057500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1058000000
+1"
+b0000100001101111011110100000000000 *
+1-
+12
+b0000000000000100000111010000000000 4
+b0000000000000100000111010000000000 6
+17
+b0000000000000100000111010000000000 8
+b0000100001101111011110100000000000 9
+b0000000000000100000111000000000000 :
+b0000100001101111011110100000000000 ;
+1<
+b0000100001101111011110100000000000 =
+1C
+1J
+#1058500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1059000000
+1"
+b0000100001110011100101100000000000 *
+1-
+12
+b0000000000000100000111100000000000 4
+b0000000000000100000111100000000000 6
+17
+b0000000000000100000111100000000000 8
+b0000100001110011100101100000000000 9
+b0000000000000100000111010000000000 :
+b0000100001110011100101100000000000 ;
+1<
+b0000100001110011100101100000000000 =
+1C
+1J
+#1059500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1060000000
+1"
+b0000100001110111101100110000000000 *
+1-
+12
+b0000000000000100000111110000000000 4
+b0000000000000100000111110000000000 6
+17
+b0000000000000100000111110000000000 8
+b0000100001110111101100110000000000 9
+b0000000000000100000111100000000000 :
+b0000100001110111101100110000000000 ;
+1<
+b0000100001110111101100110000000000 =
+1C
+1J
+#1060500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1061000000
+1"
+b0000100001111011110100010000000000 *
+1-
+12
+b0000000000000100001000000000000000 4
+b0000000000000100001000000000000000 6
+17
+b0000000000000100001000000000000000 8
+b0000100001111011110100010000000000 9
+b0000000000000100000111110000000000 :
+b0000100001111011110100010000000000 ;
+1<
+b0000100001111011110100010000000000 =
+1C
+1J
+#1061500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1062000000
+1"
+b0000100001111111111100000000000000 *
+1-
+12
+b0000000000000100001000010000000000 4
+b0000000000000100001000010000000000 6
+17
+b0000000000000100001000010000000000 8
+b0000100001111111111100000000000000 9
+b0000000000000100001000000000000000 :
+b0000100001111111111100000000000000 ;
+1<
+b0000100001111111111100000000000000 =
+1C
+1J
+#1062500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1063000000
+1"
+b0000100010000100000100000000000000 *
+1-
+12
+b0000000000000100001000100000000000 4
+b0000000000000100001000100000000000 6
+17
+b0000000000000100001000100000000000 8
+b0000100010000100000100000000000000 9
+b0000000000000100001000010000000000 :
+b0000100010000100000100000000000000 ;
+1<
+b0000100010000100000100000000000000 =
+1C
+1J
+#1063500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1064000000
+1"
+b0000100010001000001100010000000000 *
+1-
+12
+b0000000000000100001000110000000000 4
+b0000000000000100001000110000000000 6
+17
+b0000000000000100001000110000000000 8
+b0000100010001000001100010000000000 9
+b0000000000000100001000100000000000 :
+b0000100010001000001100010000000000 ;
+1<
+b0000100010001000001100010000000000 =
+1C
+1J
+#1064500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1065000000
+1"
+b0000100010001100010100110000000000 *
+1-
+12
+b0000000000000100001001000000000000 4
+b0000000000000100001001000000000000 6
+17
+b0000000000000100001001000000000000 8
+b0000100010001100010100110000000000 9
+b0000000000000100001000110000000000 :
+b0000100010001100010100110000000000 ;
+1<
+b0000100010001100010100110000000000 =
+1C
+1J
+#1065500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1066000000
+1"
+b0000100010010000011101100000000000 *
+1-
+12
+b0000000000000100001001010000000000 4
+b0000000000000100001001010000000000 6
+17
+b0000000000000100001001010000000000 8
+b0000100010010000011101100000000000 9
+b0000000000000100001001000000000000 :
+b0000100010010000011101100000000000 ;
+1<
+b0000100010010000011101100000000000 =
+1C
+1J
+#1066500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1067000000
+1"
+b0000100010010100100110100000000000 *
+1-
+12
+b0000000000000100001001100000000000 4
+b0000000000000100001001100000000000 6
+17
+b0000000000000100001001100000000000 8
+b0000100010010100100110100000000000 9
+b0000000000000100001001010000000000 :
+b0000100010010100100110100000000000 ;
+1<
+b0000100010010100100110100000000000 =
+1C
+1J
+#1067500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1068000000
+1"
+b0000100010011000101111110000000000 *
+1-
+12
+b0000000000000100001001110000000000 4
+b0000000000000100001001110000000000 6
+17
+b0000000000000100001001110000000000 8
+b0000100010011000101111110000000000 9
+b0000000000000100001001100000000000 :
+b0000100010011000101111110000000000 ;
+1<
+b0000100010011000101111110000000000 =
+1C
+1J
+#1068500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1069000000
+1"
+b0000100010011100111001010000000000 *
+1-
+12
+b0000000000000100001010000000000000 4
+b0000000000000100001010000000000000 6
+17
+b0000000000000100001010000000000000 8
+b0000100010011100111001010000000000 9
+b0000000000000100001001110000000000 :
+b0000100010011100111001010000000000 ;
+1<
+b0000100010011100111001010000000000 =
+1C
+1J
+#1069500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1070000000
+1"
+b0000100010100001000011000000000000 *
+1-
+12
+b0000000000000100001010010000000000 4
+b0000000000000100001010010000000000 6
+17
+b0000000000000100001010010000000000 8
+b0000100010100001000011000000000000 9
+b0000000000000100001010000000000000 :
+b0000100010100001000011000000000000 ;
+1<
+b0000100010100001000011000000000000 =
+1C
+1J
+#1070500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1071000000
+1"
+b0000100010100101001101000000000000 *
+1-
+12
+b0000000000000100001010100000000000 4
+b0000000000000100001010100000000000 6
+17
+b0000000000000100001010100000000000 8
+b0000100010100101001101000000000000 9
+b0000000000000100001010010000000000 :
+b0000100010100101001101000000000000 ;
+1<
+b0000100010100101001101000000000000 =
+1C
+1J
+#1071500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1072000000
+1"
+b0000100010101001010111010000000000 *
+1-
+12
+b0000000000000100001010110000000000 4
+b0000000000000100001010110000000000 6
+17
+b0000000000000100001010110000000000 8
+b0000100010101001010111010000000000 9
+b0000000000000100001010100000000000 :
+b0000100010101001010111010000000000 ;
+1<
+b0000100010101001010111010000000000 =
+1C
+1J
+#1072500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1073000000
+1"
+b0000100010101101100001110000000000 *
+1-
+12
+b0000000000000100001011000000000000 4
+b0000000000000100001011000000000000 6
+17
+b0000000000000100001011000000000000 8
+b0000100010101101100001110000000000 9
+b0000000000000100001010110000000000 :
+b0000100010101101100001110000000000 ;
+1<
+b0000100010101101100001110000000000 =
+1C
+1J
+#1073500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1074000000
+1"
+b0000100010110001101100100000000000 *
+1-
+12
+b0000000000000100001011010000000000 4
+b0000000000000100001011010000000000 6
+17
+b0000000000000100001011010000000000 8
+b0000100010110001101100100000000000 9
+b0000000000000100001011000000000000 :
+b0000100010110001101100100000000000 ;
+1<
+b0000100010110001101100100000000000 =
+1C
+1J
+#1074500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1075000000
+1"
+b0000100010110101110111100000000000 *
+1-
+12
+b0000000000000100001011100000000000 4
+b0000000000000100001011100000000000 6
+17
+b0000000000000100001011100000000000 8
+b0000100010110101110111100000000000 9
+b0000000000000100001011010000000000 :
+b0000100010110101110111100000000000 ;
+1<
+b0000100010110101110111100000000000 =
+1C
+1J
+#1075500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1076000000
+1"
+b0000100010111010000010110000000000 *
+1-
+12
+b0000000000000100001011110000000000 4
+b0000000000000100001011110000000000 6
+17
+b0000000000000100001011110000000000 8
+b0000100010111010000010110000000000 9
+b0000000000000100001011100000000000 :
+b0000100010111010000010110000000000 ;
+1<
+b0000100010111010000010110000000000 =
+1C
+1J
+#1076500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1077000000
+1"
+b0000100010111110001110010000000000 *
+1-
+12
+b0000000000000100001100000000000000 4
+b0000000000000100001100000000000000 6
+17
+b0000000000000100001100000000000000 8
+b0000100010111110001110010000000000 9
+b0000000000000100001011110000000000 :
+b0000100010111110001110010000000000 ;
+1<
+b0000100010111110001110010000000000 =
+1C
+1J
+#1077500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1078000000
+1"
+b0000100011000010011010000000000000 *
+1-
+12
+b0000000000000100001100010000000000 4
+b0000000000000100001100010000000000 6
+17
+b0000000000000100001100010000000000 8
+b0000100011000010011010000000000000 9
+b0000000000000100001100000000000000 :
+b0000100011000010011010000000000000 ;
+1<
+b0000100011000010011010000000000000 =
+1C
+1J
+#1078500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1079000000
+1"
+b0000100011000110100110000000000000 *
+1-
+12
+b0000000000000100001100100000000000 4
+b0000000000000100001100100000000000 6
+17
+b0000000000000100001100100000000000 8
+b0000100011000110100110000000000000 9
+b0000000000000100001100010000000000 :
+b0000100011000110100110000000000000 ;
+1<
+b0000100011000110100110000000000000 =
+1C
+1J
+#1079500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1080000000
+1"
+b0000100011001010110010010000000000 *
+1-
+12
+b0000000000000100001100110000000000 4
+b0000000000000100001100110000000000 6
+17
+b0000000000000100001100110000000000 8
+b0000100011001010110010010000000000 9
+b0000000000000100001100100000000000 :
+b0000100011001010110010010000000000 ;
+1<
+b0000100011001010110010010000000000 =
+1C
+1J
+#1080500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1081000000
+1"
+b0000100011001110111110110000000000 *
+1-
+12
+b0000000000000100001101000000000000 4
+b0000000000000100001101000000000000 6
+17
+b0000000000000100001101000000000000 8
+b0000100011001110111110110000000000 9
+b0000000000000100001100110000000000 :
+b0000100011001110111110110000000000 ;
+1<
+b0000100011001110111110110000000000 =
+1C
+1J
+#1081500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1082000000
+1"
+b0000100011010011001011100000000000 *
+1-
+12
+b0000000000000100001101010000000000 4
+b0000000000000100001101010000000000 6
+17
+b0000000000000100001101010000000000 8
+b0000100011010011001011100000000000 9
+b0000000000000100001101000000000000 :
+b0000100011010011001011100000000000 ;
+1<
+b0000100011010011001011100000000000 =
+1C
+1J
+#1082500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1083000000
+1"
+b0000100011010111011000100000000000 *
+1-
+12
+b0000000000000100001101100000000000 4
+b0000000000000100001101100000000000 6
+17
+b0000000000000100001101100000000000 8
+b0000100011010111011000100000000000 9
+b0000000000000100001101010000000000 :
+b0000100011010111011000100000000000 ;
+1<
+b0000100011010111011000100000000000 =
+1C
+1J
+#1083500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1084000000
+1"
+b0000100011011011100101110000000000 *
+1-
+12
+b0000000000000100001101110000000000 4
+b0000000000000100001101110000000000 6
+17
+b0000000000000100001101110000000000 8
+b0000100011011011100101110000000000 9
+b0000000000000100001101100000000000 :
+b0000100011011011100101110000000000 ;
+1<
+b0000100011011011100101110000000000 =
+1C
+1J
+#1084500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1085000000
+1"
+b0000100011011111110011010000000000 *
+1-
+12
+b0000000000000100001110000000000000 4
+b0000000000000100001110000000000000 6
+17
+b0000000000000100001110000000000000 8
+b0000100011011111110011010000000000 9
+b0000000000000100001101110000000000 :
+b0000100011011111110011010000000000 ;
+1<
+b0000100011011111110011010000000000 =
+1C
+1J
+#1085500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1086000000
+1"
+b0000100011100100000001000000000000 *
+1-
+12
+b0000000000000100001110010000000000 4
+b0000000000000100001110010000000000 6
+17
+b0000000000000100001110010000000000 8
+b0000100011100100000001000000000000 9
+b0000000000000100001110000000000000 :
+b0000100011100100000001000000000000 ;
+1<
+b0000100011100100000001000000000000 =
+1C
+1J
+#1086500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1087000000
+1"
+b0000100011101000001111000000000000 *
+1-
+12
+b0000000000000100001110100000000000 4
+b0000000000000100001110100000000000 6
+17
+b0000000000000100001110100000000000 8
+b0000100011101000001111000000000000 9
+b0000000000000100001110010000000000 :
+b0000100011101000001111000000000000 ;
+1<
+b0000100011101000001111000000000000 =
+1C
+1J
+#1087500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1088000000
+1"
+b0000100011101100011101010000000000 *
+1-
+12
+b0000000000000100001110110000000000 4
+b0000000000000100001110110000000000 6
+17
+b0000000000000100001110110000000000 8
+b0000100011101100011101010000000000 9
+b0000000000000100001110100000000000 :
+b0000100011101100011101010000000000 ;
+1<
+b0000100011101100011101010000000000 =
+1C
+1J
+#1088500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1089000000
+1"
+1'
+b0000100011110000101011110000000000 *
+1+
+1-
+12
+b0000000000000100001111000000000000 4
+b0000000000000100001111000000000000 6
+17
+b0000000000000100001111000000000000 8
+b0000100011110000101011110000000000 9
+b0000000000000100001110110000000000 :
+b0000100011110000101011110000000000 ;
+1<
+b0000100011110000101011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1089500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1090000000
+1"
+0'
+b0000100011110100111010100000000000 *
+0+
+1-
+12
+b0000000000000100001111010000000000 4
+b0000000000000100001111010000000000 6
+17
+b0000000000000100001111010000000000 8
+b0000100011110100111010100000000000 9
+b0000000000000100001111000000000000 :
+b0000100011110100111010100000000000 ;
+1<
+b0000100011110100111010100000000000 =
+b0000000100000110101000000000000000 >
+0?
+b0000100011110000101011110000000000 A
+b0000000100000110101000000000000000 B
+1C
+b0000000100000110101000000000000000 D
+0F
+b0000000011110110101000000000000000 H
+1J
+0M
+#1090500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1091000000
+1"
+b0000100011111001001001100000000000 *
+1-
+12
+b0000000000000100001111100000000000 4
+b0000000000000100001111100000000000 6
+17
+b0000000000000100001111100000000000 8
+b0000100011111001001001100000000000 9
+b0000000000000100001111010000000000 :
+b0000100011111001001001100000000000 ;
+1<
+b0000100011111001001001100000000000 =
+1C
+1J
+#1091500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1092000000
+1"
+b0000100011111101011000110000000000 *
+1-
+12
+b0000000000000100001111110000000000 4
+b0000000000000100001111110000000000 6
+17
+b0000000000000100001111110000000000 8
+b0000100011111101011000110000000000 9
+b0000000000000100001111100000000000 :
+b0000100011111101011000110000000000 ;
+1<
+b0000100011111101011000110000000000 =
+1C
+1J
+#1092500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1093000000
+1"
+b0000100100000001101000010000000000 *
+1-
+12
+b0000000000000100010000000000000000 4
+b0000000000000100010000000000000000 6
+17
+b0000000000000100010000000000000000 8
+b0000100100000001101000010000000000 9
+b0000000000000100001111110000000000 :
+b0000100100000001101000010000000000 ;
+1<
+b0000100100000001101000010000000000 =
+1C
+1J
+#1093500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1094000000
+1"
+b0000100100000101111000000000000000 *
+1-
+12
+b0000000000000100010000010000000000 4
+b0000000000000100010000010000000000 6
+17
+b0000000000000100010000010000000000 8
+b0000100100000101111000000000000000 9
+b0000000000000100010000000000000000 :
+b0000100100000101111000000000000000 ;
+1<
+b0000100100000101111000000000000000 =
+1C
+1J
+#1094500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1095000000
+1"
+b0000100100001010001000000000000000 *
+1-
+12
+b0000000000000100010000100000000000 4
+b0000000000000100010000100000000000 6
+17
+b0000000000000100010000100000000000 8
+b0000100100001010001000000000000000 9
+b0000000000000100010000010000000000 :
+b0000100100001010001000000000000000 ;
+1<
+b0000100100001010001000000000000000 =
+1C
+1J
+#1095500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1096000000
+1"
+b0000100100001110011000010000000000 *
+1-
+12
+b0000000000000100010000110000000000 4
+b0000000000000100010000110000000000 6
+17
+b0000000000000100010000110000000000 8
+b0000100100001110011000010000000000 9
+b0000000000000100010000100000000000 :
+b0000100100001110011000010000000000 ;
+1<
+b0000100100001110011000010000000000 =
+1C
+1J
+#1096500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1097000000
+1"
+b0000100100010010101000110000000000 *
+1-
+12
+b0000000000000100010001000000000000 4
+b0000000000000100010001000000000000 6
+17
+b0000000000000100010001000000000000 8
+b0000100100010010101000110000000000 9
+b0000000000000100010000110000000000 :
+b0000100100010010101000110000000000 ;
+1<
+b0000100100010010101000110000000000 =
+1C
+1J
+#1097500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1098000000
+1"
+b0000100100010110111001100000000000 *
+1-
+12
+b0000000000000100010001010000000000 4
+b0000000000000100010001010000000000 6
+17
+b0000000000000100010001010000000000 8
+b0000100100010110111001100000000000 9
+b0000000000000100010001000000000000 :
+b0000100100010110111001100000000000 ;
+1<
+b0000100100010110111001100000000000 =
+1C
+1J
+#1098500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1099000000
+1"
+b0000100100011011001010100000000000 *
+1-
+12
+b0000000000000100010001100000000000 4
+b0000000000000100010001100000000000 6
+17
+b0000000000000100010001100000000000 8
+b0000100100011011001010100000000000 9
+b0000000000000100010001010000000000 :
+b0000100100011011001010100000000000 ;
+1<
+b0000100100011011001010100000000000 =
+1C
+1J
+#1099500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1100000000
+1"
+b0000100100011111011011110000000000 *
+1-
+12
+b0000000000000100010001110000000000 4
+b0000000000000100010001110000000000 6
+17
+b0000000000000100010001110000000000 8
+b0000100100011111011011110000000000 9
+b0000000000000100010001100000000000 :
+b0000100100011111011011110000000000 ;
+1<
+b0000100100011111011011110000000000 =
+1C
+1J
+#1100500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1101000000
+1"
+b0000100100100011101101010000000000 *
+1-
+12
+b0000000000000100010010000000000000 4
+b0000000000000100010010000000000000 6
+17
+b0000000000000100010010000000000000 8
+b0000100100100011101101010000000000 9
+b0000000000000100010001110000000000 :
+b0000100100100011101101010000000000 ;
+1<
+b0000100100100011101101010000000000 =
+1C
+1J
+#1101500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1102000000
+1"
+b0000100100100111111111000000000000 *
+1-
+12
+b0000000000000100010010010000000000 4
+b0000000000000100010010010000000000 6
+17
+b0000000000000100010010010000000000 8
+b0000100100100111111111000000000000 9
+b0000000000000100010010000000000000 :
+b0000100100100111111111000000000000 ;
+1<
+b0000100100100111111111000000000000 =
+1C
+1J
+#1102500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1103000000
+1"
+b0000100100101100010001000000000000 *
+1-
+12
+b0000000000000100010010100000000000 4
+b0000000000000100010010100000000000 6
+17
+b0000000000000100010010100000000000 8
+b0000100100101100010001000000000000 9
+b0000000000000100010010010000000000 :
+b0000100100101100010001000000000000 ;
+1<
+b0000100100101100010001000000000000 =
+1C
+1J
+#1103500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1104000000
+1"
+b0000100100110000100011010000000000 *
+1-
+12
+b0000000000000100010010110000000000 4
+b0000000000000100010010110000000000 6
+17
+b0000000000000100010010110000000000 8
+b0000100100110000100011010000000000 9
+b0000000000000100010010100000000000 :
+b0000100100110000100011010000000000 ;
+1<
+b0000100100110000100011010000000000 =
+1C
+1J
+#1104500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1105000000
+1"
+b0000100100110100110101110000000000 *
+1-
+12
+b0000000000000100010011000000000000 4
+b0000000000000100010011000000000000 6
+17
+b0000000000000100010011000000000000 8
+b0000100100110100110101110000000000 9
+b0000000000000100010010110000000000 :
+b0000100100110100110101110000000000 ;
+1<
+b0000100100110100110101110000000000 =
+1C
+1J
+#1105500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1106000000
+1"
+b0000100100111001001000100000000000 *
+1-
+12
+b0000000000000100010011010000000000 4
+b0000000000000100010011010000000000 6
+17
+b0000000000000100010011010000000000 8
+b0000100100111001001000100000000000 9
+b0000000000000100010011000000000000 :
+b0000100100111001001000100000000000 ;
+1<
+b0000100100111001001000100000000000 =
+1C
+1J
+#1106500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1107000000
+1"
+b0000100100111101011011100000000000 *
+1-
+12
+b0000000000000100010011100000000000 4
+b0000000000000100010011100000000000 6
+17
+b0000000000000100010011100000000000 8
+b0000100100111101011011100000000000 9
+b0000000000000100010011010000000000 :
+b0000100100111101011011100000000000 ;
+1<
+b0000100100111101011011100000000000 =
+1C
+1J
+#1107500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1108000000
+1"
+b0000100101000001101110110000000000 *
+1-
+12
+b0000000000000100010011110000000000 4
+b0000000000000100010011110000000000 6
+17
+b0000000000000100010011110000000000 8
+b0000100101000001101110110000000000 9
+b0000000000000100010011100000000000 :
+b0000100101000001101110110000000000 ;
+1<
+b0000100101000001101110110000000000 =
+1C
+1J
+#1108500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1109000000
+1"
+b0000100101000110000010010000000000 *
+1-
+12
+b0000000000000100010100000000000000 4
+b0000000000000100010100000000000000 6
+17
+b0000000000000100010100000000000000 8
+b0000100101000110000010010000000000 9
+b0000000000000100010011110000000000 :
+b0000100101000110000010010000000000 ;
+1<
+b0000100101000110000010010000000000 =
+1C
+1J
+#1109500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1110000000
+1"
+b0000100101001010010110000000000000 *
+1-
+12
+b0000000000000100010100010000000000 4
+b0000000000000100010100010000000000 6
+17
+b0000000000000100010100010000000000 8
+b0000100101001010010110000000000000 9
+b0000000000000100010100000000000000 :
+b0000100101001010010110000000000000 ;
+1<
+b0000100101001010010110000000000000 =
+1C
+1J
+#1110500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1111000000
+1"
+b0000100101001110101010000000000000 *
+1-
+12
+b0000000000000100010100100000000000 4
+b0000000000000100010100100000000000 6
+17
+b0000000000000100010100100000000000 8
+b0000100101001110101010000000000000 9
+b0000000000000100010100010000000000 :
+b0000100101001110101010000000000000 ;
+1<
+b0000100101001110101010000000000000 =
+1C
+1J
+#1111500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1112000000
+1"
+b0000100101010010111110010000000000 *
+1-
+12
+b0000000000000100010100110000000000 4
+b0000000000000100010100110000000000 6
+17
+b0000000000000100010100110000000000 8
+b0000100101010010111110010000000000 9
+b0000000000000100010100100000000000 :
+b0000100101010010111110010000000000 ;
+1<
+b0000100101010010111110010000000000 =
+1C
+1J
+#1112500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1113000000
+1"
+b0000100101010111010010110000000000 *
+1-
+12
+b0000000000000100010101000000000000 4
+b0000000000000100010101000000000000 6
+17
+b0000000000000100010101000000000000 8
+b0000100101010111010010110000000000 9
+b0000000000000100010100110000000000 :
+b0000100101010111010010110000000000 ;
+1<
+b0000100101010111010010110000000000 =
+1C
+1J
+#1113500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1114000000
+1"
+b0000100101011011100111100000000000 *
+1-
+12
+b0000000000000100010101010000000000 4
+b0000000000000100010101010000000000 6
+17
+b0000000000000100010101010000000000 8
+b0000100101011011100111100000000000 9
+b0000000000000100010101000000000000 :
+b0000100101011011100111100000000000 ;
+1<
+b0000100101011011100111100000000000 =
+1C
+1J
+#1114500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1115000000
+1"
+b0000100101011111111100100000000000 *
+1-
+12
+b0000000000000100010101100000000000 4
+b0000000000000100010101100000000000 6
+17
+b0000000000000100010101100000000000 8
+b0000100101011111111100100000000000 9
+b0000000000000100010101010000000000 :
+b0000100101011111111100100000000000 ;
+1<
+b0000100101011111111100100000000000 =
+1C
+1J
+#1115500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1116000000
+1"
+b0000100101100100010001110000000000 *
+1-
+12
+b0000000000000100010101110000000000 4
+b0000000000000100010101110000000000 6
+17
+b0000000000000100010101110000000000 8
+b0000100101100100010001110000000000 9
+b0000000000000100010101100000000000 :
+b0000100101100100010001110000000000 ;
+1<
+b0000100101100100010001110000000000 =
+1C
+1J
+#1116500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1117000000
+1"
+b0000100101101000100111010000000000 *
+1-
+12
+b0000000000000100010110000000000000 4
+b0000000000000100010110000000000000 6
+17
+b0000000000000100010110000000000000 8
+b0000100101101000100111010000000000 9
+b0000000000000100010101110000000000 :
+b0000100101101000100111010000000000 ;
+1<
+b0000100101101000100111010000000000 =
+1C
+1J
+#1117500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1118000000
+1"
+b0000100101101100111101000000000000 *
+1-
+12
+b0000000000000100010110010000000000 4
+b0000000000000100010110010000000000 6
+17
+b0000000000000100010110010000000000 8
+b0000100101101100111101000000000000 9
+b0000000000000100010110000000000000 :
+b0000100101101100111101000000000000 ;
+1<
+b0000100101101100111101000000000000 =
+1C
+1J
+#1118500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1119000000
+1"
+b0000100101110001010011000000000000 *
+1-
+12
+b0000000000000100010110100000000000 4
+b0000000000000100010110100000000000 6
+17
+b0000000000000100010110100000000000 8
+b0000100101110001010011000000000000 9
+b0000000000000100010110010000000000 :
+b0000100101110001010011000000000000 ;
+1<
+b0000100101110001010011000000000000 =
+1C
+1J
+#1119500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1120000000
+1"
+b0000100101110101101001010000000000 *
+1-
+12
+b0000000000000100010110110000000000 4
+b0000000000000100010110110000000000 6
+17
+b0000000000000100010110110000000000 8
+b0000100101110101101001010000000000 9
+b0000000000000100010110100000000000 :
+b0000100101110101101001010000000000 ;
+1<
+b0000100101110101101001010000000000 =
+1C
+1J
+#1120500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1121000000
+1"
+b0000100101111001111111110000000000 *
+1-
+12
+b0000000000000100010111000000000000 4
+b0000000000000100010111000000000000 6
+17
+b0000000000000100010111000000000000 8
+b0000100101111001111111110000000000 9
+b0000000000000100010110110000000000 :
+b0000100101111001111111110000000000 ;
+1<
+b0000100101111001111111110000000000 =
+1C
+1J
+#1121500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1122000000
+1"
+b0000100101111110010110100000000000 *
+1-
+12
+b0000000000000100010111010000000000 4
+b0000000000000100010111010000000000 6
+17
+b0000000000000100010111010000000000 8
+b0000100101111110010110100000000000 9
+b0000000000000100010111000000000000 :
+b0000100101111110010110100000000000 ;
+1<
+b0000100101111110010110100000000000 =
+1C
+1J
+#1122500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1123000000
+1"
+b0000100110000010101101100000000000 *
+1-
+12
+b0000000000000100010111100000000000 4
+b0000000000000100010111100000000000 6
+17
+b0000000000000100010111100000000000 8
+b0000100110000010101101100000000000 9
+b0000000000000100010111010000000000 :
+b0000100110000010101101100000000000 ;
+1<
+b0000100110000010101101100000000000 =
+1C
+1J
+#1123500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1124000000
+1"
+b0000100110000111000100110000000000 *
+1-
+12
+b0000000000000100010111110000000000 4
+b0000000000000100010111110000000000 6
+17
+b0000000000000100010111110000000000 8
+b0000100110000111000100110000000000 9
+b0000000000000100010111100000000000 :
+b0000100110000111000100110000000000 ;
+1<
+b0000100110000111000100110000000000 =
+1C
+1J
+#1124500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1125000000
+1"
+b0000100110001011011100010000000000 *
+1-
+12
+b0000000000000100011000000000000000 4
+b0000000000000100011000000000000000 6
+17
+b0000000000000100011000000000000000 8
+b0000100110001011011100010000000000 9
+b0000000000000100010111110000000000 :
+b0000100110001011011100010000000000 ;
+1<
+b0000100110001011011100010000000000 =
+1C
+1J
+#1125500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1126000000
+1"
+b0000100110001111110100000000000000 *
+1-
+12
+b0000000000000100011000010000000000 4
+b0000000000000100011000010000000000 6
+17
+b0000000000000100011000010000000000 8
+b0000100110001111110100000000000000 9
+b0000000000000100011000000000000000 :
+b0000100110001111110100000000000000 ;
+1<
+b0000100110001111110100000000000000 =
+1C
+1J
+#1126500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1127000000
+1"
+b0000100110010100001100000000000000 *
+1-
+12
+b0000000000000100011000100000000000 4
+b0000000000000100011000100000000000 6
+17
+b0000000000000100011000100000000000 8
+b0000100110010100001100000000000000 9
+b0000000000000100011000010000000000 :
+b0000100110010100001100000000000000 ;
+1<
+b0000100110010100001100000000000000 =
+1C
+1J
+#1127500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1128000000
+1"
+b0000100110011000100100010000000000 *
+1-
+12
+b0000000000000100011000110000000000 4
+b0000000000000100011000110000000000 6
+17
+b0000000000000100011000110000000000 8
+b0000100110011000100100010000000000 9
+b0000000000000100011000100000000000 :
+b0000100110011000100100010000000000 ;
+1<
+b0000100110011000100100010000000000 =
+1C
+1J
+#1128500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1129000000
+1"
+b0000100110011100111100110000000000 *
+1-
+12
+b0000000000000100011001000000000000 4
+b0000000000000100011001000000000000 6
+17
+b0000000000000100011001000000000000 8
+b0000100110011100111100110000000000 9
+b0000000000000100011000110000000000 :
+b0000100110011100111100110000000000 ;
+1<
+b0000100110011100111100110000000000 =
+1C
+1J
+#1129500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1130000000
+1"
+b0000100110100001010101100000000000 *
+1-
+12
+b0000000000000100011001010000000000 4
+b0000000000000100011001010000000000 6
+17
+b0000000000000100011001010000000000 8
+b0000100110100001010101100000000000 9
+b0000000000000100011001000000000000 :
+b0000100110100001010101100000000000 ;
+1<
+b0000100110100001010101100000000000 =
+1C
+1J
+#1130500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1131000000
+1"
+b0000100110100101101110100000000000 *
+1-
+12
+b0000000000000100011001100000000000 4
+b0000000000000100011001100000000000 6
+17
+b0000000000000100011001100000000000 8
+b0000100110100101101110100000000000 9
+b0000000000000100011001010000000000 :
+b0000100110100101101110100000000000 ;
+1<
+b0000100110100101101110100000000000 =
+1C
+1J
+#1131500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1132000000
+1"
+b0000100110101010000111110000000000 *
+1-
+12
+b0000000000000100011001110000000000 4
+b0000000000000100011001110000000000 6
+17
+b0000000000000100011001110000000000 8
+b0000100110101010000111110000000000 9
+b0000000000000100011001100000000000 :
+b0000100110101010000111110000000000 ;
+1<
+b0000100110101010000111110000000000 =
+1C
+1J
+#1132500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1133000000
+1"
+b0000100110101110100001010000000000 *
+1-
+12
+b0000000000000100011010000000000000 4
+b0000000000000100011010000000000000 6
+17
+b0000000000000100011010000000000000 8
+b0000100110101110100001010000000000 9
+b0000000000000100011001110000000000 :
+b0000100110101110100001010000000000 ;
+1<
+b0000100110101110100001010000000000 =
+1C
+1J
+#1133500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1134000000
+1"
+b0000100110110010111011000000000000 *
+1-
+12
+b0000000000000100011010010000000000 4
+b0000000000000100011010010000000000 6
+17
+b0000000000000100011010010000000000 8
+b0000100110110010111011000000000000 9
+b0000000000000100011010000000000000 :
+b0000100110110010111011000000000000 ;
+1<
+b0000100110110010111011000000000000 =
+1C
+1J
+#1134500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1135000000
+1"
+b0000100110110111010101000000000000 *
+1-
+12
+b0000000000000100011010100000000000 4
+b0000000000000100011010100000000000 6
+17
+b0000000000000100011010100000000000 8
+b0000100110110111010101000000000000 9
+b0000000000000100011010010000000000 :
+b0000100110110111010101000000000000 ;
+1<
+b0000100110110111010101000000000000 =
+1C
+1J
+#1135500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1136000000
+1"
+b0000100110111011101111010000000000 *
+1-
+12
+b0000000000000100011010110000000000 4
+b0000000000000100011010110000000000 6
+17
+b0000000000000100011010110000000000 8
+b0000100110111011101111010000000000 9
+b0000000000000100011010100000000000 :
+b0000100110111011101111010000000000 ;
+1<
+b0000100110111011101111010000000000 =
+1C
+1J
+#1136500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1137000000
+1"
+b0000100111000000001001110000000000 *
+1-
+12
+b0000000000000100011011000000000000 4
+b0000000000000100011011000000000000 6
+17
+b0000000000000100011011000000000000 8
+b0000100111000000001001110000000000 9
+b0000000000000100011010110000000000 :
+b0000100111000000001001110000000000 ;
+1<
+b0000100111000000001001110000000000 =
+1C
+1J
+#1137500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1138000000
+1"
+b0000100111000100100100100000000000 *
+1-
+12
+b0000000000000100011011010000000000 4
+b0000000000000100011011010000000000 6
+17
+b0000000000000100011011010000000000 8
+b0000100111000100100100100000000000 9
+b0000000000000100011011000000000000 :
+b0000100111000100100100100000000000 ;
+1<
+b0000100111000100100100100000000000 =
+1C
+1J
+#1138500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1139000000
+1"
+b0000100111001000111111100000000000 *
+1-
+12
+b0000000000000100011011100000000000 4
+b0000000000000100011011100000000000 6
+17
+b0000000000000100011011100000000000 8
+b0000100111001000111111100000000000 9
+b0000000000000100011011010000000000 :
+b0000100111001000111111100000000000 ;
+1<
+b0000100111001000111111100000000000 =
+1C
+1J
+#1139500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1140000000
+1"
+b0000100111001101011010110000000000 *
+1-
+12
+b0000000000000100011011110000000000 4
+b0000000000000100011011110000000000 6
+17
+b0000000000000100011011110000000000 8
+b0000100111001101011010110000000000 9
+b0000000000000100011011100000000000 :
+b0000100111001101011010110000000000 ;
+1<
+b0000100111001101011010110000000000 =
+1C
+1J
+#1140500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1141000000
+1"
+b0000100111010001110110010000000000 *
+1-
+12
+b0000000000000100011100000000000000 4
+b0000000000000100011100000000000000 6
+17
+b0000000000000100011100000000000000 8
+b0000100111010001110110010000000000 9
+b0000000000000100011011110000000000 :
+b0000100111010001110110010000000000 ;
+1<
+b0000100111010001110110010000000000 =
+1C
+1J
+#1141500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1142000000
+1"
+b0000100111010110010010000000000000 *
+1-
+12
+b0000000000000100011100010000000000 4
+b0000000000000100011100010000000000 6
+17
+b0000000000000100011100010000000000 8
+b0000100111010110010010000000000000 9
+b0000000000000100011100000000000000 :
+b0000100111010110010010000000000000 ;
+1<
+b0000100111010110010010000000000000 =
+1C
+1J
+#1142500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1143000000
+1"
+b0000100111011010101110000000000000 *
+1-
+12
+b0000000000000100011100100000000000 4
+b0000000000000100011100100000000000 6
+17
+b0000000000000100011100100000000000 8
+b0000100111011010101110000000000000 9
+b0000000000000100011100010000000000 :
+b0000100111011010101110000000000000 ;
+1<
+b0000100111011010101110000000000000 =
+1C
+1J
+#1143500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1144000000
+1"
+b0000100111011111001010010000000000 *
+1-
+12
+b0000000000000100011100110000000000 4
+b0000000000000100011100110000000000 6
+17
+b0000000000000100011100110000000000 8
+b0000100111011111001010010000000000 9
+b0000000000000100011100100000000000 :
+b0000100111011111001010010000000000 ;
+1<
+b0000100111011111001010010000000000 =
+1C
+1J
+#1144500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1145000000
+1"
+b0000100111100011100110110000000000 *
+1-
+12
+b0000000000000100011101000000000000 4
+b0000000000000100011101000000000000 6
+17
+b0000000000000100011101000000000000 8
+b0000100111100011100110110000000000 9
+b0000000000000100011100110000000000 :
+b0000100111100011100110110000000000 ;
+1<
+b0000100111100011100110110000000000 =
+1C
+1J
+#1145500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1146000000
+1"
+b0000100111101000000011100000000000 *
+1-
+12
+b0000000000000100011101010000000000 4
+b0000000000000100011101010000000000 6
+17
+b0000000000000100011101010000000000 8
+b0000100111101000000011100000000000 9
+b0000000000000100011101000000000000 :
+b0000100111101000000011100000000000 ;
+1<
+b0000100111101000000011100000000000 =
+1C
+1J
+#1146500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1147000000
+1"
+b0000100111101100100000100000000000 *
+1-
+12
+b0000000000000100011101100000000000 4
+b0000000000000100011101100000000000 6
+17
+b0000000000000100011101100000000000 8
+b0000100111101100100000100000000000 9
+b0000000000000100011101010000000000 :
+b0000100111101100100000100000000000 ;
+1<
+b0000100111101100100000100000000000 =
+1C
+1J
+#1147500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1148000000
+1"
+b0000100111110000111101110000000000 *
+1-
+12
+b0000000000000100011101110000000000 4
+b0000000000000100011101110000000000 6
+17
+b0000000000000100011101110000000000 8
+b0000100111110000111101110000000000 9
+b0000000000000100011101100000000000 :
+b0000100111110000111101110000000000 ;
+1<
+b0000100111110000111101110000000000 =
+1C
+1J
+#1148500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1149000000
+1"
+b0000100111110101011011010000000000 *
+1-
+12
+b0000000000000100011110000000000000 4
+b0000000000000100011110000000000000 6
+17
+b0000000000000100011110000000000000 8
+b0000100111110101011011010000000000 9
+b0000000000000100011101110000000000 :
+b0000100111110101011011010000000000 ;
+1<
+b0000100111110101011011010000000000 =
+1C
+1J
+#1149500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1150000000
+1"
+b0000100111111001111001000000000000 *
+1-
+12
+b0000000000000100011110010000000000 4
+b0000000000000100011110010000000000 6
+17
+b0000000000000100011110010000000000 8
+b0000100111111001111001000000000000 9
+b0000000000000100011110000000000000 :
+b0000100111111001111001000000000000 ;
+1<
+b0000100111111001111001000000000000 =
+1C
+1J
+#1150500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1151000000
+1"
+b0000100111111110010111000000000000 *
+1-
+12
+b0000000000000100011110100000000000 4
+b0000000000000100011110100000000000 6
+17
+b0000000000000100011110100000000000 8
+b0000100111111110010111000000000000 9
+b0000000000000100011110010000000000 :
+b0000100111111110010111000000000000 ;
+1<
+b0000100111111110010111000000000000 =
+1C
+1J
+#1151500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1152000000
+1"
+b0000101000000010110101010000000000 *
+1-
+12
+b0000000000000100011110110000000000 4
+b0000000000000100011110110000000000 6
+17
+b0000000000000100011110110000000000 8
+b0000101000000010110101010000000000 9
+b0000000000000100011110100000000000 :
+b0000101000000010110101010000000000 ;
+1<
+b0000101000000010110101010000000000 =
+1C
+1J
+#1152500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1153000000
+1"
+1'
+b0000101000000111010011110000000000 *
+1+
+1-
+12
+b0000000000000100011111000000000000 4
+b0000000000000100011111000000000000 6
+17
+b0000000000000100011111000000000000 8
+b0000101000000111010011110000000000 9
+b0000000000000100011110110000000000 :
+b0000101000000111010011110000000000 ;
+1<
+b0000101000000111010011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1153500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1154000000
+1"
+0'
+b0000101000001011110010100000000000 *
+0+
+1-
+12
+b0000000000000100011111010000000000 4
+b0000000000000100011111010000000000 6
+17
+b0000000000000100011111010000000000 8
+b0000101000001011110010100000000000 9
+b0000000000000100011111000000000000 :
+b0000101000001011110010100000000000 ;
+1<
+b0000101000001011110010100000000000 =
+b0000000100010110101000000000000000 >
+0?
+b0000101000000111010011110000000000 A
+b0000000100010110101000000000000000 B
+1C
+b0000000100010110101000000000000000 D
+0F
+b0000000100000110101000000000000000 H
+1J
+0M
+#1154500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1155000000
+1"
+b0000101000010000010001100000000000 *
+1-
+12
+b0000000000000100011111100000000000 4
+b0000000000000100011111100000000000 6
+17
+b0000000000000100011111100000000000 8
+b0000101000010000010001100000000000 9
+b0000000000000100011111010000000000 :
+b0000101000010000010001100000000000 ;
+1<
+b0000101000010000010001100000000000 =
+1C
+1J
+#1155500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1156000000
+1"
+b0000101000010100110000110000000000 *
+1-
+12
+b0000000000000100011111110000000000 4
+b0000000000000100011111110000000000 6
+17
+b0000000000000100011111110000000000 8
+b0000101000010100110000110000000000 9
+b0000000000000100011111100000000000 :
+b0000101000010100110000110000000000 ;
+1<
+b0000101000010100110000110000000000 =
+1C
+1J
+#1156500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1157000000
+1"
+b0000101000011001010000010000000000 *
+1-
+12
+b0000000000000100100000000000000000 4
+b0000000000000100100000000000000000 6
+17
+b0000000000000100100000000000000000 8
+b0000101000011001010000010000000000 9
+b0000000000000100011111110000000000 :
+b0000101000011001010000010000000000 ;
+1<
+b0000101000011001010000010000000000 =
+1C
+1J
+#1157500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1158000000
+1"
+b0000101000011101110000000000000000 *
+1-
+12
+b0000000000000100100000010000000000 4
+b0000000000000100100000010000000000 6
+17
+b0000000000000100100000010000000000 8
+b0000101000011101110000000000000000 9
+b0000000000000100100000000000000000 :
+b0000101000011101110000000000000000 ;
+1<
+b0000101000011101110000000000000000 =
+1C
+1J
+#1158500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1159000000
+1"
+b0000101000100010010000000000000000 *
+1-
+12
+b0000000000000100100000100000000000 4
+b0000000000000100100000100000000000 6
+17
+b0000000000000100100000100000000000 8
+b0000101000100010010000000000000000 9
+b0000000000000100100000010000000000 :
+b0000101000100010010000000000000000 ;
+1<
+b0000101000100010010000000000000000 =
+1C
+1J
+#1159500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1160000000
+1"
+b0000101000100110110000010000000000 *
+1-
+12
+b0000000000000100100000110000000000 4
+b0000000000000100100000110000000000 6
+17
+b0000000000000100100000110000000000 8
+b0000101000100110110000010000000000 9
+b0000000000000100100000100000000000 :
+b0000101000100110110000010000000000 ;
+1<
+b0000101000100110110000010000000000 =
+1C
+1J
+#1160500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1161000000
+1"
+b0000101000101011010000110000000000 *
+1-
+12
+b0000000000000100100001000000000000 4
+b0000000000000100100001000000000000 6
+17
+b0000000000000100100001000000000000 8
+b0000101000101011010000110000000000 9
+b0000000000000100100000110000000000 :
+b0000101000101011010000110000000000 ;
+1<
+b0000101000101011010000110000000000 =
+1C
+1J
+#1161500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1162000000
+1"
+b0000101000101111110001100000000000 *
+1-
+12
+b0000000000000100100001010000000000 4
+b0000000000000100100001010000000000 6
+17
+b0000000000000100100001010000000000 8
+b0000101000101111110001100000000000 9
+b0000000000000100100001000000000000 :
+b0000101000101111110001100000000000 ;
+1<
+b0000101000101111110001100000000000 =
+1C
+1J
+#1162500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1163000000
+1"
+b0000101000110100010010100000000000 *
+1-
+12
+b0000000000000100100001100000000000 4
+b0000000000000100100001100000000000 6
+17
+b0000000000000100100001100000000000 8
+b0000101000110100010010100000000000 9
+b0000000000000100100001010000000000 :
+b0000101000110100010010100000000000 ;
+1<
+b0000101000110100010010100000000000 =
+1C
+1J
+#1163500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1164000000
+1"
+b0000101000111000110011110000000000 *
+1-
+12
+b0000000000000100100001110000000000 4
+b0000000000000100100001110000000000 6
+17
+b0000000000000100100001110000000000 8
+b0000101000111000110011110000000000 9
+b0000000000000100100001100000000000 :
+b0000101000111000110011110000000000 ;
+1<
+b0000101000111000110011110000000000 =
+1C
+1J
+#1164500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1165000000
+1"
+b0000101000111101010101010000000000 *
+1-
+12
+b0000000000000100100010000000000000 4
+b0000000000000100100010000000000000 6
+17
+b0000000000000100100010000000000000 8
+b0000101000111101010101010000000000 9
+b0000000000000100100001110000000000 :
+b0000101000111101010101010000000000 ;
+1<
+b0000101000111101010101010000000000 =
+1C
+1J
+#1165500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1166000000
+1"
+b0000101001000001110111000000000000 *
+1-
+12
+b0000000000000100100010010000000000 4
+b0000000000000100100010010000000000 6
+17
+b0000000000000100100010010000000000 8
+b0000101001000001110111000000000000 9
+b0000000000000100100010000000000000 :
+b0000101001000001110111000000000000 ;
+1<
+b0000101001000001110111000000000000 =
+1C
+1J
+#1166500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1167000000
+1"
+b0000101001000110011001000000000000 *
+1-
+12
+b0000000000000100100010100000000000 4
+b0000000000000100100010100000000000 6
+17
+b0000000000000100100010100000000000 8
+b0000101001000110011001000000000000 9
+b0000000000000100100010010000000000 :
+b0000101001000110011001000000000000 ;
+1<
+b0000101001000110011001000000000000 =
+1C
+1J
+#1167500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1168000000
+1"
+b0000101001001010111011010000000000 *
+1-
+12
+b0000000000000100100010110000000000 4
+b0000000000000100100010110000000000 6
+17
+b0000000000000100100010110000000000 8
+b0000101001001010111011010000000000 9
+b0000000000000100100010100000000000 :
+b0000101001001010111011010000000000 ;
+1<
+b0000101001001010111011010000000000 =
+1C
+1J
+#1168500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1169000000
+1"
+b0000101001001111011101110000000000 *
+1-
+12
+b0000000000000100100011000000000000 4
+b0000000000000100100011000000000000 6
+17
+b0000000000000100100011000000000000 8
+b0000101001001111011101110000000000 9
+b0000000000000100100010110000000000 :
+b0000101001001111011101110000000000 ;
+1<
+b0000101001001111011101110000000000 =
+1C
+1J
+#1169500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1170000000
+1"
+b0000101001010100000000100000000000 *
+1-
+12
+b0000000000000100100011010000000000 4
+b0000000000000100100011010000000000 6
+17
+b0000000000000100100011010000000000 8
+b0000101001010100000000100000000000 9
+b0000000000000100100011000000000000 :
+b0000101001010100000000100000000000 ;
+1<
+b0000101001010100000000100000000000 =
+1C
+1J
+#1170500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1171000000
+1"
+b0000101001011000100011100000000000 *
+1-
+12
+b0000000000000100100011100000000000 4
+b0000000000000100100011100000000000 6
+17
+b0000000000000100100011100000000000 8
+b0000101001011000100011100000000000 9
+b0000000000000100100011010000000000 :
+b0000101001011000100011100000000000 ;
+1<
+b0000101001011000100011100000000000 =
+1C
+1J
+#1171500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1172000000
+1"
+b0000101001011101000110110000000000 *
+1-
+12
+b0000000000000100100011110000000000 4
+b0000000000000100100011110000000000 6
+17
+b0000000000000100100011110000000000 8
+b0000101001011101000110110000000000 9
+b0000000000000100100011100000000000 :
+b0000101001011101000110110000000000 ;
+1<
+b0000101001011101000110110000000000 =
+1C
+1J
+#1172500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1173000000
+1"
+b0000101001100001101010010000000000 *
+1-
+12
+b0000000000000100100100000000000000 4
+b0000000000000100100100000000000000 6
+17
+b0000000000000100100100000000000000 8
+b0000101001100001101010010000000000 9
+b0000000000000100100011110000000000 :
+b0000101001100001101010010000000000 ;
+1<
+b0000101001100001101010010000000000 =
+1C
+1J
+#1173500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1174000000
+1"
+b0000101001100110001110000000000000 *
+1-
+12
+b0000000000000100100100010000000000 4
+b0000000000000100100100010000000000 6
+17
+b0000000000000100100100010000000000 8
+b0000101001100110001110000000000000 9
+b0000000000000100100100000000000000 :
+b0000101001100110001110000000000000 ;
+1<
+b0000101001100110001110000000000000 =
+1C
+1J
+#1174500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1175000000
+1"
+b0000101001101010110010000000000000 *
+1-
+12
+b0000000000000100100100100000000000 4
+b0000000000000100100100100000000000 6
+17
+b0000000000000100100100100000000000 8
+b0000101001101010110010000000000000 9
+b0000000000000100100100010000000000 :
+b0000101001101010110010000000000000 ;
+1<
+b0000101001101010110010000000000000 =
+1C
+1J
+#1175500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1176000000
+1"
+b0000101001101111010110010000000000 *
+1-
+12
+b0000000000000100100100110000000000 4
+b0000000000000100100100110000000000 6
+17
+b0000000000000100100100110000000000 8
+b0000101001101111010110010000000000 9
+b0000000000000100100100100000000000 :
+b0000101001101111010110010000000000 ;
+1<
+b0000101001101111010110010000000000 =
+1C
+1J
+#1176500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1177000000
+1"
+b0000101001110011111010110000000000 *
+1-
+12
+b0000000000000100100101000000000000 4
+b0000000000000100100101000000000000 6
+17
+b0000000000000100100101000000000000 8
+b0000101001110011111010110000000000 9
+b0000000000000100100100110000000000 :
+b0000101001110011111010110000000000 ;
+1<
+b0000101001110011111010110000000000 =
+1C
+1J
+#1177500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1178000000
+1"
+b0000101001111000011111100000000000 *
+1-
+12
+b0000000000000100100101010000000000 4
+b0000000000000100100101010000000000 6
+17
+b0000000000000100100101010000000000 8
+b0000101001111000011111100000000000 9
+b0000000000000100100101000000000000 :
+b0000101001111000011111100000000000 ;
+1<
+b0000101001111000011111100000000000 =
+1C
+1J
+#1178500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1179000000
+1"
+b0000101001111101000100100000000000 *
+1-
+12
+b0000000000000100100101100000000000 4
+b0000000000000100100101100000000000 6
+17
+b0000000000000100100101100000000000 8
+b0000101001111101000100100000000000 9
+b0000000000000100100101010000000000 :
+b0000101001111101000100100000000000 ;
+1<
+b0000101001111101000100100000000000 =
+1C
+1J
+#1179500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1180000000
+1"
+b0000101010000001101001110000000000 *
+1-
+12
+b0000000000000100100101110000000000 4
+b0000000000000100100101110000000000 6
+17
+b0000000000000100100101110000000000 8
+b0000101010000001101001110000000000 9
+b0000000000000100100101100000000000 :
+b0000101010000001101001110000000000 ;
+1<
+b0000101010000001101001110000000000 =
+1C
+1J
+#1180500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1181000000
+1"
+b0000101010000110001111010000000000 *
+1-
+12
+b0000000000000100100110000000000000 4
+b0000000000000100100110000000000000 6
+17
+b0000000000000100100110000000000000 8
+b0000101010000110001111010000000000 9
+b0000000000000100100101110000000000 :
+b0000101010000110001111010000000000 ;
+1<
+b0000101010000110001111010000000000 =
+1C
+1J
+#1181500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1182000000
+1"
+b0000101010001010110101000000000000 *
+1-
+12
+b0000000000000100100110010000000000 4
+b0000000000000100100110010000000000 6
+17
+b0000000000000100100110010000000000 8
+b0000101010001010110101000000000000 9
+b0000000000000100100110000000000000 :
+b0000101010001010110101000000000000 ;
+1<
+b0000101010001010110101000000000000 =
+1C
+1J
+#1182500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1183000000
+1"
+b0000101010001111011011000000000000 *
+1-
+12
+b0000000000000100100110100000000000 4
+b0000000000000100100110100000000000 6
+17
+b0000000000000100100110100000000000 8
+b0000101010001111011011000000000000 9
+b0000000000000100100110010000000000 :
+b0000101010001111011011000000000000 ;
+1<
+b0000101010001111011011000000000000 =
+1C
+1J
+#1183500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1184000000
+1"
+b0000101010010100000001010000000000 *
+1-
+12
+b0000000000000100100110110000000000 4
+b0000000000000100100110110000000000 6
+17
+b0000000000000100100110110000000000 8
+b0000101010010100000001010000000000 9
+b0000000000000100100110100000000000 :
+b0000101010010100000001010000000000 ;
+1<
+b0000101010010100000001010000000000 =
+1C
+1J
+#1184500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1185000000
+1"
+b0000101010011000100111110000000000 *
+1-
+12
+b0000000000000100100111000000000000 4
+b0000000000000100100111000000000000 6
+17
+b0000000000000100100111000000000000 8
+b0000101010011000100111110000000000 9
+b0000000000000100100110110000000000 :
+b0000101010011000100111110000000000 ;
+1<
+b0000101010011000100111110000000000 =
+1C
+1J
+#1185500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1186000000
+1"
+b0000101010011101001110100000000000 *
+1-
+12
+b0000000000000100100111010000000000 4
+b0000000000000100100111010000000000 6
+17
+b0000000000000100100111010000000000 8
+b0000101010011101001110100000000000 9
+b0000000000000100100111000000000000 :
+b0000101010011101001110100000000000 ;
+1<
+b0000101010011101001110100000000000 =
+1C
+1J
+#1186500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1187000000
+1"
+b0000101010100001110101100000000000 *
+1-
+12
+b0000000000000100100111100000000000 4
+b0000000000000100100111100000000000 6
+17
+b0000000000000100100111100000000000 8
+b0000101010100001110101100000000000 9
+b0000000000000100100111010000000000 :
+b0000101010100001110101100000000000 ;
+1<
+b0000101010100001110101100000000000 =
+1C
+1J
+#1187500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1188000000
+1"
+b0000101010100110011100110000000000 *
+1-
+12
+b0000000000000100100111110000000000 4
+b0000000000000100100111110000000000 6
+17
+b0000000000000100100111110000000000 8
+b0000101010100110011100110000000000 9
+b0000000000000100100111100000000000 :
+b0000101010100110011100110000000000 ;
+1<
+b0000101010100110011100110000000000 =
+1C
+1J
+#1188500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1189000000
+1"
+b0000101010101011000100010000000000 *
+1-
+12
+b0000000000000100101000000000000000 4
+b0000000000000100101000000000000000 6
+17
+b0000000000000100101000000000000000 8
+b0000101010101011000100010000000000 9
+b0000000000000100100111110000000000 :
+b0000101010101011000100010000000000 ;
+1<
+b0000101010101011000100010000000000 =
+1C
+1J
+#1189500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1190000000
+1"
+b0000101010101111101100000000000000 *
+1-
+12
+b0000000000000100101000010000000000 4
+b0000000000000100101000010000000000 6
+17
+b0000000000000100101000010000000000 8
+b0000101010101111101100000000000000 9
+b0000000000000100101000000000000000 :
+b0000101010101111101100000000000000 ;
+1<
+b0000101010101111101100000000000000 =
+1C
+1J
+#1190500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1191000000
+1"
+b0000101010110100010100000000000000 *
+1-
+12
+b0000000000000100101000100000000000 4
+b0000000000000100101000100000000000 6
+17
+b0000000000000100101000100000000000 8
+b0000101010110100010100000000000000 9
+b0000000000000100101000010000000000 :
+b0000101010110100010100000000000000 ;
+1<
+b0000101010110100010100000000000000 =
+1C
+1J
+#1191500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1192000000
+1"
+b0000101010111000111100010000000000 *
+1-
+12
+b0000000000000100101000110000000000 4
+b0000000000000100101000110000000000 6
+17
+b0000000000000100101000110000000000 8
+b0000101010111000111100010000000000 9
+b0000000000000100101000100000000000 :
+b0000101010111000111100010000000000 ;
+1<
+b0000101010111000111100010000000000 =
+1C
+1J
+#1192500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1193000000
+1"
+b0000101010111101100100110000000000 *
+1-
+12
+b0000000000000100101001000000000000 4
+b0000000000000100101001000000000000 6
+17
+b0000000000000100101001000000000000 8
+b0000101010111101100100110000000000 9
+b0000000000000100101000110000000000 :
+b0000101010111101100100110000000000 ;
+1<
+b0000101010111101100100110000000000 =
+1C
+1J
+#1193500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1194000000
+1"
+b0000101011000010001101100000000000 *
+1-
+12
+b0000000000000100101001010000000000 4
+b0000000000000100101001010000000000 6
+17
+b0000000000000100101001010000000000 8
+b0000101011000010001101100000000000 9
+b0000000000000100101001000000000000 :
+b0000101011000010001101100000000000 ;
+1<
+b0000101011000010001101100000000000 =
+1C
+1J
+#1194500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1195000000
+1"
+b0000101011000110110110100000000000 *
+1-
+12
+b0000000000000100101001100000000000 4
+b0000000000000100101001100000000000 6
+17
+b0000000000000100101001100000000000 8
+b0000101011000110110110100000000000 9
+b0000000000000100101001010000000000 :
+b0000101011000110110110100000000000 ;
+1<
+b0000101011000110110110100000000000 =
+1C
+1J
+#1195500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1196000000
+1"
+b0000101011001011011111110000000000 *
+1-
+12
+b0000000000000100101001110000000000 4
+b0000000000000100101001110000000000 6
+17
+b0000000000000100101001110000000000 8
+b0000101011001011011111110000000000 9
+b0000000000000100101001100000000000 :
+b0000101011001011011111110000000000 ;
+1<
+b0000101011001011011111110000000000 =
+1C
+1J
+#1196500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1197000000
+1"
+b0000101011010000001001010000000000 *
+1-
+12
+b0000000000000100101010000000000000 4
+b0000000000000100101010000000000000 6
+17
+b0000000000000100101010000000000000 8
+b0000101011010000001001010000000000 9
+b0000000000000100101001110000000000 :
+b0000101011010000001001010000000000 ;
+1<
+b0000101011010000001001010000000000 =
+1C
+1J
+#1197500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1198000000
+1"
+b0000101011010100110011000000000000 *
+1-
+12
+b0000000000000100101010010000000000 4
+b0000000000000100101010010000000000 6
+17
+b0000000000000100101010010000000000 8
+b0000101011010100110011000000000000 9
+b0000000000000100101010000000000000 :
+b0000101011010100110011000000000000 ;
+1<
+b0000101011010100110011000000000000 =
+1C
+1J
+#1198500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1199000000
+1"
+b0000101011011001011101000000000000 *
+1-
+12
+b0000000000000100101010100000000000 4
+b0000000000000100101010100000000000 6
+17
+b0000000000000100101010100000000000 8
+b0000101011011001011101000000000000 9
+b0000000000000100101010010000000000 :
+b0000101011011001011101000000000000 ;
+1<
+b0000101011011001011101000000000000 =
+1C
+1J
+#1199500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1200000000
+1"
+b0000101011011110000111010000000000 *
+1-
+12
+b0000000000000100101010110000000000 4
+b0000000000000100101010110000000000 6
+17
+b0000000000000100101010110000000000 8
+b0000101011011110000111010000000000 9
+b0000000000000100101010100000000000 :
+b0000101011011110000111010000000000 ;
+1<
+b0000101011011110000111010000000000 =
+1C
+1J
+#1200500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1201000000
+1"
+b0000101011100010110001110000000000 *
+1-
+12
+b0000000000000100101011000000000000 4
+b0000000000000100101011000000000000 6
+17
+b0000000000000100101011000000000000 8
+b0000101011100010110001110000000000 9
+b0000000000000100101010110000000000 :
+b0000101011100010110001110000000000 ;
+1<
+b0000101011100010110001110000000000 =
+1C
+1J
+#1201500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1202000000
+1"
+b0000101011100111011100100000000000 *
+1-
+12
+b0000000000000100101011010000000000 4
+b0000000000000100101011010000000000 6
+17
+b0000000000000100101011010000000000 8
+b0000101011100111011100100000000000 9
+b0000000000000100101011000000000000 :
+b0000101011100111011100100000000000 ;
+1<
+b0000101011100111011100100000000000 =
+1C
+1J
+#1202500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1203000000
+1"
+b0000101011101100000111100000000000 *
+1-
+12
+b0000000000000100101011100000000000 4
+b0000000000000100101011100000000000 6
+17
+b0000000000000100101011100000000000 8
+b0000101011101100000111100000000000 9
+b0000000000000100101011010000000000 :
+b0000101011101100000111100000000000 ;
+1<
+b0000101011101100000111100000000000 =
+1C
+1J
+#1203500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1204000000
+1"
+b0000101011110000110010110000000000 *
+1-
+12
+b0000000000000100101011110000000000 4
+b0000000000000100101011110000000000 6
+17
+b0000000000000100101011110000000000 8
+b0000101011110000110010110000000000 9
+b0000000000000100101011100000000000 :
+b0000101011110000110010110000000000 ;
+1<
+b0000101011110000110010110000000000 =
+1C
+1J
+#1204500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1205000000
+1"
+b0000101011110101011110010000000000 *
+1-
+12
+b0000000000000100101100000000000000 4
+b0000000000000100101100000000000000 6
+17
+b0000000000000100101100000000000000 8
+b0000101011110101011110010000000000 9
+b0000000000000100101011110000000000 :
+b0000101011110101011110010000000000 ;
+1<
+b0000101011110101011110010000000000 =
+1C
+1J
+#1205500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1206000000
+1"
+b0000101011111010001010000000000000 *
+1-
+12
+b0000000000000100101100010000000000 4
+b0000000000000100101100010000000000 6
+17
+b0000000000000100101100010000000000 8
+b0000101011111010001010000000000000 9
+b0000000000000100101100000000000000 :
+b0000101011111010001010000000000000 ;
+1<
+b0000101011111010001010000000000000 =
+1C
+1J
+#1206500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1207000000
+1"
+b0000101011111110110110000000000000 *
+1-
+12
+b0000000000000100101100100000000000 4
+b0000000000000100101100100000000000 6
+17
+b0000000000000100101100100000000000 8
+b0000101011111110110110000000000000 9
+b0000000000000100101100010000000000 :
+b0000101011111110110110000000000000 ;
+1<
+b0000101011111110110110000000000000 =
+1C
+1J
+#1207500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1208000000
+1"
+b0000101100000011100010010000000000 *
+1-
+12
+b0000000000000100101100110000000000 4
+b0000000000000100101100110000000000 6
+17
+b0000000000000100101100110000000000 8
+b0000101100000011100010010000000000 9
+b0000000000000100101100100000000000 :
+b0000101100000011100010010000000000 ;
+1<
+b0000101100000011100010010000000000 =
+1C
+1J
+#1208500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1209000000
+1"
+b0000101100001000001110110000000000 *
+1-
+12
+b0000000000000100101101000000000000 4
+b0000000000000100101101000000000000 6
+17
+b0000000000000100101101000000000000 8
+b0000101100001000001110110000000000 9
+b0000000000000100101100110000000000 :
+b0000101100001000001110110000000000 ;
+1<
+b0000101100001000001110110000000000 =
+1C
+1J
+#1209500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1210000000
+1"
+b0000101100001100111011100000000000 *
+1-
+12
+b0000000000000100101101010000000000 4
+b0000000000000100101101010000000000 6
+17
+b0000000000000100101101010000000000 8
+b0000101100001100111011100000000000 9
+b0000000000000100101101000000000000 :
+b0000101100001100111011100000000000 ;
+1<
+b0000101100001100111011100000000000 =
+1C
+1J
+#1210500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1211000000
+1"
+b0000101100010001101000100000000000 *
+1-
+12
+b0000000000000100101101100000000000 4
+b0000000000000100101101100000000000 6
+17
+b0000000000000100101101100000000000 8
+b0000101100010001101000100000000000 9
+b0000000000000100101101010000000000 :
+b0000101100010001101000100000000000 ;
+1<
+b0000101100010001101000100000000000 =
+1C
+1J
+#1211500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1212000000
+1"
+b0000101100010110010101110000000000 *
+1-
+12
+b0000000000000100101101110000000000 4
+b0000000000000100101101110000000000 6
+17
+b0000000000000100101101110000000000 8
+b0000101100010110010101110000000000 9
+b0000000000000100101101100000000000 :
+b0000101100010110010101110000000000 ;
+1<
+b0000101100010110010101110000000000 =
+1C
+1J
+#1212500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1213000000
+1"
+b0000101100011011000011010000000000 *
+1-
+12
+b0000000000000100101110000000000000 4
+b0000000000000100101110000000000000 6
+17
+b0000000000000100101110000000000000 8
+b0000101100011011000011010000000000 9
+b0000000000000100101101110000000000 :
+b0000101100011011000011010000000000 ;
+1<
+b0000101100011011000011010000000000 =
+1C
+1J
+#1213500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1214000000
+1"
+b0000101100011111110001000000000000 *
+1-
+12
+b0000000000000100101110010000000000 4
+b0000000000000100101110010000000000 6
+17
+b0000000000000100101110010000000000 8
+b0000101100011111110001000000000000 9
+b0000000000000100101110000000000000 :
+b0000101100011111110001000000000000 ;
+1<
+b0000101100011111110001000000000000 =
+1C
+1J
+#1214500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1215000000
+1"
+b0000101100100100011111000000000000 *
+1-
+12
+b0000000000000100101110100000000000 4
+b0000000000000100101110100000000000 6
+17
+b0000000000000100101110100000000000 8
+b0000101100100100011111000000000000 9
+b0000000000000100101110010000000000 :
+b0000101100100100011111000000000000 ;
+1<
+b0000101100100100011111000000000000 =
+1C
+1J
+#1215500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1216000000
+1"
+b0000101100101001001101010000000000 *
+1-
+12
+b0000000000000100101110110000000000 4
+b0000000000000100101110110000000000 6
+17
+b0000000000000100101110110000000000 8
+b0000101100101001001101010000000000 9
+b0000000000000100101110100000000000 :
+b0000101100101001001101010000000000 ;
+1<
+b0000101100101001001101010000000000 =
+1C
+1J
+#1216500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1217000000
+1"
+1'
+b0000101100101101111011110000000000 *
+1+
+1-
+12
+b0000000000000100101111000000000000 4
+b0000000000000100101111000000000000 6
+17
+b0000000000000100101111000000000000 8
+b0000101100101101111011110000000000 9
+b0000000000000100101110110000000000 :
+b0000101100101101111011110000000000 ;
+1<
+b0000101100101101111011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1217500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1218000000
+1"
+0'
+b0000101100110010101010100000000000 *
+0+
+1-
+12
+b0000000000000100101111010000000000 4
+b0000000000000100101111010000000000 6
+17
+b0000000000000100101111010000000000 8
+b0000101100110010101010100000000000 9
+b0000000000000100101111000000000000 :
+b0000101100110010101010100000000000 ;
+1<
+b0000101100110010101010100000000000 =
+b0000000100100110101000000000000000 >
+0?
+b0000101100101101111011110000000000 A
+b0000000100100110101000000000000000 B
+1C
+b0000000100100110101000000000000000 D
+0F
+b0000000100010110101000000000000000 H
+1J
+0M
+#1218500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1219000000
+1"
+b0000101100110111011001100000000000 *
+1-
+12
+b0000000000000100101111100000000000 4
+b0000000000000100101111100000000000 6
+17
+b0000000000000100101111100000000000 8
+b0000101100110111011001100000000000 9
+b0000000000000100101111010000000000 :
+b0000101100110111011001100000000000 ;
+1<
+b0000101100110111011001100000000000 =
+1C
+1J
+#1219500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1220000000
+1"
+b0000101100111100001000110000000000 *
+1-
+12
+b0000000000000100101111110000000000 4
+b0000000000000100101111110000000000 6
+17
+b0000000000000100101111110000000000 8
+b0000101100111100001000110000000000 9
+b0000000000000100101111100000000000 :
+b0000101100111100001000110000000000 ;
+1<
+b0000101100111100001000110000000000 =
+1C
+1J
+#1220500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1221000000
+1"
+b0000101101000000111000010000000000 *
+1-
+12
+b0000000000000100110000000000000000 4
+b0000000000000100110000000000000000 6
+17
+b0000000000000100110000000000000000 8
+b0000101101000000111000010000000000 9
+b0000000000000100101111110000000000 :
+b0000101101000000111000010000000000 ;
+1<
+b0000101101000000111000010000000000 =
+1C
+1J
+#1221500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1222000000
+1"
+b0000101101000101101000000000000000 *
+1-
+12
+b0000000000000100110000010000000000 4
+b0000000000000100110000010000000000 6
+17
+b0000000000000100110000010000000000 8
+b0000101101000101101000000000000000 9
+b0000000000000100110000000000000000 :
+b0000101101000101101000000000000000 ;
+1<
+b0000101101000101101000000000000000 =
+1C
+1J
+#1222500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1223000000
+1"
+b0000101101001010011000000000000000 *
+1-
+12
+b0000000000000100110000100000000000 4
+b0000000000000100110000100000000000 6
+17
+b0000000000000100110000100000000000 8
+b0000101101001010011000000000000000 9
+b0000000000000100110000010000000000 :
+b0000101101001010011000000000000000 ;
+1<
+b0000101101001010011000000000000000 =
+1C
+1J
+#1223500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1224000000
+1"
+b0000101101001111001000010000000000 *
+1-
+12
+b0000000000000100110000110000000000 4
+b0000000000000100110000110000000000 6
+17
+b0000000000000100110000110000000000 8
+b0000101101001111001000010000000000 9
+b0000000000000100110000100000000000 :
+b0000101101001111001000010000000000 ;
+1<
+b0000101101001111001000010000000000 =
+1C
+1J
+#1224500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1225000000
+1"
+b0000101101010011111000110000000000 *
+1-
+12
+b0000000000000100110001000000000000 4
+b0000000000000100110001000000000000 6
+17
+b0000000000000100110001000000000000 8
+b0000101101010011111000110000000000 9
+b0000000000000100110000110000000000 :
+b0000101101010011111000110000000000 ;
+1<
+b0000101101010011111000110000000000 =
+1C
+1J
+#1225500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1226000000
+1"
+b0000101101011000101001100000000000 *
+1-
+12
+b0000000000000100110001010000000000 4
+b0000000000000100110001010000000000 6
+17
+b0000000000000100110001010000000000 8
+b0000101101011000101001100000000000 9
+b0000000000000100110001000000000000 :
+b0000101101011000101001100000000000 ;
+1<
+b0000101101011000101001100000000000 =
+1C
+1J
+#1226500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1227000000
+1"
+b0000101101011101011010100000000000 *
+1-
+12
+b0000000000000100110001100000000000 4
+b0000000000000100110001100000000000 6
+17
+b0000000000000100110001100000000000 8
+b0000101101011101011010100000000000 9
+b0000000000000100110001010000000000 :
+b0000101101011101011010100000000000 ;
+1<
+b0000101101011101011010100000000000 =
+1C
+1J
+#1227500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1228000000
+1"
+b0000101101100010001011110000000000 *
+1-
+12
+b0000000000000100110001110000000000 4
+b0000000000000100110001110000000000 6
+17
+b0000000000000100110001110000000000 8
+b0000101101100010001011110000000000 9
+b0000000000000100110001100000000000 :
+b0000101101100010001011110000000000 ;
+1<
+b0000101101100010001011110000000000 =
+1C
+1J
+#1228500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1229000000
+1"
+b0000101101100110111101010000000000 *
+1-
+12
+b0000000000000100110010000000000000 4
+b0000000000000100110010000000000000 6
+17
+b0000000000000100110010000000000000 8
+b0000101101100110111101010000000000 9
+b0000000000000100110001110000000000 :
+b0000101101100110111101010000000000 ;
+1<
+b0000101101100110111101010000000000 =
+1C
+1J
+#1229500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1230000000
+1"
+b0000101101101011101111000000000000 *
+1-
+12
+b0000000000000100110010010000000000 4
+b0000000000000100110010010000000000 6
+17
+b0000000000000100110010010000000000 8
+b0000101101101011101111000000000000 9
+b0000000000000100110010000000000000 :
+b0000101101101011101111000000000000 ;
+1<
+b0000101101101011101111000000000000 =
+1C
+1J
+#1230500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1231000000
+1"
+b0000101101110000100001000000000000 *
+1-
+12
+b0000000000000100110010100000000000 4
+b0000000000000100110010100000000000 6
+17
+b0000000000000100110010100000000000 8
+b0000101101110000100001000000000000 9
+b0000000000000100110010010000000000 :
+b0000101101110000100001000000000000 ;
+1<
+b0000101101110000100001000000000000 =
+1C
+1J
+#1231500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1232000000
+1"
+b0000101101110101010011010000000000 *
+1-
+12
+b0000000000000100110010110000000000 4
+b0000000000000100110010110000000000 6
+17
+b0000000000000100110010110000000000 8
+b0000101101110101010011010000000000 9
+b0000000000000100110010100000000000 :
+b0000101101110101010011010000000000 ;
+1<
+b0000101101110101010011010000000000 =
+1C
+1J
+#1232500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1233000000
+1"
+b0000101101111010000101110000000000 *
+1-
+12
+b0000000000000100110011000000000000 4
+b0000000000000100110011000000000000 6
+17
+b0000000000000100110011000000000000 8
+b0000101101111010000101110000000000 9
+b0000000000000100110010110000000000 :
+b0000101101111010000101110000000000 ;
+1<
+b0000101101111010000101110000000000 =
+1C
+1J
+#1233500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1234000000
+1"
+b0000101101111110111000100000000000 *
+1-
+12
+b0000000000000100110011010000000000 4
+b0000000000000100110011010000000000 6
+17
+b0000000000000100110011010000000000 8
+b0000101101111110111000100000000000 9
+b0000000000000100110011000000000000 :
+b0000101101111110111000100000000000 ;
+1<
+b0000101101111110111000100000000000 =
+1C
+1J
+#1234500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1235000000
+1"
+b0000101110000011101011100000000000 *
+1-
+12
+b0000000000000100110011100000000000 4
+b0000000000000100110011100000000000 6
+17
+b0000000000000100110011100000000000 8
+b0000101110000011101011100000000000 9
+b0000000000000100110011010000000000 :
+b0000101110000011101011100000000000 ;
+1<
+b0000101110000011101011100000000000 =
+1C
+1J
+#1235500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1236000000
+1"
+b0000101110001000011110110000000000 *
+1-
+12
+b0000000000000100110011110000000000 4
+b0000000000000100110011110000000000 6
+17
+b0000000000000100110011110000000000 8
+b0000101110001000011110110000000000 9
+b0000000000000100110011100000000000 :
+b0000101110001000011110110000000000 ;
+1<
+b0000101110001000011110110000000000 =
+1C
+1J
+#1236500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1237000000
+1"
+b0000101110001101010010010000000000 *
+1-
+12
+b0000000000000100110100000000000000 4
+b0000000000000100110100000000000000 6
+17
+b0000000000000100110100000000000000 8
+b0000101110001101010010010000000000 9
+b0000000000000100110011110000000000 :
+b0000101110001101010010010000000000 ;
+1<
+b0000101110001101010010010000000000 =
+1C
+1J
+#1237500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1238000000
+1"
+b0000101110010010000110000000000000 *
+1-
+12
+b0000000000000100110100010000000000 4
+b0000000000000100110100010000000000 6
+17
+b0000000000000100110100010000000000 8
+b0000101110010010000110000000000000 9
+b0000000000000100110100000000000000 :
+b0000101110010010000110000000000000 ;
+1<
+b0000101110010010000110000000000000 =
+1C
+1J
+#1238500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1239000000
+1"
+b0000101110010110111010000000000000 *
+1-
+12
+b0000000000000100110100100000000000 4
+b0000000000000100110100100000000000 6
+17
+b0000000000000100110100100000000000 8
+b0000101110010110111010000000000000 9
+b0000000000000100110100010000000000 :
+b0000101110010110111010000000000000 ;
+1<
+b0000101110010110111010000000000000 =
+1C
+1J
+#1239500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1240000000
+1"
+b0000101110011011101110010000000000 *
+1-
+12
+b0000000000000100110100110000000000 4
+b0000000000000100110100110000000000 6
+17
+b0000000000000100110100110000000000 8
+b0000101110011011101110010000000000 9
+b0000000000000100110100100000000000 :
+b0000101110011011101110010000000000 ;
+1<
+b0000101110011011101110010000000000 =
+1C
+1J
+#1240500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1241000000
+1"
+b0000101110100000100010110000000000 *
+1-
+12
+b0000000000000100110101000000000000 4
+b0000000000000100110101000000000000 6
+17
+b0000000000000100110101000000000000 8
+b0000101110100000100010110000000000 9
+b0000000000000100110100110000000000 :
+b0000101110100000100010110000000000 ;
+1<
+b0000101110100000100010110000000000 =
+1C
+1J
+#1241500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1242000000
+1"
+b0000101110100101010111100000000000 *
+1-
+12
+b0000000000000100110101010000000000 4
+b0000000000000100110101010000000000 6
+17
+b0000000000000100110101010000000000 8
+b0000101110100101010111100000000000 9
+b0000000000000100110101000000000000 :
+b0000101110100101010111100000000000 ;
+1<
+b0000101110100101010111100000000000 =
+1C
+1J
+#1242500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1243000000
+1"
+b0000101110101010001100100000000000 *
+1-
+12
+b0000000000000100110101100000000000 4
+b0000000000000100110101100000000000 6
+17
+b0000000000000100110101100000000000 8
+b0000101110101010001100100000000000 9
+b0000000000000100110101010000000000 :
+b0000101110101010001100100000000000 ;
+1<
+b0000101110101010001100100000000000 =
+1C
+1J
+#1243500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1244000000
+1"
+b0000101110101111000001110000000000 *
+1-
+12
+b0000000000000100110101110000000000 4
+b0000000000000100110101110000000000 6
+17
+b0000000000000100110101110000000000 8
+b0000101110101111000001110000000000 9
+b0000000000000100110101100000000000 :
+b0000101110101111000001110000000000 ;
+1<
+b0000101110101111000001110000000000 =
+1C
+1J
+#1244500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1245000000
+1"
+b0000101110110011110111010000000000 *
+1-
+12
+b0000000000000100110110000000000000 4
+b0000000000000100110110000000000000 6
+17
+b0000000000000100110110000000000000 8
+b0000101110110011110111010000000000 9
+b0000000000000100110101110000000000 :
+b0000101110110011110111010000000000 ;
+1<
+b0000101110110011110111010000000000 =
+1C
+1J
+#1245500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1246000000
+1"
+b0000101110111000101101000000000000 *
+1-
+12
+b0000000000000100110110010000000000 4
+b0000000000000100110110010000000000 6
+17
+b0000000000000100110110010000000000 8
+b0000101110111000101101000000000000 9
+b0000000000000100110110000000000000 :
+b0000101110111000101101000000000000 ;
+1<
+b0000101110111000101101000000000000 =
+1C
+1J
+#1246500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1247000000
+1"
+b0000101110111101100011000000000000 *
+1-
+12
+b0000000000000100110110100000000000 4
+b0000000000000100110110100000000000 6
+17
+b0000000000000100110110100000000000 8
+b0000101110111101100011000000000000 9
+b0000000000000100110110010000000000 :
+b0000101110111101100011000000000000 ;
+1<
+b0000101110111101100011000000000000 =
+1C
+1J
+#1247500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1248000000
+1"
+b0000101111000010011001010000000000 *
+1-
+12
+b0000000000000100110110110000000000 4
+b0000000000000100110110110000000000 6
+17
+b0000000000000100110110110000000000 8
+b0000101111000010011001010000000000 9
+b0000000000000100110110100000000000 :
+b0000101111000010011001010000000000 ;
+1<
+b0000101111000010011001010000000000 =
+1C
+1J
+#1248500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1249000000
+1"
+b0000101111000111001111110000000000 *
+1-
+12
+b0000000000000100110111000000000000 4
+b0000000000000100110111000000000000 6
+17
+b0000000000000100110111000000000000 8
+b0000101111000111001111110000000000 9
+b0000000000000100110110110000000000 :
+b0000101111000111001111110000000000 ;
+1<
+b0000101111000111001111110000000000 =
+1C
+1J
+#1249500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1250000000
+1"
+b0000101111001100000110100000000000 *
+1-
+12
+b0000000000000100110111010000000000 4
+b0000000000000100110111010000000000 6
+17
+b0000000000000100110111010000000000 8
+b0000101111001100000110100000000000 9
+b0000000000000100110111000000000000 :
+b0000101111001100000110100000000000 ;
+1<
+b0000101111001100000110100000000000 =
+1C
+1J
+#1250500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1251000000
+1"
+b0000101111010000111101100000000000 *
+1-
+12
+b0000000000000100110111100000000000 4
+b0000000000000100110111100000000000 6
+17
+b0000000000000100110111100000000000 8
+b0000101111010000111101100000000000 9
+b0000000000000100110111010000000000 :
+b0000101111010000111101100000000000 ;
+1<
+b0000101111010000111101100000000000 =
+1C
+1J
+#1251500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1252000000
+1"
+b0000101111010101110100110000000000 *
+1-
+12
+b0000000000000100110111110000000000 4
+b0000000000000100110111110000000000 6
+17
+b0000000000000100110111110000000000 8
+b0000101111010101110100110000000000 9
+b0000000000000100110111100000000000 :
+b0000101111010101110100110000000000 ;
+1<
+b0000101111010101110100110000000000 =
+1C
+1J
+#1252500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1253000000
+1"
+b0000101111011010101100010000000000 *
+1-
+12
+b0000000000000100111000000000000000 4
+b0000000000000100111000000000000000 6
+17
+b0000000000000100111000000000000000 8
+b0000101111011010101100010000000000 9
+b0000000000000100110111110000000000 :
+b0000101111011010101100010000000000 ;
+1<
+b0000101111011010101100010000000000 =
+1C
+1J
+#1253500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1254000000
+1"
+b0000101111011111100100000000000000 *
+1-
+12
+b0000000000000100111000010000000000 4
+b0000000000000100111000010000000000 6
+17
+b0000000000000100111000010000000000 8
+b0000101111011111100100000000000000 9
+b0000000000000100111000000000000000 :
+b0000101111011111100100000000000000 ;
+1<
+b0000101111011111100100000000000000 =
+1C
+1J
+#1254500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1255000000
+1"
+b0000101111100100011100000000000000 *
+1-
+12
+b0000000000000100111000100000000000 4
+b0000000000000100111000100000000000 6
+17
+b0000000000000100111000100000000000 8
+b0000101111100100011100000000000000 9
+b0000000000000100111000010000000000 :
+b0000101111100100011100000000000000 ;
+1<
+b0000101111100100011100000000000000 =
+1C
+1J
+#1255500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1256000000
+1"
+b0000101111101001010100010000000000 *
+1-
+12
+b0000000000000100111000110000000000 4
+b0000000000000100111000110000000000 6
+17
+b0000000000000100111000110000000000 8
+b0000101111101001010100010000000000 9
+b0000000000000100111000100000000000 :
+b0000101111101001010100010000000000 ;
+1<
+b0000101111101001010100010000000000 =
+1C
+1J
+#1256500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1257000000
+1"
+b0000101111101110001100110000000000 *
+1-
+12
+b0000000000000100111001000000000000 4
+b0000000000000100111001000000000000 6
+17
+b0000000000000100111001000000000000 8
+b0000101111101110001100110000000000 9
+b0000000000000100111000110000000000 :
+b0000101111101110001100110000000000 ;
+1<
+b0000101111101110001100110000000000 =
+1C
+1J
+#1257500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1258000000
+1"
+b0000101111110011000101100000000000 *
+1-
+12
+b0000000000000100111001010000000000 4
+b0000000000000100111001010000000000 6
+17
+b0000000000000100111001010000000000 8
+b0000101111110011000101100000000000 9
+b0000000000000100111001000000000000 :
+b0000101111110011000101100000000000 ;
+1<
+b0000101111110011000101100000000000 =
+1C
+1J
+#1258500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1259000000
+1"
+b0000101111110111111110100000000000 *
+1-
+12
+b0000000000000100111001100000000000 4
+b0000000000000100111001100000000000 6
+17
+b0000000000000100111001100000000000 8
+b0000101111110111111110100000000000 9
+b0000000000000100111001010000000000 :
+b0000101111110111111110100000000000 ;
+1<
+b0000101111110111111110100000000000 =
+1C
+1J
+#1259500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1260000000
+1"
+b0000101111111100110111110000000000 *
+1-
+12
+b0000000000000100111001110000000000 4
+b0000000000000100111001110000000000 6
+17
+b0000000000000100111001110000000000 8
+b0000101111111100110111110000000000 9
+b0000000000000100111001100000000000 :
+b0000101111111100110111110000000000 ;
+1<
+b0000101111111100110111110000000000 =
+1C
+1J
+#1260500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1261000000
+1"
+b0000110000000001110001010000000000 *
+1-
+12
+b0000000000000100111010000000000000 4
+b0000000000000100111010000000000000 6
+17
+b0000000000000100111010000000000000 8
+b0000110000000001110001010000000000 9
+b0000000000000100111001110000000000 :
+b0000110000000001110001010000000000 ;
+1<
+b0000110000000001110001010000000000 =
+1C
+1J
+#1261500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1262000000
+1"
+b0000110000000110101011000000000000 *
+1-
+12
+b0000000000000100111010010000000000 4
+b0000000000000100111010010000000000 6
+17
+b0000000000000100111010010000000000 8
+b0000110000000110101011000000000000 9
+b0000000000000100111010000000000000 :
+b0000110000000110101011000000000000 ;
+1<
+b0000110000000110101011000000000000 =
+1C
+1J
+#1262500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1263000000
+1"
+b0000110000001011100101000000000000 *
+1-
+12
+b0000000000000100111010100000000000 4
+b0000000000000100111010100000000000 6
+17
+b0000000000000100111010100000000000 8
+b0000110000001011100101000000000000 9
+b0000000000000100111010010000000000 :
+b0000110000001011100101000000000000 ;
+1<
+b0000110000001011100101000000000000 =
+1C
+1J
+#1263500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1264000000
+1"
+b0000110000010000011111010000000000 *
+1-
+12
+b0000000000000100111010110000000000 4
+b0000000000000100111010110000000000 6
+17
+b0000000000000100111010110000000000 8
+b0000110000010000011111010000000000 9
+b0000000000000100111010100000000000 :
+b0000110000010000011111010000000000 ;
+1<
+b0000110000010000011111010000000000 =
+1C
+1J
+#1264500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1265000000
+1"
+b0000110000010101011001110000000000 *
+1-
+12
+b0000000000000100111011000000000000 4
+b0000000000000100111011000000000000 6
+17
+b0000000000000100111011000000000000 8
+b0000110000010101011001110000000000 9
+b0000000000000100111010110000000000 :
+b0000110000010101011001110000000000 ;
+1<
+b0000110000010101011001110000000000 =
+1C
+1J
+#1265500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1266000000
+1"
+b0000110000011010010100100000000000 *
+1-
+12
+b0000000000000100111011010000000000 4
+b0000000000000100111011010000000000 6
+17
+b0000000000000100111011010000000000 8
+b0000110000011010010100100000000000 9
+b0000000000000100111011000000000000 :
+b0000110000011010010100100000000000 ;
+1<
+b0000110000011010010100100000000000 =
+1C
+1J
+#1266500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1267000000
+1"
+b0000110000011111001111100000000000 *
+1-
+12
+b0000000000000100111011100000000000 4
+b0000000000000100111011100000000000 6
+17
+b0000000000000100111011100000000000 8
+b0000110000011111001111100000000000 9
+b0000000000000100111011010000000000 :
+b0000110000011111001111100000000000 ;
+1<
+b0000110000011111001111100000000000 =
+1C
+1J
+#1267500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1268000000
+1"
+b0000110000100100001010110000000000 *
+1-
+12
+b0000000000000100111011110000000000 4
+b0000000000000100111011110000000000 6
+17
+b0000000000000100111011110000000000 8
+b0000110000100100001010110000000000 9
+b0000000000000100111011100000000000 :
+b0000110000100100001010110000000000 ;
+1<
+b0000110000100100001010110000000000 =
+1C
+1J
+#1268500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1269000000
+1"
+b0000110000101001000110010000000000 *
+1-
+12
+b0000000000000100111100000000000000 4
+b0000000000000100111100000000000000 6
+17
+b0000000000000100111100000000000000 8
+b0000110000101001000110010000000000 9
+b0000000000000100111011110000000000 :
+b0000110000101001000110010000000000 ;
+1<
+b0000110000101001000110010000000000 =
+1C
+1J
+#1269500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1270000000
+1"
+b0000110000101110000010000000000000 *
+1-
+12
+b0000000000000100111100010000000000 4
+b0000000000000100111100010000000000 6
+17
+b0000000000000100111100010000000000 8
+b0000110000101110000010000000000000 9
+b0000000000000100111100000000000000 :
+b0000110000101110000010000000000000 ;
+1<
+b0000110000101110000010000000000000 =
+1C
+1J
+#1270500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1271000000
+1"
+b0000110000110010111110000000000000 *
+1-
+12
+b0000000000000100111100100000000000 4
+b0000000000000100111100100000000000 6
+17
+b0000000000000100111100100000000000 8
+b0000110000110010111110000000000000 9
+b0000000000000100111100010000000000 :
+b0000110000110010111110000000000000 ;
+1<
+b0000110000110010111110000000000000 =
+1C
+1J
+#1271500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1272000000
+1"
+b0000110000110111111010010000000000 *
+1-
+12
+b0000000000000100111100110000000000 4
+b0000000000000100111100110000000000 6
+17
+b0000000000000100111100110000000000 8
+b0000110000110111111010010000000000 9
+b0000000000000100111100100000000000 :
+b0000110000110111111010010000000000 ;
+1<
+b0000110000110111111010010000000000 =
+1C
+1J
+#1272500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1273000000
+1"
+b0000110000111100110110110000000000 *
+1-
+12
+b0000000000000100111101000000000000 4
+b0000000000000100111101000000000000 6
+17
+b0000000000000100111101000000000000 8
+b0000110000111100110110110000000000 9
+b0000000000000100111100110000000000 :
+b0000110000111100110110110000000000 ;
+1<
+b0000110000111100110110110000000000 =
+1C
+1J
+#1273500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1274000000
+1"
+b0000110001000001110011100000000000 *
+1-
+12
+b0000000000000100111101010000000000 4
+b0000000000000100111101010000000000 6
+17
+b0000000000000100111101010000000000 8
+b0000110001000001110011100000000000 9
+b0000000000000100111101000000000000 :
+b0000110001000001110011100000000000 ;
+1<
+b0000110001000001110011100000000000 =
+1C
+1J
+#1274500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1275000000
+1"
+b0000110001000110110000100000000000 *
+1-
+12
+b0000000000000100111101100000000000 4
+b0000000000000100111101100000000000 6
+17
+b0000000000000100111101100000000000 8
+b0000110001000110110000100000000000 9
+b0000000000000100111101010000000000 :
+b0000110001000110110000100000000000 ;
+1<
+b0000110001000110110000100000000000 =
+1C
+1J
+#1275500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1276000000
+1"
+b0000110001001011101101110000000000 *
+1-
+12
+b0000000000000100111101110000000000 4
+b0000000000000100111101110000000000 6
+17
+b0000000000000100111101110000000000 8
+b0000110001001011101101110000000000 9
+b0000000000000100111101100000000000 :
+b0000110001001011101101110000000000 ;
+1<
+b0000110001001011101101110000000000 =
+1C
+1J
+#1276500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1277000000
+1"
+b0000110001010000101011010000000000 *
+1-
+12
+b0000000000000100111110000000000000 4
+b0000000000000100111110000000000000 6
+17
+b0000000000000100111110000000000000 8
+b0000110001010000101011010000000000 9
+b0000000000000100111101110000000000 :
+b0000110001010000101011010000000000 ;
+1<
+b0000110001010000101011010000000000 =
+1C
+1J
+#1277500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1278000000
+1"
+b0000110001010101101001000000000000 *
+1-
+12
+b0000000000000100111110010000000000 4
+b0000000000000100111110010000000000 6
+17
+b0000000000000100111110010000000000 8
+b0000110001010101101001000000000000 9
+b0000000000000100111110000000000000 :
+b0000110001010101101001000000000000 ;
+1<
+b0000110001010101101001000000000000 =
+1C
+1J
+#1278500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1279000000
+1"
+b0000110001011010100111000000000000 *
+1-
+12
+b0000000000000100111110100000000000 4
+b0000000000000100111110100000000000 6
+17
+b0000000000000100111110100000000000 8
+b0000110001011010100111000000000000 9
+b0000000000000100111110010000000000 :
+b0000110001011010100111000000000000 ;
+1<
+b0000110001011010100111000000000000 =
+1C
+1J
+#1279500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1280000000
+1"
+b0000110001011111100101010000000000 *
+1-
+12
+b0000000000000100111110110000000000 4
+b0000000000000100111110110000000000 6
+17
+b0000000000000100111110110000000000 8
+b0000110001011111100101010000000000 9
+b0000000000000100111110100000000000 :
+b0000110001011111100101010000000000 ;
+1<
+b0000110001011111100101010000000000 =
+1C
+1J
+#1280500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1281000000
+1"
+1'
+b0000110001100100100011110000000000 *
+1+
+1-
+12
+b0000000000000100111111000000000000 4
+b0000000000000100111111000000000000 6
+17
+b0000000000000100111111000000000000 8
+b0000110001100100100011110000000000 9
+b0000000000000100111110110000000000 :
+b0000110001100100100011110000000000 ;
+1<
+b0000110001100100100011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1281500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1282000000
+1"
+0'
+b0000110001101001100010100000000000 *
+0+
+1-
+12
+b0000000000000100111111010000000000 4
+b0000000000000100111111010000000000 6
+17
+b0000000000000100111111010000000000 8
+b0000110001101001100010100000000000 9
+b0000000000000100111111000000000000 :
+b0000110001101001100010100000000000 ;
+1<
+b0000110001101001100010100000000000 =
+b0000000100110110101000000000000000 >
+0?
+b0000110001100100100011110000000000 A
+b0000000100110110101000000000000000 B
+1C
+b0000000100110110101000000000000000 D
+0F
+b0000000100100110101000000000000000 H
+1J
+0M
+#1282500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1283000000
+1"
+b0000110001101110100001100000000000 *
+1-
+12
+b0000000000000100111111100000000000 4
+b0000000000000100111111100000000000 6
+17
+b0000000000000100111111100000000000 8
+b0000110001101110100001100000000000 9
+b0000000000000100111111010000000000 :
+b0000110001101110100001100000000000 ;
+1<
+b0000110001101110100001100000000000 =
+1C
+1J
+#1283500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1284000000
+1"
+b0000110001110011100000110000000000 *
+1-
+12
+b0000000000000100111111110000000000 4
+b0000000000000100111111110000000000 6
+17
+b0000000000000100111111110000000000 8
+b0000110001110011100000110000000000 9
+b0000000000000100111111100000000000 :
+b0000110001110011100000110000000000 ;
+1<
+b0000110001110011100000110000000000 =
+1C
+1J
+#1284500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1285000000
+1"
+b0000110001111000100000010000000000 *
+1-
+12
+b0000000000000101000000000000000000 4
+b0000000000000101000000000000000000 6
+17
+b0000000000000101000000000000000000 8
+b0000110001111000100000010000000000 9
+b0000000000000100111111110000000000 :
+b0000110001111000100000010000000000 ;
+1<
+b0000110001111000100000010000000000 =
+1C
+1J
+#1285500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1286000000
+1"
+b0000110001111101100000000000000000 *
+1-
+12
+b0000000000000101000000010000000000 4
+b0000000000000101000000010000000000 6
+17
+b0000000000000101000000010000000000 8
+b0000110001111101100000000000000000 9
+b0000000000000101000000000000000000 :
+b0000110001111101100000000000000000 ;
+1<
+b0000110001111101100000000000000000 =
+1C
+1J
+#1286500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1287000000
+1"
+b0000110010000010100000000000000000 *
+1-
+12
+b0000000000000101000000100000000000 4
+b0000000000000101000000100000000000 6
+17
+b0000000000000101000000100000000000 8
+b0000110010000010100000000000000000 9
+b0000000000000101000000010000000000 :
+b0000110010000010100000000000000000 ;
+1<
+b0000110010000010100000000000000000 =
+1C
+1J
+#1287500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1288000000
+1"
+b0000110010000111100000010000000000 *
+1-
+12
+b0000000000000101000000110000000000 4
+b0000000000000101000000110000000000 6
+17
+b0000000000000101000000110000000000 8
+b0000110010000111100000010000000000 9
+b0000000000000101000000100000000000 :
+b0000110010000111100000010000000000 ;
+1<
+b0000110010000111100000010000000000 =
+1C
+1J
+#1288500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1289000000
+1"
+b0000110010001100100000110000000000 *
+1-
+12
+b0000000000000101000001000000000000 4
+b0000000000000101000001000000000000 6
+17
+b0000000000000101000001000000000000 8
+b0000110010001100100000110000000000 9
+b0000000000000101000000110000000000 :
+b0000110010001100100000110000000000 ;
+1<
+b0000110010001100100000110000000000 =
+1C
+1J
+#1289500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1290000000
+1"
+b0000110010010001100001100000000000 *
+1-
+12
+b0000000000000101000001010000000000 4
+b0000000000000101000001010000000000 6
+17
+b0000000000000101000001010000000000 8
+b0000110010010001100001100000000000 9
+b0000000000000101000001000000000000 :
+b0000110010010001100001100000000000 ;
+1<
+b0000110010010001100001100000000000 =
+1C
+1J
+#1290500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1291000000
+1"
+b0000110010010110100010100000000000 *
+1-
+12
+b0000000000000101000001100000000000 4
+b0000000000000101000001100000000000 6
+17
+b0000000000000101000001100000000000 8
+b0000110010010110100010100000000000 9
+b0000000000000101000001010000000000 :
+b0000110010010110100010100000000000 ;
+1<
+b0000110010010110100010100000000000 =
+1C
+1J
+#1291500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1292000000
+1"
+b0000110010011011100011110000000000 *
+1-
+12
+b0000000000000101000001110000000000 4
+b0000000000000101000001110000000000 6
+17
+b0000000000000101000001110000000000 8
+b0000110010011011100011110000000000 9
+b0000000000000101000001100000000000 :
+b0000110010011011100011110000000000 ;
+1<
+b0000110010011011100011110000000000 =
+1C
+1J
+#1292500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1293000000
+1"
+b0000110010100000100101010000000000 *
+1-
+12
+b0000000000000101000010000000000000 4
+b0000000000000101000010000000000000 6
+17
+b0000000000000101000010000000000000 8
+b0000110010100000100101010000000000 9
+b0000000000000101000001110000000000 :
+b0000110010100000100101010000000000 ;
+1<
+b0000110010100000100101010000000000 =
+1C
+1J
+#1293500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1294000000
+1"
+b0000110010100101100111000000000000 *
+1-
+12
+b0000000000000101000010010000000000 4
+b0000000000000101000010010000000000 6
+17
+b0000000000000101000010010000000000 8
+b0000110010100101100111000000000000 9
+b0000000000000101000010000000000000 :
+b0000110010100101100111000000000000 ;
+1<
+b0000110010100101100111000000000000 =
+1C
+1J
+#1294500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1295000000
+1"
+b0000110010101010101001000000000000 *
+1-
+12
+b0000000000000101000010100000000000 4
+b0000000000000101000010100000000000 6
+17
+b0000000000000101000010100000000000 8
+b0000110010101010101001000000000000 9
+b0000000000000101000010010000000000 :
+b0000110010101010101001000000000000 ;
+1<
+b0000110010101010101001000000000000 =
+1C
+1J
+#1295500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1296000000
+1"
+b0000110010101111101011010000000000 *
+1-
+12
+b0000000000000101000010110000000000 4
+b0000000000000101000010110000000000 6
+17
+b0000000000000101000010110000000000 8
+b0000110010101111101011010000000000 9
+b0000000000000101000010100000000000 :
+b0000110010101111101011010000000000 ;
+1<
+b0000110010101111101011010000000000 =
+1C
+1J
+#1296500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1297000000
+1"
+b0000110010110100101101110000000000 *
+1-
+12
+b0000000000000101000011000000000000 4
+b0000000000000101000011000000000000 6
+17
+b0000000000000101000011000000000000 8
+b0000110010110100101101110000000000 9
+b0000000000000101000010110000000000 :
+b0000110010110100101101110000000000 ;
+1<
+b0000110010110100101101110000000000 =
+1C
+1J
+#1297500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1298000000
+1"
+b0000110010111001110000100000000000 *
+1-
+12
+b0000000000000101000011010000000000 4
+b0000000000000101000011010000000000 6
+17
+b0000000000000101000011010000000000 8
+b0000110010111001110000100000000000 9
+b0000000000000101000011000000000000 :
+b0000110010111001110000100000000000 ;
+1<
+b0000110010111001110000100000000000 =
+1C
+1J
+#1298500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1299000000
+1"
+b0000110010111110110011100000000000 *
+1-
+12
+b0000000000000101000011100000000000 4
+b0000000000000101000011100000000000 6
+17
+b0000000000000101000011100000000000 8
+b0000110010111110110011100000000000 9
+b0000000000000101000011010000000000 :
+b0000110010111110110011100000000000 ;
+1<
+b0000110010111110110011100000000000 =
+1C
+1J
+#1299500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1300000000
+1"
+b0000110011000011110110110000000000 *
+1-
+12
+b0000000000000101000011110000000000 4
+b0000000000000101000011110000000000 6
+17
+b0000000000000101000011110000000000 8
+b0000110011000011110110110000000000 9
+b0000000000000101000011100000000000 :
+b0000110011000011110110110000000000 ;
+1<
+b0000110011000011110110110000000000 =
+1C
+1J
+#1300500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1301000000
+1"
+b0000110011001000111010010000000000 *
+1-
+12
+b0000000000000101000100000000000000 4
+b0000000000000101000100000000000000 6
+17
+b0000000000000101000100000000000000 8
+b0000110011001000111010010000000000 9
+b0000000000000101000011110000000000 :
+b0000110011001000111010010000000000 ;
+1<
+b0000110011001000111010010000000000 =
+1C
+1J
+#1301500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1302000000
+1"
+b0000110011001101111110000000000000 *
+1-
+12
+b0000000000000101000100010000000000 4
+b0000000000000101000100010000000000 6
+17
+b0000000000000101000100010000000000 8
+b0000110011001101111110000000000000 9
+b0000000000000101000100000000000000 :
+b0000110011001101111110000000000000 ;
+1<
+b0000110011001101111110000000000000 =
+1C
+1J
+#1302500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1303000000
+1"
+b0000110011010011000010000000000000 *
+1-
+12
+b0000000000000101000100100000000000 4
+b0000000000000101000100100000000000 6
+17
+b0000000000000101000100100000000000 8
+b0000110011010011000010000000000000 9
+b0000000000000101000100010000000000 :
+b0000110011010011000010000000000000 ;
+1<
+b0000110011010011000010000000000000 =
+1C
+1J
+#1303500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1304000000
+1"
+b0000110011011000000110010000000000 *
+1-
+12
+b0000000000000101000100110000000000 4
+b0000000000000101000100110000000000 6
+17
+b0000000000000101000100110000000000 8
+b0000110011011000000110010000000000 9
+b0000000000000101000100100000000000 :
+b0000110011011000000110010000000000 ;
+1<
+b0000110011011000000110010000000000 =
+1C
+1J
+#1304500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1305000000
+1"
+b0000110011011101001010110000000000 *
+1-
+12
+b0000000000000101000101000000000000 4
+b0000000000000101000101000000000000 6
+17
+b0000000000000101000101000000000000 8
+b0000110011011101001010110000000000 9
+b0000000000000101000100110000000000 :
+b0000110011011101001010110000000000 ;
+1<
+b0000110011011101001010110000000000 =
+1C
+1J
+#1305500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1306000000
+1"
+b0000110011100010001111100000000000 *
+1-
+12
+b0000000000000101000101010000000000 4
+b0000000000000101000101010000000000 6
+17
+b0000000000000101000101010000000000 8
+b0000110011100010001111100000000000 9
+b0000000000000101000101000000000000 :
+b0000110011100010001111100000000000 ;
+1<
+b0000110011100010001111100000000000 =
+1C
+1J
+#1306500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1307000000
+1"
+b0000110011100111010100100000000000 *
+1-
+12
+b0000000000000101000101100000000000 4
+b0000000000000101000101100000000000 6
+17
+b0000000000000101000101100000000000 8
+b0000110011100111010100100000000000 9
+b0000000000000101000101010000000000 :
+b0000110011100111010100100000000000 ;
+1<
+b0000110011100111010100100000000000 =
+1C
+1J
+#1307500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1308000000
+1"
+b0000110011101100011001110000000000 *
+1-
+12
+b0000000000000101000101110000000000 4
+b0000000000000101000101110000000000 6
+17
+b0000000000000101000101110000000000 8
+b0000110011101100011001110000000000 9
+b0000000000000101000101100000000000 :
+b0000110011101100011001110000000000 ;
+1<
+b0000110011101100011001110000000000 =
+1C
+1J
+#1308500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1309000000
+1"
+b0000110011110001011111010000000000 *
+1-
+12
+b0000000000000101000110000000000000 4
+b0000000000000101000110000000000000 6
+17
+b0000000000000101000110000000000000 8
+b0000110011110001011111010000000000 9
+b0000000000000101000101110000000000 :
+b0000110011110001011111010000000000 ;
+1<
+b0000110011110001011111010000000000 =
+1C
+1J
+#1309500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1310000000
+1"
+b0000110011110110100101000000000000 *
+1-
+12
+b0000000000000101000110010000000000 4
+b0000000000000101000110010000000000 6
+17
+b0000000000000101000110010000000000 8
+b0000110011110110100101000000000000 9
+b0000000000000101000110000000000000 :
+b0000110011110110100101000000000000 ;
+1<
+b0000110011110110100101000000000000 =
+1C
+1J
+#1310500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1311000000
+1"
+b0000110011111011101011000000000000 *
+1-
+12
+b0000000000000101000110100000000000 4
+b0000000000000101000110100000000000 6
+17
+b0000000000000101000110100000000000 8
+b0000110011111011101011000000000000 9
+b0000000000000101000110010000000000 :
+b0000110011111011101011000000000000 ;
+1<
+b0000110011111011101011000000000000 =
+1C
+1J
+#1311500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1312000000
+1"
+b0000110100000000110001010000000000 *
+1-
+12
+b0000000000000101000110110000000000 4
+b0000000000000101000110110000000000 6
+17
+b0000000000000101000110110000000000 8
+b0000110100000000110001010000000000 9
+b0000000000000101000110100000000000 :
+b0000110100000000110001010000000000 ;
+1<
+b0000110100000000110001010000000000 =
+1C
+1J
+#1312500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1313000000
+1"
+b0000110100000101110111110000000000 *
+1-
+12
+b0000000000000101000111000000000000 4
+b0000000000000101000111000000000000 6
+17
+b0000000000000101000111000000000000 8
+b0000110100000101110111110000000000 9
+b0000000000000101000110110000000000 :
+b0000110100000101110111110000000000 ;
+1<
+b0000110100000101110111110000000000 =
+1C
+1J
+#1313500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1314000000
+1"
+b0000110100001010111110100000000000 *
+1-
+12
+b0000000000000101000111010000000000 4
+b0000000000000101000111010000000000 6
+17
+b0000000000000101000111010000000000 8
+b0000110100001010111110100000000000 9
+b0000000000000101000111000000000000 :
+b0000110100001010111110100000000000 ;
+1<
+b0000110100001010111110100000000000 =
+1C
+1J
+#1314500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1315000000
+1"
+b0000110100010000000101100000000000 *
+1-
+12
+b0000000000000101000111100000000000 4
+b0000000000000101000111100000000000 6
+17
+b0000000000000101000111100000000000 8
+b0000110100010000000101100000000000 9
+b0000000000000101000111010000000000 :
+b0000110100010000000101100000000000 ;
+1<
+b0000110100010000000101100000000000 =
+1C
+1J
+#1315500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1316000000
+1"
+b0000110100010101001100110000000000 *
+1-
+12
+b0000000000000101000111110000000000 4
+b0000000000000101000111110000000000 6
+17
+b0000000000000101000111110000000000 8
+b0000110100010101001100110000000000 9
+b0000000000000101000111100000000000 :
+b0000110100010101001100110000000000 ;
+1<
+b0000110100010101001100110000000000 =
+1C
+1J
+#1316500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1317000000
+1"
+b0000110100011010010100010000000000 *
+1-
+12
+b0000000000000101001000000000000000 4
+b0000000000000101001000000000000000 6
+17
+b0000000000000101001000000000000000 8
+b0000110100011010010100010000000000 9
+b0000000000000101000111110000000000 :
+b0000110100011010010100010000000000 ;
+1<
+b0000110100011010010100010000000000 =
+1C
+1J
+#1317500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1318000000
+1"
+b0000110100011111011100000000000000 *
+1-
+12
+b0000000000000101001000010000000000 4
+b0000000000000101001000010000000000 6
+17
+b0000000000000101001000010000000000 8
+b0000110100011111011100000000000000 9
+b0000000000000101001000000000000000 :
+b0000110100011111011100000000000000 ;
+1<
+b0000110100011111011100000000000000 =
+1C
+1J
+#1318500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1319000000
+1"
+b0000110100100100100100000000000000 *
+1-
+12
+b0000000000000101001000100000000000 4
+b0000000000000101001000100000000000 6
+17
+b0000000000000101001000100000000000 8
+b0000110100100100100100000000000000 9
+b0000000000000101001000010000000000 :
+b0000110100100100100100000000000000 ;
+1<
+b0000110100100100100100000000000000 =
+1C
+1J
+#1319500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1320000000
+1"
+b0000110100101001101100010000000000 *
+1-
+12
+b0000000000000101001000110000000000 4
+b0000000000000101001000110000000000 6
+17
+b0000000000000101001000110000000000 8
+b0000110100101001101100010000000000 9
+b0000000000000101001000100000000000 :
+b0000110100101001101100010000000000 ;
+1<
+b0000110100101001101100010000000000 =
+1C
+1J
+#1320500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1321000000
+1"
+b0000110100101110110100110000000000 *
+1-
+12
+b0000000000000101001001000000000000 4
+b0000000000000101001001000000000000 6
+17
+b0000000000000101001001000000000000 8
+b0000110100101110110100110000000000 9
+b0000000000000101001000110000000000 :
+b0000110100101110110100110000000000 ;
+1<
+b0000110100101110110100110000000000 =
+1C
+1J
+#1321500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1322000000
+1"
+b0000110100110011111101100000000000 *
+1-
+12
+b0000000000000101001001010000000000 4
+b0000000000000101001001010000000000 6
+17
+b0000000000000101001001010000000000 8
+b0000110100110011111101100000000000 9
+b0000000000000101001001000000000000 :
+b0000110100110011111101100000000000 ;
+1<
+b0000110100110011111101100000000000 =
+1C
+1J
+#1322500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1323000000
+1"
+b0000110100111001000110100000000000 *
+1-
+12
+b0000000000000101001001100000000000 4
+b0000000000000101001001100000000000 6
+17
+b0000000000000101001001100000000000 8
+b0000110100111001000110100000000000 9
+b0000000000000101001001010000000000 :
+b0000110100111001000110100000000000 ;
+1<
+b0000110100111001000110100000000000 =
+1C
+1J
+#1323500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1324000000
+1"
+b0000110100111110001111110000000000 *
+1-
+12
+b0000000000000101001001110000000000 4
+b0000000000000101001001110000000000 6
+17
+b0000000000000101001001110000000000 8
+b0000110100111110001111110000000000 9
+b0000000000000101001001100000000000 :
+b0000110100111110001111110000000000 ;
+1<
+b0000110100111110001111110000000000 =
+1C
+1J
+#1324500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1325000000
+1"
+b0000110101000011011001010000000000 *
+1-
+12
+b0000000000000101001010000000000000 4
+b0000000000000101001010000000000000 6
+17
+b0000000000000101001010000000000000 8
+b0000110101000011011001010000000000 9
+b0000000000000101001001110000000000 :
+b0000110101000011011001010000000000 ;
+1<
+b0000110101000011011001010000000000 =
+1C
+1J
+#1325500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1326000000
+1"
+b0000110101001000100011000000000000 *
+1-
+12
+b0000000000000101001010010000000000 4
+b0000000000000101001010010000000000 6
+17
+b0000000000000101001010010000000000 8
+b0000110101001000100011000000000000 9
+b0000000000000101001010000000000000 :
+b0000110101001000100011000000000000 ;
+1<
+b0000110101001000100011000000000000 =
+1C
+1J
+#1326500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1327000000
+1"
+b0000110101001101101101000000000000 *
+1-
+12
+b0000000000000101001010100000000000 4
+b0000000000000101001010100000000000 6
+17
+b0000000000000101001010100000000000 8
+b0000110101001101101101000000000000 9
+b0000000000000101001010010000000000 :
+b0000110101001101101101000000000000 ;
+1<
+b0000110101001101101101000000000000 =
+1C
+1J
+#1327500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1328000000
+1"
+b0000110101010010110111010000000000 *
+1-
+12
+b0000000000000101001010110000000000 4
+b0000000000000101001010110000000000 6
+17
+b0000000000000101001010110000000000 8
+b0000110101010010110111010000000000 9
+b0000000000000101001010100000000000 :
+b0000110101010010110111010000000000 ;
+1<
+b0000110101010010110111010000000000 =
+1C
+1J
+#1328500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1329000000
+1"
+b0000110101011000000001110000000000 *
+1-
+12
+b0000000000000101001011000000000000 4
+b0000000000000101001011000000000000 6
+17
+b0000000000000101001011000000000000 8
+b0000110101011000000001110000000000 9
+b0000000000000101001010110000000000 :
+b0000110101011000000001110000000000 ;
+1<
+b0000110101011000000001110000000000 =
+1C
+1J
+#1329500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1330000000
+1"
+b0000110101011101001100100000000000 *
+1-
+12
+b0000000000000101001011010000000000 4
+b0000000000000101001011010000000000 6
+17
+b0000000000000101001011010000000000 8
+b0000110101011101001100100000000000 9
+b0000000000000101001011000000000000 :
+b0000110101011101001100100000000000 ;
+1<
+b0000110101011101001100100000000000 =
+1C
+1J
+#1330500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1331000000
+1"
+b0000110101100010010111100000000000 *
+1-
+12
+b0000000000000101001011100000000000 4
+b0000000000000101001011100000000000 6
+17
+b0000000000000101001011100000000000 8
+b0000110101100010010111100000000000 9
+b0000000000000101001011010000000000 :
+b0000110101100010010111100000000000 ;
+1<
+b0000110101100010010111100000000000 =
+1C
+1J
+#1331500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1332000000
+1"
+b0000110101100111100010110000000000 *
+1-
+12
+b0000000000000101001011110000000000 4
+b0000000000000101001011110000000000 6
+17
+b0000000000000101001011110000000000 8
+b0000110101100111100010110000000000 9
+b0000000000000101001011100000000000 :
+b0000110101100111100010110000000000 ;
+1<
+b0000110101100111100010110000000000 =
+1C
+1J
+#1332500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1333000000
+1"
+b0000110101101100101110010000000000 *
+1-
+12
+b0000000000000101001100000000000000 4
+b0000000000000101001100000000000000 6
+17
+b0000000000000101001100000000000000 8
+b0000110101101100101110010000000000 9
+b0000000000000101001011110000000000 :
+b0000110101101100101110010000000000 ;
+1<
+b0000110101101100101110010000000000 =
+1C
+1J
+#1333500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1334000000
+1"
+b0000110101110001111010000000000000 *
+1-
+12
+b0000000000000101001100010000000000 4
+b0000000000000101001100010000000000 6
+17
+b0000000000000101001100010000000000 8
+b0000110101110001111010000000000000 9
+b0000000000000101001100000000000000 :
+b0000110101110001111010000000000000 ;
+1<
+b0000110101110001111010000000000000 =
+1C
+1J
+#1334500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1335000000
+1"
+b0000110101110111000110000000000000 *
+1-
+12
+b0000000000000101001100100000000000 4
+b0000000000000101001100100000000000 6
+17
+b0000000000000101001100100000000000 8
+b0000110101110111000110000000000000 9
+b0000000000000101001100010000000000 :
+b0000110101110111000110000000000000 ;
+1<
+b0000110101110111000110000000000000 =
+1C
+1J
+#1335500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1336000000
+1"
+b0000110101111100010010010000000000 *
+1-
+12
+b0000000000000101001100110000000000 4
+b0000000000000101001100110000000000 6
+17
+b0000000000000101001100110000000000 8
+b0000110101111100010010010000000000 9
+b0000000000000101001100100000000000 :
+b0000110101111100010010010000000000 ;
+1<
+b0000110101111100010010010000000000 =
+1C
+1J
+#1336500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1337000000
+1"
+b0000110110000001011110110000000000 *
+1-
+12
+b0000000000000101001101000000000000 4
+b0000000000000101001101000000000000 6
+17
+b0000000000000101001101000000000000 8
+b0000110110000001011110110000000000 9
+b0000000000000101001100110000000000 :
+b0000110110000001011110110000000000 ;
+1<
+b0000110110000001011110110000000000 =
+1C
+1J
+#1337500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1338000000
+1"
+b0000110110000110101011100000000000 *
+1-
+12
+b0000000000000101001101010000000000 4
+b0000000000000101001101010000000000 6
+17
+b0000000000000101001101010000000000 8
+b0000110110000110101011100000000000 9
+b0000000000000101001101000000000000 :
+b0000110110000110101011100000000000 ;
+1<
+b0000110110000110101011100000000000 =
+1C
+1J
+#1338500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1339000000
+1"
+b0000110110001011111000100000000000 *
+1-
+12
+b0000000000000101001101100000000000 4
+b0000000000000101001101100000000000 6
+17
+b0000000000000101001101100000000000 8
+b0000110110001011111000100000000000 9
+b0000000000000101001101010000000000 :
+b0000110110001011111000100000000000 ;
+1<
+b0000110110001011111000100000000000 =
+1C
+1J
+#1339500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1340000000
+1"
+b0000110110010001000101110000000000 *
+1-
+12
+b0000000000000101001101110000000000 4
+b0000000000000101001101110000000000 6
+17
+b0000000000000101001101110000000000 8
+b0000110110010001000101110000000000 9
+b0000000000000101001101100000000000 :
+b0000110110010001000101110000000000 ;
+1<
+b0000110110010001000101110000000000 =
+1C
+1J
+#1340500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1341000000
+1"
+b0000110110010110010011010000000000 *
+1-
+12
+b0000000000000101001110000000000000 4
+b0000000000000101001110000000000000 6
+17
+b0000000000000101001110000000000000 8
+b0000110110010110010011010000000000 9
+b0000000000000101001101110000000000 :
+b0000110110010110010011010000000000 ;
+1<
+b0000110110010110010011010000000000 =
+1C
+1J
+#1341500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1342000000
+1"
+b0000110110011011100001000000000000 *
+1-
+12
+b0000000000000101001110010000000000 4
+b0000000000000101001110010000000000 6
+17
+b0000000000000101001110010000000000 8
+b0000110110011011100001000000000000 9
+b0000000000000101001110000000000000 :
+b0000110110011011100001000000000000 ;
+1<
+b0000110110011011100001000000000000 =
+1C
+1J
+#1342500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1343000000
+1"
+b0000110110100000101111000000000000 *
+1-
+12
+b0000000000000101001110100000000000 4
+b0000000000000101001110100000000000 6
+17
+b0000000000000101001110100000000000 8
+b0000110110100000101111000000000000 9
+b0000000000000101001110010000000000 :
+b0000110110100000101111000000000000 ;
+1<
+b0000110110100000101111000000000000 =
+1C
+1J
+#1343500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1344000000
+1"
+b0000110110100101111101010000000000 *
+1-
+12
+b0000000000000101001110110000000000 4
+b0000000000000101001110110000000000 6
+17
+b0000000000000101001110110000000000 8
+b0000110110100101111101010000000000 9
+b0000000000000101001110100000000000 :
+b0000110110100101111101010000000000 ;
+1<
+b0000110110100101111101010000000000 =
+1C
+1J
+#1344500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1345000000
+1"
+1'
+b0000110110101011001011110000000000 *
+1+
+1-
+12
+b0000000000000101001111000000000000 4
+b0000000000000101001111000000000000 6
+17
+b0000000000000101001111000000000000 8
+b0000110110101011001011110000000000 9
+b0000000000000101001110110000000000 :
+b0000110110101011001011110000000000 ;
+1<
+b0000110110101011001011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1345500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1346000000
+1"
+0'
+b0000110110110000011010100000000000 *
+0+
+1-
+12
+b0000000000000101001111010000000000 4
+b0000000000000101001111010000000000 6
+17
+b0000000000000101001111010000000000 8
+b0000110110110000011010100000000000 9
+b0000000000000101001111000000000000 :
+b0000110110110000011010100000000000 ;
+1<
+b0000110110110000011010100000000000 =
+b0000000101000110101000000000000000 >
+0?
+b0000110110101011001011110000000000 A
+b0000000101000110101000000000000000 B
+1C
+b0000000101000110101000000000000000 D
+0F
+b0000000100110110101000000000000000 H
+1J
+0M
+#1346500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1347000000
+1"
+b0000110110110101101001100000000000 *
+1-
+12
+b0000000000000101001111100000000000 4
+b0000000000000101001111100000000000 6
+17
+b0000000000000101001111100000000000 8
+b0000110110110101101001100000000000 9
+b0000000000000101001111010000000000 :
+b0000110110110101101001100000000000 ;
+1<
+b0000110110110101101001100000000000 =
+1C
+1J
+#1347500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1348000000
+1"
+b0000110110111010111000110000000000 *
+1-
+12
+b0000000000000101001111110000000000 4
+b0000000000000101001111110000000000 6
+17
+b0000000000000101001111110000000000 8
+b0000110110111010111000110000000000 9
+b0000000000000101001111100000000000 :
+b0000110110111010111000110000000000 ;
+1<
+b0000110110111010111000110000000000 =
+1C
+1J
+#1348500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1349000000
+1"
+b0000110111000000001000010000000000 *
+1-
+12
+b0000000000000101010000000000000000 4
+b0000000000000101010000000000000000 6
+17
+b0000000000000101010000000000000000 8
+b0000110111000000001000010000000000 9
+b0000000000000101001111110000000000 :
+b0000110111000000001000010000000000 ;
+1<
+b0000110111000000001000010000000000 =
+1C
+1J
+#1349500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1350000000
+1"
+b0000110111000101011000000000000000 *
+1-
+12
+b0000000000000101010000010000000000 4
+b0000000000000101010000010000000000 6
+17
+b0000000000000101010000010000000000 8
+b0000110111000101011000000000000000 9
+b0000000000000101010000000000000000 :
+b0000110111000101011000000000000000 ;
+1<
+b0000110111000101011000000000000000 =
+1C
+1J
+#1350500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1351000000
+1"
+b0000110111001010101000000000000000 *
+1-
+12
+b0000000000000101010000100000000000 4
+b0000000000000101010000100000000000 6
+17
+b0000000000000101010000100000000000 8
+b0000110111001010101000000000000000 9
+b0000000000000101010000010000000000 :
+b0000110111001010101000000000000000 ;
+1<
+b0000110111001010101000000000000000 =
+1C
+1J
+#1351500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1352000000
+1"
+b0000110111001111111000010000000000 *
+1-
+12
+b0000000000000101010000110000000000 4
+b0000000000000101010000110000000000 6
+17
+b0000000000000101010000110000000000 8
+b0000110111001111111000010000000000 9
+b0000000000000101010000100000000000 :
+b0000110111001111111000010000000000 ;
+1<
+b0000110111001111111000010000000000 =
+1C
+1J
+#1352500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1353000000
+1"
+b0000110111010101001000110000000000 *
+1-
+12
+b0000000000000101010001000000000000 4
+b0000000000000101010001000000000000 6
+17
+b0000000000000101010001000000000000 8
+b0000110111010101001000110000000000 9
+b0000000000000101010000110000000000 :
+b0000110111010101001000110000000000 ;
+1<
+b0000110111010101001000110000000000 =
+1C
+1J
+#1353500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1354000000
+1"
+b0000110111011010011001100000000000 *
+1-
+12
+b0000000000000101010001010000000000 4
+b0000000000000101010001010000000000 6
+17
+b0000000000000101010001010000000000 8
+b0000110111011010011001100000000000 9
+b0000000000000101010001000000000000 :
+b0000110111011010011001100000000000 ;
+1<
+b0000110111011010011001100000000000 =
+1C
+1J
+#1354500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1355000000
+1"
+b0000110111011111101010100000000000 *
+1-
+12
+b0000000000000101010001100000000000 4
+b0000000000000101010001100000000000 6
+17
+b0000000000000101010001100000000000 8
+b0000110111011111101010100000000000 9
+b0000000000000101010001010000000000 :
+b0000110111011111101010100000000000 ;
+1<
+b0000110111011111101010100000000000 =
+1C
+1J
+#1355500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1356000000
+1"
+b0000110111100100111011110000000000 *
+1-
+12
+b0000000000000101010001110000000000 4
+b0000000000000101010001110000000000 6
+17
+b0000000000000101010001110000000000 8
+b0000110111100100111011110000000000 9
+b0000000000000101010001100000000000 :
+b0000110111100100111011110000000000 ;
+1<
+b0000110111100100111011110000000000 =
+1C
+1J
+#1356500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1357000000
+1"
+b0000110111101010001101010000000000 *
+1-
+12
+b0000000000000101010010000000000000 4
+b0000000000000101010010000000000000 6
+17
+b0000000000000101010010000000000000 8
+b0000110111101010001101010000000000 9
+b0000000000000101010001110000000000 :
+b0000110111101010001101010000000000 ;
+1<
+b0000110111101010001101010000000000 =
+1C
+1J
+#1357500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1358000000
+1"
+b0000110111101111011111000000000000 *
+1-
+12
+b0000000000000101010010010000000000 4
+b0000000000000101010010010000000000 6
+17
+b0000000000000101010010010000000000 8
+b0000110111101111011111000000000000 9
+b0000000000000101010010000000000000 :
+b0000110111101111011111000000000000 ;
+1<
+b0000110111101111011111000000000000 =
+1C
+1J
+#1358500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1359000000
+1"
+b0000110111110100110001000000000000 *
+1-
+12
+b0000000000000101010010100000000000 4
+b0000000000000101010010100000000000 6
+17
+b0000000000000101010010100000000000 8
+b0000110111110100110001000000000000 9
+b0000000000000101010010010000000000 :
+b0000110111110100110001000000000000 ;
+1<
+b0000110111110100110001000000000000 =
+1C
+1J
+#1359500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1360000000
+1"
+b0000110111111010000011010000000000 *
+1-
+12
+b0000000000000101010010110000000000 4
+b0000000000000101010010110000000000 6
+17
+b0000000000000101010010110000000000 8
+b0000110111111010000011010000000000 9
+b0000000000000101010010100000000000 :
+b0000110111111010000011010000000000 ;
+1<
+b0000110111111010000011010000000000 =
+1C
+1J
+#1360500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1361000000
+1"
+b0000110111111111010101110000000000 *
+1-
+12
+b0000000000000101010011000000000000 4
+b0000000000000101010011000000000000 6
+17
+b0000000000000101010011000000000000 8
+b0000110111111111010101110000000000 9
+b0000000000000101010010110000000000 :
+b0000110111111111010101110000000000 ;
+1<
+b0000110111111111010101110000000000 =
+1C
+1J
+#1361500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1362000000
+1"
+b0000111000000100101000100000000000 *
+1-
+12
+b0000000000000101010011010000000000 4
+b0000000000000101010011010000000000 6
+17
+b0000000000000101010011010000000000 8
+b0000111000000100101000100000000000 9
+b0000000000000101010011000000000000 :
+b0000111000000100101000100000000000 ;
+1<
+b0000111000000100101000100000000000 =
+1C
+1J
+#1362500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1363000000
+1"
+b0000111000001001111011100000000000 *
+1-
+12
+b0000000000000101010011100000000000 4
+b0000000000000101010011100000000000 6
+17
+b0000000000000101010011100000000000 8
+b0000111000001001111011100000000000 9
+b0000000000000101010011010000000000 :
+b0000111000001001111011100000000000 ;
+1<
+b0000111000001001111011100000000000 =
+1C
+1J
+#1363500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1364000000
+1"
+b0000111000001111001110110000000000 *
+1-
+12
+b0000000000000101010011110000000000 4
+b0000000000000101010011110000000000 6
+17
+b0000000000000101010011110000000000 8
+b0000111000001111001110110000000000 9
+b0000000000000101010011100000000000 :
+b0000111000001111001110110000000000 ;
+1<
+b0000111000001111001110110000000000 =
+1C
+1J
+#1364500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1365000000
+1"
+b0000111000010100100010010000000000 *
+1-
+12
+b0000000000000101010100000000000000 4
+b0000000000000101010100000000000000 6
+17
+b0000000000000101010100000000000000 8
+b0000111000010100100010010000000000 9
+b0000000000000101010011110000000000 :
+b0000111000010100100010010000000000 ;
+1<
+b0000111000010100100010010000000000 =
+1C
+1J
+#1365500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1366000000
+1"
+b0000111000011001110110000000000000 *
+1-
+12
+b0000000000000101010100010000000000 4
+b0000000000000101010100010000000000 6
+17
+b0000000000000101010100010000000000 8
+b0000111000011001110110000000000000 9
+b0000000000000101010100000000000000 :
+b0000111000011001110110000000000000 ;
+1<
+b0000111000011001110110000000000000 =
+1C
+1J
+#1366500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1367000000
+1"
+b0000111000011111001010000000000000 *
+1-
+12
+b0000000000000101010100100000000000 4
+b0000000000000101010100100000000000 6
+17
+b0000000000000101010100100000000000 8
+b0000111000011111001010000000000000 9
+b0000000000000101010100010000000000 :
+b0000111000011111001010000000000000 ;
+1<
+b0000111000011111001010000000000000 =
+1C
+1J
+#1367500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1368000000
+1"
+b0000111000100100011110010000000000 *
+1-
+12
+b0000000000000101010100110000000000 4
+b0000000000000101010100110000000000 6
+17
+b0000000000000101010100110000000000 8
+b0000111000100100011110010000000000 9
+b0000000000000101010100100000000000 :
+b0000111000100100011110010000000000 ;
+1<
+b0000111000100100011110010000000000 =
+1C
+1J
+#1368500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1369000000
+1"
+b0000111000101001110010110000000000 *
+1-
+12
+b0000000000000101010101000000000000 4
+b0000000000000101010101000000000000 6
+17
+b0000000000000101010101000000000000 8
+b0000111000101001110010110000000000 9
+b0000000000000101010100110000000000 :
+b0000111000101001110010110000000000 ;
+1<
+b0000111000101001110010110000000000 =
+1C
+1J
+#1369500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1370000000
+1"
+b0000111000101111000111100000000000 *
+1-
+12
+b0000000000000101010101010000000000 4
+b0000000000000101010101010000000000 6
+17
+b0000000000000101010101010000000000 8
+b0000111000101111000111100000000000 9
+b0000000000000101010101000000000000 :
+b0000111000101111000111100000000000 ;
+1<
+b0000111000101111000111100000000000 =
+1C
+1J
+#1370500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1371000000
+1"
+b0000111000110100011100100000000000 *
+1-
+12
+b0000000000000101010101100000000000 4
+b0000000000000101010101100000000000 6
+17
+b0000000000000101010101100000000000 8
+b0000111000110100011100100000000000 9
+b0000000000000101010101010000000000 :
+b0000111000110100011100100000000000 ;
+1<
+b0000111000110100011100100000000000 =
+1C
+1J
+#1371500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1372000000
+1"
+b0000111000111001110001110000000000 *
+1-
+12
+b0000000000000101010101110000000000 4
+b0000000000000101010101110000000000 6
+17
+b0000000000000101010101110000000000 8
+b0000111000111001110001110000000000 9
+b0000000000000101010101100000000000 :
+b0000111000111001110001110000000000 ;
+1<
+b0000111000111001110001110000000000 =
+1C
+1J
+#1372500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1373000000
+1"
+b0000111000111111000111010000000000 *
+1-
+12
+b0000000000000101010110000000000000 4
+b0000000000000101010110000000000000 6
+17
+b0000000000000101010110000000000000 8
+b0000111000111111000111010000000000 9
+b0000000000000101010101110000000000 :
+b0000111000111111000111010000000000 ;
+1<
+b0000111000111111000111010000000000 =
+1C
+1J
+#1373500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1374000000
+1"
+b0000111001000100011101000000000000 *
+1-
+12
+b0000000000000101010110010000000000 4
+b0000000000000101010110010000000000 6
+17
+b0000000000000101010110010000000000 8
+b0000111001000100011101000000000000 9
+b0000000000000101010110000000000000 :
+b0000111001000100011101000000000000 ;
+1<
+b0000111001000100011101000000000000 =
+1C
+1J
+#1374500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1375000000
+1"
+b0000111001001001110011000000000000 *
+1-
+12
+b0000000000000101010110100000000000 4
+b0000000000000101010110100000000000 6
+17
+b0000000000000101010110100000000000 8
+b0000111001001001110011000000000000 9
+b0000000000000101010110010000000000 :
+b0000111001001001110011000000000000 ;
+1<
+b0000111001001001110011000000000000 =
+1C
+1J
+#1375500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1376000000
+1"
+b0000111001001111001001010000000000 *
+1-
+12
+b0000000000000101010110110000000000 4
+b0000000000000101010110110000000000 6
+17
+b0000000000000101010110110000000000 8
+b0000111001001111001001010000000000 9
+b0000000000000101010110100000000000 :
+b0000111001001111001001010000000000 ;
+1<
+b0000111001001111001001010000000000 =
+1C
+1J
+#1376500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1377000000
+1"
+b0000111001010100011111110000000000 *
+1-
+12
+b0000000000000101010111000000000000 4
+b0000000000000101010111000000000000 6
+17
+b0000000000000101010111000000000000 8
+b0000111001010100011111110000000000 9
+b0000000000000101010110110000000000 :
+b0000111001010100011111110000000000 ;
+1<
+b0000111001010100011111110000000000 =
+1C
+1J
+#1377500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1378000000
+1"
+b0000111001011001110110100000000000 *
+1-
+12
+b0000000000000101010111010000000000 4
+b0000000000000101010111010000000000 6
+17
+b0000000000000101010111010000000000 8
+b0000111001011001110110100000000000 9
+b0000000000000101010111000000000000 :
+b0000111001011001110110100000000000 ;
+1<
+b0000111001011001110110100000000000 =
+1C
+1J
+#1378500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1379000000
+1"
+b0000111001011111001101100000000000 *
+1-
+12
+b0000000000000101010111100000000000 4
+b0000000000000101010111100000000000 6
+17
+b0000000000000101010111100000000000 8
+b0000111001011111001101100000000000 9
+b0000000000000101010111010000000000 :
+b0000111001011111001101100000000000 ;
+1<
+b0000111001011111001101100000000000 =
+1C
+1J
+#1379500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1380000000
+1"
+b0000111001100100100100110000000000 *
+1-
+12
+b0000000000000101010111110000000000 4
+b0000000000000101010111110000000000 6
+17
+b0000000000000101010111110000000000 8
+b0000111001100100100100110000000000 9
+b0000000000000101010111100000000000 :
+b0000111001100100100100110000000000 ;
+1<
+b0000111001100100100100110000000000 =
+1C
+1J
+#1380500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1381000000
+1"
+b0000111001101001111100010000000000 *
+1-
+12
+b0000000000000101011000000000000000 4
+b0000000000000101011000000000000000 6
+17
+b0000000000000101011000000000000000 8
+b0000111001101001111100010000000000 9
+b0000000000000101010111110000000000 :
+b0000111001101001111100010000000000 ;
+1<
+b0000111001101001111100010000000000 =
+1C
+1J
+#1381500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1382000000
+1"
+b0000111001101111010100000000000000 *
+1-
+12
+b0000000000000101011000010000000000 4
+b0000000000000101011000010000000000 6
+17
+b0000000000000101011000010000000000 8
+b0000111001101111010100000000000000 9
+b0000000000000101011000000000000000 :
+b0000111001101111010100000000000000 ;
+1<
+b0000111001101111010100000000000000 =
+1C
+1J
+#1382500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1383000000
+1"
+b0000111001110100101100000000000000 *
+1-
+12
+b0000000000000101011000100000000000 4
+b0000000000000101011000100000000000 6
+17
+b0000000000000101011000100000000000 8
+b0000111001110100101100000000000000 9
+b0000000000000101011000010000000000 :
+b0000111001110100101100000000000000 ;
+1<
+b0000111001110100101100000000000000 =
+1C
+1J
+#1383500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1384000000
+1"
+b0000111001111010000100010000000000 *
+1-
+12
+b0000000000000101011000110000000000 4
+b0000000000000101011000110000000000 6
+17
+b0000000000000101011000110000000000 8
+b0000111001111010000100010000000000 9
+b0000000000000101011000100000000000 :
+b0000111001111010000100010000000000 ;
+1<
+b0000111001111010000100010000000000 =
+1C
+1J
+#1384500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1385000000
+1"
+b0000111001111111011100110000000000 *
+1-
+12
+b0000000000000101011001000000000000 4
+b0000000000000101011001000000000000 6
+17
+b0000000000000101011001000000000000 8
+b0000111001111111011100110000000000 9
+b0000000000000101011000110000000000 :
+b0000111001111111011100110000000000 ;
+1<
+b0000111001111111011100110000000000 =
+1C
+1J
+#1385500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1386000000
+1"
+b0000111010000100110101100000000000 *
+1-
+12
+b0000000000000101011001010000000000 4
+b0000000000000101011001010000000000 6
+17
+b0000000000000101011001010000000000 8
+b0000111010000100110101100000000000 9
+b0000000000000101011001000000000000 :
+b0000111010000100110101100000000000 ;
+1<
+b0000111010000100110101100000000000 =
+1C
+1J
+#1386500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1387000000
+1"
+b0000111010001010001110100000000000 *
+1-
+12
+b0000000000000101011001100000000000 4
+b0000000000000101011001100000000000 6
+17
+b0000000000000101011001100000000000 8
+b0000111010001010001110100000000000 9
+b0000000000000101011001010000000000 :
+b0000111010001010001110100000000000 ;
+1<
+b0000111010001010001110100000000000 =
+1C
+1J
+#1387500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1388000000
+1"
+b0000111010001111100111110000000000 *
+1-
+12
+b0000000000000101011001110000000000 4
+b0000000000000101011001110000000000 6
+17
+b0000000000000101011001110000000000 8
+b0000111010001111100111110000000000 9
+b0000000000000101011001100000000000 :
+b0000111010001111100111110000000000 ;
+1<
+b0000111010001111100111110000000000 =
+1C
+1J
+#1388500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1389000000
+1"
+b0000111010010101000001010000000000 *
+1-
+12
+b0000000000000101011010000000000000 4
+b0000000000000101011010000000000000 6
+17
+b0000000000000101011010000000000000 8
+b0000111010010101000001010000000000 9
+b0000000000000101011001110000000000 :
+b0000111010010101000001010000000000 ;
+1<
+b0000111010010101000001010000000000 =
+1C
+1J
+#1389500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1390000000
+1"
+b0000111010011010011011000000000000 *
+1-
+12
+b0000000000000101011010010000000000 4
+b0000000000000101011010010000000000 6
+17
+b0000000000000101011010010000000000 8
+b0000111010011010011011000000000000 9
+b0000000000000101011010000000000000 :
+b0000111010011010011011000000000000 ;
+1<
+b0000111010011010011011000000000000 =
+1C
+1J
+#1390500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1391000000
+1"
+b0000111010011111110101000000000000 *
+1-
+12
+b0000000000000101011010100000000000 4
+b0000000000000101011010100000000000 6
+17
+b0000000000000101011010100000000000 8
+b0000111010011111110101000000000000 9
+b0000000000000101011010010000000000 :
+b0000111010011111110101000000000000 ;
+1<
+b0000111010011111110101000000000000 =
+1C
+1J
+#1391500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1392000000
+1"
+b0000111010100101001111010000000000 *
+1-
+12
+b0000000000000101011010110000000000 4
+b0000000000000101011010110000000000 6
+17
+b0000000000000101011010110000000000 8
+b0000111010100101001111010000000000 9
+b0000000000000101011010100000000000 :
+b0000111010100101001111010000000000 ;
+1<
+b0000111010100101001111010000000000 =
+1C
+1J
+#1392500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1393000000
+1"
+b0000111010101010101001110000000000 *
+1-
+12
+b0000000000000101011011000000000000 4
+b0000000000000101011011000000000000 6
+17
+b0000000000000101011011000000000000 8
+b0000111010101010101001110000000000 9
+b0000000000000101011010110000000000 :
+b0000111010101010101001110000000000 ;
+1<
+b0000111010101010101001110000000000 =
+1C
+1J
+#1393500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1394000000
+1"
+b0000111010110000000100100000000000 *
+1-
+12
+b0000000000000101011011010000000000 4
+b0000000000000101011011010000000000 6
+17
+b0000000000000101011011010000000000 8
+b0000111010110000000100100000000000 9
+b0000000000000101011011000000000000 :
+b0000111010110000000100100000000000 ;
+1<
+b0000111010110000000100100000000000 =
+1C
+1J
+#1394500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1395000000
+1"
+b0000111010110101011111100000000000 *
+1-
+12
+b0000000000000101011011100000000000 4
+b0000000000000101011011100000000000 6
+17
+b0000000000000101011011100000000000 8
+b0000111010110101011111100000000000 9
+b0000000000000101011011010000000000 :
+b0000111010110101011111100000000000 ;
+1<
+b0000111010110101011111100000000000 =
+1C
+1J
+#1395500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1396000000
+1"
+b0000111010111010111010110000000000 *
+1-
+12
+b0000000000000101011011110000000000 4
+b0000000000000101011011110000000000 6
+17
+b0000000000000101011011110000000000 8
+b0000111010111010111010110000000000 9
+b0000000000000101011011100000000000 :
+b0000111010111010111010110000000000 ;
+1<
+b0000111010111010111010110000000000 =
+1C
+1J
+#1396500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1397000000
+1"
+b0000111011000000010110010000000000 *
+1-
+12
+b0000000000000101011100000000000000 4
+b0000000000000101011100000000000000 6
+17
+b0000000000000101011100000000000000 8
+b0000111011000000010110010000000000 9
+b0000000000000101011011110000000000 :
+b0000111011000000010110010000000000 ;
+1<
+b0000111011000000010110010000000000 =
+1C
+1J
+#1397500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1398000000
+1"
+b0000111011000101110010000000000000 *
+1-
+12
+b0000000000000101011100010000000000 4
+b0000000000000101011100010000000000 6
+17
+b0000000000000101011100010000000000 8
+b0000111011000101110010000000000000 9
+b0000000000000101011100000000000000 :
+b0000111011000101110010000000000000 ;
+1<
+b0000111011000101110010000000000000 =
+1C
+1J
+#1398500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1399000000
+1"
+b0000111011001011001110000000000000 *
+1-
+12
+b0000000000000101011100100000000000 4
+b0000000000000101011100100000000000 6
+17
+b0000000000000101011100100000000000 8
+b0000111011001011001110000000000000 9
+b0000000000000101011100010000000000 :
+b0000111011001011001110000000000000 ;
+1<
+b0000111011001011001110000000000000 =
+1C
+1J
+#1399500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1400000000
+1"
+b0000111011010000101010010000000000 *
+1-
+12
+b0000000000000101011100110000000000 4
+b0000000000000101011100110000000000 6
+17
+b0000000000000101011100110000000000 8
+b0000111011010000101010010000000000 9
+b0000000000000101011100100000000000 :
+b0000111011010000101010010000000000 ;
+1<
+b0000111011010000101010010000000000 =
+1C
+1J
+#1400500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1401000000
+1"
+b0000111011010110000110110000000000 *
+1-
+12
+b0000000000000101011101000000000000 4
+b0000000000000101011101000000000000 6
+17
+b0000000000000101011101000000000000 8
+b0000111011010110000110110000000000 9
+b0000000000000101011100110000000000 :
+b0000111011010110000110110000000000 ;
+1<
+b0000111011010110000110110000000000 =
+1C
+1J
+#1401500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1402000000
+1"
+b0000111011011011100011100000000000 *
+1-
+12
+b0000000000000101011101010000000000 4
+b0000000000000101011101010000000000 6
+17
+b0000000000000101011101010000000000 8
+b0000111011011011100011100000000000 9
+b0000000000000101011101000000000000 :
+b0000111011011011100011100000000000 ;
+1<
+b0000111011011011100011100000000000 =
+1C
+1J
+#1402500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1403000000
+1"
+b0000111011100001000000100000000000 *
+1-
+12
+b0000000000000101011101100000000000 4
+b0000000000000101011101100000000000 6
+17
+b0000000000000101011101100000000000 8
+b0000111011100001000000100000000000 9
+b0000000000000101011101010000000000 :
+b0000111011100001000000100000000000 ;
+1<
+b0000111011100001000000100000000000 =
+1C
+1J
+#1403500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1404000000
+1"
+b0000111011100110011101110000000000 *
+1-
+12
+b0000000000000101011101110000000000 4
+b0000000000000101011101110000000000 6
+17
+b0000000000000101011101110000000000 8
+b0000111011100110011101110000000000 9
+b0000000000000101011101100000000000 :
+b0000111011100110011101110000000000 ;
+1<
+b0000111011100110011101110000000000 =
+1C
+1J
+#1404500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1405000000
+1"
+b0000111011101011111011010000000000 *
+1-
+12
+b0000000000000101011110000000000000 4
+b0000000000000101011110000000000000 6
+17
+b0000000000000101011110000000000000 8
+b0000111011101011111011010000000000 9
+b0000000000000101011101110000000000 :
+b0000111011101011111011010000000000 ;
+1<
+b0000111011101011111011010000000000 =
+1C
+1J
+#1405500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1406000000
+1"
+b0000111011110001011001000000000000 *
+1-
+12
+b0000000000000101011110010000000000 4
+b0000000000000101011110010000000000 6
+17
+b0000000000000101011110010000000000 8
+b0000111011110001011001000000000000 9
+b0000000000000101011110000000000000 :
+b0000111011110001011001000000000000 ;
+1<
+b0000111011110001011001000000000000 =
+1C
+1J
+#1406500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1407000000
+1"
+b0000111011110110110111000000000000 *
+1-
+12
+b0000000000000101011110100000000000 4
+b0000000000000101011110100000000000 6
+17
+b0000000000000101011110100000000000 8
+b0000111011110110110111000000000000 9
+b0000000000000101011110010000000000 :
+b0000111011110110110111000000000000 ;
+1<
+b0000111011110110110111000000000000 =
+1C
+1J
+#1407500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1408000000
+1"
+b0000111011111100010101010000000000 *
+1-
+12
+b0000000000000101011110110000000000 4
+b0000000000000101011110110000000000 6
+17
+b0000000000000101011110110000000000 8
+b0000111011111100010101010000000000 9
+b0000000000000101011110100000000000 :
+b0000111011111100010101010000000000 ;
+1<
+b0000111011111100010101010000000000 =
+1C
+1J
+#1408500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1409000000
+1"
+1'
+b0000111100000001110011110000000000 *
+1+
+1-
+12
+b0000000000000101011111000000000000 4
+b0000000000000101011111000000000000 6
+17
+b0000000000000101011111000000000000 8
+b0000111100000001110011110000000000 9
+b0000000000000101011110110000000000 :
+b0000111100000001110011110000000000 ;
+1<
+b0000111100000001110011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1409500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1410000000
+1"
+0'
+b0000111100000111010010100000000000 *
+0+
+1-
+12
+b0000000000000101011111010000000000 4
+b0000000000000101011111010000000000 6
+17
+b0000000000000101011111010000000000 8
+b0000111100000111010010100000000000 9
+b0000000000000101011111000000000000 :
+b0000111100000111010010100000000000 ;
+1<
+b0000111100000111010010100000000000 =
+b0000000101010110101000000000000000 >
+0?
+b0000111100000001110011110000000000 A
+b0000000101010110101000000000000000 B
+1C
+b0000000101010110101000000000000000 D
+0F
+b0000000101000110101000000000000000 H
+1J
+0M
+#1410500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1411000000
+1"
+b0000111100001100110001100000000000 *
+1-
+12
+b0000000000000101011111100000000000 4
+b0000000000000101011111100000000000 6
+17
+b0000000000000101011111100000000000 8
+b0000111100001100110001100000000000 9
+b0000000000000101011111010000000000 :
+b0000111100001100110001100000000000 ;
+1<
+b0000111100001100110001100000000000 =
+1C
+1J
+#1411500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1412000000
+1"
+b0000111100010010010000110000000000 *
+1-
+12
+b0000000000000101011111110000000000 4
+b0000000000000101011111110000000000 6
+17
+b0000000000000101011111110000000000 8
+b0000111100010010010000110000000000 9
+b0000000000000101011111100000000000 :
+b0000111100010010010000110000000000 ;
+1<
+b0000111100010010010000110000000000 =
+1C
+1J
+#1412500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1413000000
+1"
+b0000111100010111110000010000000000 *
+1-
+12
+b0000000000000101100000000000000000 4
+b0000000000000101100000000000000000 6
+17
+b0000000000000101100000000000000000 8
+b0000111100010111110000010000000000 9
+b0000000000000101011111110000000000 :
+b0000111100010111110000010000000000 ;
+1<
+b0000111100010111110000010000000000 =
+1C
+1J
+#1413500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1414000000
+1"
+b0000111100011101010000000000000000 *
+1-
+12
+b0000000000000101100000010000000000 4
+b0000000000000101100000010000000000 6
+17
+b0000000000000101100000010000000000 8
+b0000111100011101010000000000000000 9
+b0000000000000101100000000000000000 :
+b0000111100011101010000000000000000 ;
+1<
+b0000111100011101010000000000000000 =
+1C
+1J
+#1414500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1415000000
+1"
+b0000111100100010110000000000000000 *
+1-
+12
+b0000000000000101100000100000000000 4
+b0000000000000101100000100000000000 6
+17
+b0000000000000101100000100000000000 8
+b0000111100100010110000000000000000 9
+b0000000000000101100000010000000000 :
+b0000111100100010110000000000000000 ;
+1<
+b0000111100100010110000000000000000 =
+1C
+1J
+#1415500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1416000000
+1"
+b0000111100101000010000010000000000 *
+1-
+12
+b0000000000000101100000110000000000 4
+b0000000000000101100000110000000000 6
+17
+b0000000000000101100000110000000000 8
+b0000111100101000010000010000000000 9
+b0000000000000101100000100000000000 :
+b0000111100101000010000010000000000 ;
+1<
+b0000111100101000010000010000000000 =
+1C
+1J
+#1416500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1417000000
+1"
+b0000111100101101110000110000000000 *
+1-
+12
+b0000000000000101100001000000000000 4
+b0000000000000101100001000000000000 6
+17
+b0000000000000101100001000000000000 8
+b0000111100101101110000110000000000 9
+b0000000000000101100000110000000000 :
+b0000111100101101110000110000000000 ;
+1<
+b0000111100101101110000110000000000 =
+1C
+1J
+#1417500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1418000000
+1"
+b0000111100110011010001100000000000 *
+1-
+12
+b0000000000000101100001010000000000 4
+b0000000000000101100001010000000000 6
+17
+b0000000000000101100001010000000000 8
+b0000111100110011010001100000000000 9
+b0000000000000101100001000000000000 :
+b0000111100110011010001100000000000 ;
+1<
+b0000111100110011010001100000000000 =
+1C
+1J
+#1418500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1419000000
+1"
+b0000111100111000110010100000000000 *
+1-
+12
+b0000000000000101100001100000000000 4
+b0000000000000101100001100000000000 6
+17
+b0000000000000101100001100000000000 8
+b0000111100111000110010100000000000 9
+b0000000000000101100001010000000000 :
+b0000111100111000110010100000000000 ;
+1<
+b0000111100111000110010100000000000 =
+1C
+1J
+#1419500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1420000000
+1"
+b0000111100111110010011110000000000 *
+1-
+12
+b0000000000000101100001110000000000 4
+b0000000000000101100001110000000000 6
+17
+b0000000000000101100001110000000000 8
+b0000111100111110010011110000000000 9
+b0000000000000101100001100000000000 :
+b0000111100111110010011110000000000 ;
+1<
+b0000111100111110010011110000000000 =
+1C
+1J
+#1420500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1421000000
+1"
+b0000111101000011110101010000000000 *
+1-
+12
+b0000000000000101100010000000000000 4
+b0000000000000101100010000000000000 6
+17
+b0000000000000101100010000000000000 8
+b0000111101000011110101010000000000 9
+b0000000000000101100001110000000000 :
+b0000111101000011110101010000000000 ;
+1<
+b0000111101000011110101010000000000 =
+1C
+1J
+#1421500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1422000000
+1"
+b0000111101001001010111000000000000 *
+1-
+12
+b0000000000000101100010010000000000 4
+b0000000000000101100010010000000000 6
+17
+b0000000000000101100010010000000000 8
+b0000111101001001010111000000000000 9
+b0000000000000101100010000000000000 :
+b0000111101001001010111000000000000 ;
+1<
+b0000111101001001010111000000000000 =
+1C
+1J
+#1422500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1423000000
+1"
+b0000111101001110111001000000000000 *
+1-
+12
+b0000000000000101100010100000000000 4
+b0000000000000101100010100000000000 6
+17
+b0000000000000101100010100000000000 8
+b0000111101001110111001000000000000 9
+b0000000000000101100010010000000000 :
+b0000111101001110111001000000000000 ;
+1<
+b0000111101001110111001000000000000 =
+1C
+1J
+#1423500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1424000000
+1"
+b0000111101010100011011010000000000 *
+1-
+12
+b0000000000000101100010110000000000 4
+b0000000000000101100010110000000000 6
+17
+b0000000000000101100010110000000000 8
+b0000111101010100011011010000000000 9
+b0000000000000101100010100000000000 :
+b0000111101010100011011010000000000 ;
+1<
+b0000111101010100011011010000000000 =
+1C
+1J
+#1424500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1425000000
+1"
+b0000111101011001111101110000000000 *
+1-
+12
+b0000000000000101100011000000000000 4
+b0000000000000101100011000000000000 6
+17
+b0000000000000101100011000000000000 8
+b0000111101011001111101110000000000 9
+b0000000000000101100010110000000000 :
+b0000111101011001111101110000000000 ;
+1<
+b0000111101011001111101110000000000 =
+1C
+1J
+#1425500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1426000000
+1"
+b0000111101011111100000100000000000 *
+1-
+12
+b0000000000000101100011010000000000 4
+b0000000000000101100011010000000000 6
+17
+b0000000000000101100011010000000000 8
+b0000111101011111100000100000000000 9
+b0000000000000101100011000000000000 :
+b0000111101011111100000100000000000 ;
+1<
+b0000111101011111100000100000000000 =
+1C
+1J
+#1426500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1427000000
+1"
+b0000111101100101000011100000000000 *
+1-
+12
+b0000000000000101100011100000000000 4
+b0000000000000101100011100000000000 6
+17
+b0000000000000101100011100000000000 8
+b0000111101100101000011100000000000 9
+b0000000000000101100011010000000000 :
+b0000111101100101000011100000000000 ;
+1<
+b0000111101100101000011100000000000 =
+1C
+1J
+#1427500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1428000000
+1"
+b0000111101101010100110110000000000 *
+1-
+12
+b0000000000000101100011110000000000 4
+b0000000000000101100011110000000000 6
+17
+b0000000000000101100011110000000000 8
+b0000111101101010100110110000000000 9
+b0000000000000101100011100000000000 :
+b0000111101101010100110110000000000 ;
+1<
+b0000111101101010100110110000000000 =
+1C
+1J
+#1428500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1429000000
+1"
+b0000111101110000001010010000000000 *
+1-
+12
+b0000000000000101100100000000000000 4
+b0000000000000101100100000000000000 6
+17
+b0000000000000101100100000000000000 8
+b0000111101110000001010010000000000 9
+b0000000000000101100011110000000000 :
+b0000111101110000001010010000000000 ;
+1<
+b0000111101110000001010010000000000 =
+1C
+1J
+#1429500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1430000000
+1"
+b0000111101110101101110000000000000 *
+1-
+12
+b0000000000000101100100010000000000 4
+b0000000000000101100100010000000000 6
+17
+b0000000000000101100100010000000000 8
+b0000111101110101101110000000000000 9
+b0000000000000101100100000000000000 :
+b0000111101110101101110000000000000 ;
+1<
+b0000111101110101101110000000000000 =
+1C
+1J
+#1430500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1431000000
+1"
+b0000111101111011010010000000000000 *
+1-
+12
+b0000000000000101100100100000000000 4
+b0000000000000101100100100000000000 6
+17
+b0000000000000101100100100000000000 8
+b0000111101111011010010000000000000 9
+b0000000000000101100100010000000000 :
+b0000111101111011010010000000000000 ;
+1<
+b0000111101111011010010000000000000 =
+1C
+1J
+#1431500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1432000000
+1"
+b0000111110000000110110010000000000 *
+1-
+12
+b0000000000000101100100110000000000 4
+b0000000000000101100100110000000000 6
+17
+b0000000000000101100100110000000000 8
+b0000111110000000110110010000000000 9
+b0000000000000101100100100000000000 :
+b0000111110000000110110010000000000 ;
+1<
+b0000111110000000110110010000000000 =
+1C
+1J
+#1432500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1433000000
+1"
+b0000111110000110011010110000000000 *
+1-
+12
+b0000000000000101100101000000000000 4
+b0000000000000101100101000000000000 6
+17
+b0000000000000101100101000000000000 8
+b0000111110000110011010110000000000 9
+b0000000000000101100100110000000000 :
+b0000111110000110011010110000000000 ;
+1<
+b0000111110000110011010110000000000 =
+1C
+1J
+#1433500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1434000000
+1"
+b0000111110001011111111100000000000 *
+1-
+12
+b0000000000000101100101010000000000 4
+b0000000000000101100101010000000000 6
+17
+b0000000000000101100101010000000000 8
+b0000111110001011111111100000000000 9
+b0000000000000101100101000000000000 :
+b0000111110001011111111100000000000 ;
+1<
+b0000111110001011111111100000000000 =
+1C
+1J
+#1434500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1435000000
+1"
+b0000111110010001100100100000000000 *
+1-
+12
+b0000000000000101100101100000000000 4
+b0000000000000101100101100000000000 6
+17
+b0000000000000101100101100000000000 8
+b0000111110010001100100100000000000 9
+b0000000000000101100101010000000000 :
+b0000111110010001100100100000000000 ;
+1<
+b0000111110010001100100100000000000 =
+1C
+1J
+#1435500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1436000000
+1"
+b0000111110010111001001110000000000 *
+1-
+12
+b0000000000000101100101110000000000 4
+b0000000000000101100101110000000000 6
+17
+b0000000000000101100101110000000000 8
+b0000111110010111001001110000000000 9
+b0000000000000101100101100000000000 :
+b0000111110010111001001110000000000 ;
+1<
+b0000111110010111001001110000000000 =
+1C
+1J
+#1436500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1437000000
+1"
+b0000111110011100101111010000000000 *
+1-
+12
+b0000000000000101100110000000000000 4
+b0000000000000101100110000000000000 6
+17
+b0000000000000101100110000000000000 8
+b0000111110011100101111010000000000 9
+b0000000000000101100101110000000000 :
+b0000111110011100101111010000000000 ;
+1<
+b0000111110011100101111010000000000 =
+1C
+1J
+#1437500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1438000000
+1"
+b0000111110100010010101000000000000 *
+1-
+12
+b0000000000000101100110010000000000 4
+b0000000000000101100110010000000000 6
+17
+b0000000000000101100110010000000000 8
+b0000111110100010010101000000000000 9
+b0000000000000101100110000000000000 :
+b0000111110100010010101000000000000 ;
+1<
+b0000111110100010010101000000000000 =
+1C
+1J
+#1438500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1439000000
+1"
+b0000111110100111111011000000000000 *
+1-
+12
+b0000000000000101100110100000000000 4
+b0000000000000101100110100000000000 6
+17
+b0000000000000101100110100000000000 8
+b0000111110100111111011000000000000 9
+b0000000000000101100110010000000000 :
+b0000111110100111111011000000000000 ;
+1<
+b0000111110100111111011000000000000 =
+1C
+1J
+#1439500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1440000000
+1"
+b0000111110101101100001010000000000 *
+1-
+12
+b0000000000000101100110110000000000 4
+b0000000000000101100110110000000000 6
+17
+b0000000000000101100110110000000000 8
+b0000111110101101100001010000000000 9
+b0000000000000101100110100000000000 :
+b0000111110101101100001010000000000 ;
+1<
+b0000111110101101100001010000000000 =
+1C
+1J
+#1440500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1441000000
+1"
+b0000111110110011000111110000000000 *
+1-
+12
+b0000000000000101100111000000000000 4
+b0000000000000101100111000000000000 6
+17
+b0000000000000101100111000000000000 8
+b0000111110110011000111110000000000 9
+b0000000000000101100110110000000000 :
+b0000111110110011000111110000000000 ;
+1<
+b0000111110110011000111110000000000 =
+1C
+1J
+#1441500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1442000000
+1"
+b0000111110111000101110100000000000 *
+1-
+12
+b0000000000000101100111010000000000 4
+b0000000000000101100111010000000000 6
+17
+b0000000000000101100111010000000000 8
+b0000111110111000101110100000000000 9
+b0000000000000101100111000000000000 :
+b0000111110111000101110100000000000 ;
+1<
+b0000111110111000101110100000000000 =
+1C
+1J
+#1442500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1443000000
+1"
+b0000111110111110010101100000000000 *
+1-
+12
+b0000000000000101100111100000000000 4
+b0000000000000101100111100000000000 6
+17
+b0000000000000101100111100000000000 8
+b0000111110111110010101100000000000 9
+b0000000000000101100111010000000000 :
+b0000111110111110010101100000000000 ;
+1<
+b0000111110111110010101100000000000 =
+1C
+1J
+#1443500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1444000000
+1"
+b0000111111000011111100110000000000 *
+1-
+12
+b0000000000000101100111110000000000 4
+b0000000000000101100111110000000000 6
+17
+b0000000000000101100111110000000000 8
+b0000111111000011111100110000000000 9
+b0000000000000101100111100000000000 :
+b0000111111000011111100110000000000 ;
+1<
+b0000111111000011111100110000000000 =
+1C
+1J
+#1444500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1445000000
+1"
+b0000111111001001100100010000000000 *
+1-
+12
+b0000000000000101101000000000000000 4
+b0000000000000101101000000000000000 6
+17
+b0000000000000101101000000000000000 8
+b0000111111001001100100010000000000 9
+b0000000000000101100111110000000000 :
+b0000111111001001100100010000000000 ;
+1<
+b0000111111001001100100010000000000 =
+1C
+1J
+#1445500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1446000000
+1"
+b0000111111001111001100000000000000 *
+1-
+12
+b0000000000000101101000010000000000 4
+b0000000000000101101000010000000000 6
+17
+b0000000000000101101000010000000000 8
+b0000111111001111001100000000000000 9
+b0000000000000101101000000000000000 :
+b0000111111001111001100000000000000 ;
+1<
+b0000111111001111001100000000000000 =
+1C
+1J
+#1446500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1447000000
+1"
+b0000111111010100110100000000000000 *
+1-
+12
+b0000000000000101101000100000000000 4
+b0000000000000101101000100000000000 6
+17
+b0000000000000101101000100000000000 8
+b0000111111010100110100000000000000 9
+b0000000000000101101000010000000000 :
+b0000111111010100110100000000000000 ;
+1<
+b0000111111010100110100000000000000 =
+1C
+1J
+#1447500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1448000000
+1"
+b0000111111011010011100010000000000 *
+1-
+12
+b0000000000000101101000110000000000 4
+b0000000000000101101000110000000000 6
+17
+b0000000000000101101000110000000000 8
+b0000111111011010011100010000000000 9
+b0000000000000101101000100000000000 :
+b0000111111011010011100010000000000 ;
+1<
+b0000111111011010011100010000000000 =
+1C
+1J
+#1448500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1449000000
+1"
+b0000111111100000000100110000000000 *
+1-
+12
+b0000000000000101101001000000000000 4
+b0000000000000101101001000000000000 6
+17
+b0000000000000101101001000000000000 8
+b0000111111100000000100110000000000 9
+b0000000000000101101000110000000000 :
+b0000111111100000000100110000000000 ;
+1<
+b0000111111100000000100110000000000 =
+1C
+1J
+#1449500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1450000000
+1"
+b0000111111100101101101100000000000 *
+1-
+12
+b0000000000000101101001010000000000 4
+b0000000000000101101001010000000000 6
+17
+b0000000000000101101001010000000000 8
+b0000111111100101101101100000000000 9
+b0000000000000101101001000000000000 :
+b0000111111100101101101100000000000 ;
+1<
+b0000111111100101101101100000000000 =
+1C
+1J
+#1450500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1451000000
+1"
+b0000111111101011010110100000000000 *
+1-
+12
+b0000000000000101101001100000000000 4
+b0000000000000101101001100000000000 6
+17
+b0000000000000101101001100000000000 8
+b0000111111101011010110100000000000 9
+b0000000000000101101001010000000000 :
+b0000111111101011010110100000000000 ;
+1<
+b0000111111101011010110100000000000 =
+1C
+1J
+#1451500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1452000000
+1"
+b0000111111110000111111110000000000 *
+1-
+12
+b0000000000000101101001110000000000 4
+b0000000000000101101001110000000000 6
+17
+b0000000000000101101001110000000000 8
+b0000111111110000111111110000000000 9
+b0000000000000101101001100000000000 :
+b0000111111110000111111110000000000 ;
+1<
+b0000111111110000111111110000000000 =
+1C
+1J
+#1452500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1453000000
+1"
+b0000111111110110101001010000000000 *
+1-
+12
+b0000000000000101101010000000000000 4
+b0000000000000101101010000000000000 6
+17
+b0000000000000101101010000000000000 8
+b0000111111110110101001010000000000 9
+b0000000000000101101001110000000000 :
+b0000111111110110101001010000000000 ;
+1<
+b0000111111110110101001010000000000 =
+1C
+1J
+#1453500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1454000000
+1"
+b0000111111111100010011000000000000 *
+1-
+12
+b0000000000000101101010010000000000 4
+b0000000000000101101010010000000000 6
+17
+b0000000000000101101010010000000000 8
+b0000111111111100010011000000000000 9
+b0000000000000101101010000000000000 :
+b0000111111111100010011000000000000 ;
+1<
+b0000111111111100010011000000000000 =
+1C
+1J
+#1454500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1455000000
+1"
+b0001000000000001111101000000000000 *
+1-
+12
+b0000000000000101101010100000000000 4
+b0000000000000101101010100000000000 6
+17
+b0000000000000101101010100000000000 8
+b0001000000000001111101000000000000 9
+b0000000000000101101010010000000000 :
+b0001000000000001111101000000000000 ;
+1<
+b0001000000000001111101000000000000 =
+1C
+1J
+#1455500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1456000000
+1"
+b0001000000000111100111010000000000 *
+1-
+12
+b0000000000000101101010110000000000 4
+b0000000000000101101010110000000000 6
+17
+b0000000000000101101010110000000000 8
+b0001000000000111100111010000000000 9
+b0000000000000101101010100000000000 :
+b0001000000000111100111010000000000 ;
+1<
+b0001000000000111100111010000000000 =
+1C
+1J
+#1456500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1457000000
+1"
+b0001000000001101010001110000000000 *
+1-
+12
+b0000000000000101101011000000000000 4
+b0000000000000101101011000000000000 6
+17
+b0000000000000101101011000000000000 8
+b0001000000001101010001110000000000 9
+b0000000000000101101010110000000000 :
+b0001000000001101010001110000000000 ;
+1<
+b0001000000001101010001110000000000 =
+1C
+1J
+#1457500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1458000000
+1"
+b0001000000010010111100100000000000 *
+1-
+12
+b0000000000000101101011010000000000 4
+b0000000000000101101011010000000000 6
+17
+b0000000000000101101011010000000000 8
+b0001000000010010111100100000000000 9
+b0000000000000101101011000000000000 :
+b0001000000010010111100100000000000 ;
+1<
+b0001000000010010111100100000000000 =
+1C
+1J
+#1458500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1459000000
+1"
+b0001000000011000100111100000000000 *
+1-
+12
+b0000000000000101101011100000000000 4
+b0000000000000101101011100000000000 6
+17
+b0000000000000101101011100000000000 8
+b0001000000011000100111100000000000 9
+b0000000000000101101011010000000000 :
+b0001000000011000100111100000000000 ;
+1<
+b0001000000011000100111100000000000 =
+1C
+1J
+#1459500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1460000000
+1"
+b0001000000011110010010110000000000 *
+1-
+12
+b0000000000000101101011110000000000 4
+b0000000000000101101011110000000000 6
+17
+b0000000000000101101011110000000000 8
+b0001000000011110010010110000000000 9
+b0000000000000101101011100000000000 :
+b0001000000011110010010110000000000 ;
+1<
+b0001000000011110010010110000000000 =
+1C
+1J
+#1460500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1461000000
+1"
+b0001000000100011111110010000000000 *
+1-
+12
+b0000000000000101101100000000000000 4
+b0000000000000101101100000000000000 6
+17
+b0000000000000101101100000000000000 8
+b0001000000100011111110010000000000 9
+b0000000000000101101011110000000000 :
+b0001000000100011111110010000000000 ;
+1<
+b0001000000100011111110010000000000 =
+1C
+1J
+#1461500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1462000000
+1"
+b0001000000101001101010000000000000 *
+1-
+12
+b0000000000000101101100010000000000 4
+b0000000000000101101100010000000000 6
+17
+b0000000000000101101100010000000000 8
+b0001000000101001101010000000000000 9
+b0000000000000101101100000000000000 :
+b0001000000101001101010000000000000 ;
+1<
+b0001000000101001101010000000000000 =
+1C
+1J
+#1462500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1463000000
+1"
+b0001000000101111010110000000000000 *
+1-
+12
+b0000000000000101101100100000000000 4
+b0000000000000101101100100000000000 6
+17
+b0000000000000101101100100000000000 8
+b0001000000101111010110000000000000 9
+b0000000000000101101100010000000000 :
+b0001000000101111010110000000000000 ;
+1<
+b0001000000101111010110000000000000 =
+1C
+1J
+#1463500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1464000000
+1"
+b0001000000110101000010010000000000 *
+1-
+12
+b0000000000000101101100110000000000 4
+b0000000000000101101100110000000000 6
+17
+b0000000000000101101100110000000000 8
+b0001000000110101000010010000000000 9
+b0000000000000101101100100000000000 :
+b0001000000110101000010010000000000 ;
+1<
+b0001000000110101000010010000000000 =
+1C
+1J
+#1464500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1465000000
+1"
+b0001000000111010101110110000000000 *
+1-
+12
+b0000000000000101101101000000000000 4
+b0000000000000101101101000000000000 6
+17
+b0000000000000101101101000000000000 8
+b0001000000111010101110110000000000 9
+b0000000000000101101100110000000000 :
+b0001000000111010101110110000000000 ;
+1<
+b0001000000111010101110110000000000 =
+1C
+1J
+#1465500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1466000000
+1"
+b0001000001000000011011100000000000 *
+1-
+12
+b0000000000000101101101010000000000 4
+b0000000000000101101101010000000000 6
+17
+b0000000000000101101101010000000000 8
+b0001000001000000011011100000000000 9
+b0000000000000101101101000000000000 :
+b0001000001000000011011100000000000 ;
+1<
+b0001000001000000011011100000000000 =
+1C
+1J
+#1466500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1467000000
+1"
+b0001000001000110001000100000000000 *
+1-
+12
+b0000000000000101101101100000000000 4
+b0000000000000101101101100000000000 6
+17
+b0000000000000101101101100000000000 8
+b0001000001000110001000100000000000 9
+b0000000000000101101101010000000000 :
+b0001000001000110001000100000000000 ;
+1<
+b0001000001000110001000100000000000 =
+1C
+1J
+#1467500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1468000000
+1"
+b0001000001001011110101110000000000 *
+1-
+12
+b0000000000000101101101110000000000 4
+b0000000000000101101101110000000000 6
+17
+b0000000000000101101101110000000000 8
+b0001000001001011110101110000000000 9
+b0000000000000101101101100000000000 :
+b0001000001001011110101110000000000 ;
+1<
+b0001000001001011110101110000000000 =
+1C
+1J
+#1468500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1469000000
+1"
+b0001000001010001100011010000000000 *
+1-
+12
+b0000000000000101101110000000000000 4
+b0000000000000101101110000000000000 6
+17
+b0000000000000101101110000000000000 8
+b0001000001010001100011010000000000 9
+b0000000000000101101101110000000000 :
+b0001000001010001100011010000000000 ;
+1<
+b0001000001010001100011010000000000 =
+1C
+1J
+#1469500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1470000000
+1"
+b0001000001010111010001000000000000 *
+1-
+12
+b0000000000000101101110010000000000 4
+b0000000000000101101110010000000000 6
+17
+b0000000000000101101110010000000000 8
+b0001000001010111010001000000000000 9
+b0000000000000101101110000000000000 :
+b0001000001010111010001000000000000 ;
+1<
+b0001000001010111010001000000000000 =
+1C
+1J
+#1470500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1471000000
+1"
+b0001000001011100111111000000000000 *
+1-
+12
+b0000000000000101101110100000000000 4
+b0000000000000101101110100000000000 6
+17
+b0000000000000101101110100000000000 8
+b0001000001011100111111000000000000 9
+b0000000000000101101110010000000000 :
+b0001000001011100111111000000000000 ;
+1<
+b0001000001011100111111000000000000 =
+1C
+1J
+#1471500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1472000000
+1"
+b0001000001100010101101010000000000 *
+1-
+12
+b0000000000000101101110110000000000 4
+b0000000000000101101110110000000000 6
+17
+b0000000000000101101110110000000000 8
+b0001000001100010101101010000000000 9
+b0000000000000101101110100000000000 :
+b0001000001100010101101010000000000 ;
+1<
+b0001000001100010101101010000000000 =
+1C
+1J
+#1472500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1473000000
+1"
+1'
+b0001000001101000011011110000000000 *
+1+
+1-
+12
+b0000000000000101101111000000000000 4
+b0000000000000101101111000000000000 6
+17
+b0000000000000101101111000000000000 8
+b0001000001101000011011110000000000 9
+b0000000000000101101110110000000000 :
+b0001000001101000011011110000000000 ;
+1<
+b0001000001101000011011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1473500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1474000000
+1"
+0'
+b0001000001101110001010100000000000 *
+0+
+1-
+12
+b0000000000000101101111010000000000 4
+b0000000000000101101111010000000000 6
+17
+b0000000000000101101111010000000000 8
+b0001000001101110001010100000000000 9
+b0000000000000101101111000000000000 :
+b0001000001101110001010100000000000 ;
+1<
+b0001000001101110001010100000000000 =
+b0000000101100110101000000000000000 >
+0?
+b0001000001101000011011110000000000 A
+b0000000101100110101000000000000000 B
+1C
+b0000000101100110101000000000000000 D
+0F
+b0000000101010110101000000000000000 H
+1J
+0M
+#1474500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1475000000
+1"
+b0001000001110011111001100000000000 *
+1-
+12
+b0000000000000101101111100000000000 4
+b0000000000000101101111100000000000 6
+17
+b0000000000000101101111100000000000 8
+b0001000001110011111001100000000000 9
+b0000000000000101101111010000000000 :
+b0001000001110011111001100000000000 ;
+1<
+b0001000001110011111001100000000000 =
+1C
+1J
+#1475500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1476000000
+1"
+b0001000001111001101000110000000000 *
+1-
+12
+b0000000000000101101111110000000000 4
+b0000000000000101101111110000000000 6
+17
+b0000000000000101101111110000000000 8
+b0001000001111001101000110000000000 9
+b0000000000000101101111100000000000 :
+b0001000001111001101000110000000000 ;
+1<
+b0001000001111001101000110000000000 =
+1C
+1J
+#1476500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1477000000
+1"
+b0001000001111111011000010000000000 *
+1-
+12
+b0000000000000101110000000000000000 4
+b0000000000000101110000000000000000 6
+17
+b0000000000000101110000000000000000 8
+b0001000001111111011000010000000000 9
+b0000000000000101101111110000000000 :
+b0001000001111111011000010000000000 ;
+1<
+b0001000001111111011000010000000000 =
+1C
+1J
+#1477500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1478000000
+1"
+b0001000010000101001000000000000000 *
+1-
+12
+b0000000000000101110000010000000000 4
+b0000000000000101110000010000000000 6
+17
+b0000000000000101110000010000000000 8
+b0001000010000101001000000000000000 9
+b0000000000000101110000000000000000 :
+b0001000010000101001000000000000000 ;
+1<
+b0001000010000101001000000000000000 =
+1C
+1J
+#1478500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1479000000
+1"
+b0001000010001010111000000000000000 *
+1-
+12
+b0000000000000101110000100000000000 4
+b0000000000000101110000100000000000 6
+17
+b0000000000000101110000100000000000 8
+b0001000010001010111000000000000000 9
+b0000000000000101110000010000000000 :
+b0001000010001010111000000000000000 ;
+1<
+b0001000010001010111000000000000000 =
+1C
+1J
+#1479500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1480000000
+1"
+b0001000010010000101000010000000000 *
+1-
+12
+b0000000000000101110000110000000000 4
+b0000000000000101110000110000000000 6
+17
+b0000000000000101110000110000000000 8
+b0001000010010000101000010000000000 9
+b0000000000000101110000100000000000 :
+b0001000010010000101000010000000000 ;
+1<
+b0001000010010000101000010000000000 =
+1C
+1J
+#1480500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1481000000
+1"
+b0001000010010110011000110000000000 *
+1-
+12
+b0000000000000101110001000000000000 4
+b0000000000000101110001000000000000 6
+17
+b0000000000000101110001000000000000 8
+b0001000010010110011000110000000000 9
+b0000000000000101110000110000000000 :
+b0001000010010110011000110000000000 ;
+1<
+b0001000010010110011000110000000000 =
+1C
+1J
+#1481500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1482000000
+1"
+b0001000010011100001001100000000000 *
+1-
+12
+b0000000000000101110001010000000000 4
+b0000000000000101110001010000000000 6
+17
+b0000000000000101110001010000000000 8
+b0001000010011100001001100000000000 9
+b0000000000000101110001000000000000 :
+b0001000010011100001001100000000000 ;
+1<
+b0001000010011100001001100000000000 =
+1C
+1J
+#1482500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1483000000
+1"
+b0001000010100001111010100000000000 *
+1-
+12
+b0000000000000101110001100000000000 4
+b0000000000000101110001100000000000 6
+17
+b0000000000000101110001100000000000 8
+b0001000010100001111010100000000000 9
+b0000000000000101110001010000000000 :
+b0001000010100001111010100000000000 ;
+1<
+b0001000010100001111010100000000000 =
+1C
+1J
+#1483500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1484000000
+1"
+b0001000010100111101011110000000000 *
+1-
+12
+b0000000000000101110001110000000000 4
+b0000000000000101110001110000000000 6
+17
+b0000000000000101110001110000000000 8
+b0001000010100111101011110000000000 9
+b0000000000000101110001100000000000 :
+b0001000010100111101011110000000000 ;
+1<
+b0001000010100111101011110000000000 =
+1C
+1J
+#1484500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1485000000
+1"
+b0001000010101101011101010000000000 *
+1-
+12
+b0000000000000101110010000000000000 4
+b0000000000000101110010000000000000 6
+17
+b0000000000000101110010000000000000 8
+b0001000010101101011101010000000000 9
+b0000000000000101110001110000000000 :
+b0001000010101101011101010000000000 ;
+1<
+b0001000010101101011101010000000000 =
+1C
+1J
+#1485500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1486000000
+1"
+b0001000010110011001111000000000000 *
+1-
+12
+b0000000000000101110010010000000000 4
+b0000000000000101110010010000000000 6
+17
+b0000000000000101110010010000000000 8
+b0001000010110011001111000000000000 9
+b0000000000000101110010000000000000 :
+b0001000010110011001111000000000000 ;
+1<
+b0001000010110011001111000000000000 =
+1C
+1J
+#1486500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1487000000
+1"
+b0001000010111001000001000000000000 *
+1-
+12
+b0000000000000101110010100000000000 4
+b0000000000000101110010100000000000 6
+17
+b0000000000000101110010100000000000 8
+b0001000010111001000001000000000000 9
+b0000000000000101110010010000000000 :
+b0001000010111001000001000000000000 ;
+1<
+b0001000010111001000001000000000000 =
+1C
+1J
+#1487500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1488000000
+1"
+b0001000010111110110011010000000000 *
+1-
+12
+b0000000000000101110010110000000000 4
+b0000000000000101110010110000000000 6
+17
+b0000000000000101110010110000000000 8
+b0001000010111110110011010000000000 9
+b0000000000000101110010100000000000 :
+b0001000010111110110011010000000000 ;
+1<
+b0001000010111110110011010000000000 =
+1C
+1J
+#1488500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1489000000
+1"
+b0001000011000100100101110000000000 *
+1-
+12
+b0000000000000101110011000000000000 4
+b0000000000000101110011000000000000 6
+17
+b0000000000000101110011000000000000 8
+b0001000011000100100101110000000000 9
+b0000000000000101110010110000000000 :
+b0001000011000100100101110000000000 ;
+1<
+b0001000011000100100101110000000000 =
+1C
+1J
+#1489500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1490000000
+1"
+b0001000011001010011000100000000000 *
+1-
+12
+b0000000000000101110011010000000000 4
+b0000000000000101110011010000000000 6
+17
+b0000000000000101110011010000000000 8
+b0001000011001010011000100000000000 9
+b0000000000000101110011000000000000 :
+b0001000011001010011000100000000000 ;
+1<
+b0001000011001010011000100000000000 =
+1C
+1J
+#1490500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1491000000
+1"
+b0001000011010000001011100000000000 *
+1-
+12
+b0000000000000101110011100000000000 4
+b0000000000000101110011100000000000 6
+17
+b0000000000000101110011100000000000 8
+b0001000011010000001011100000000000 9
+b0000000000000101110011010000000000 :
+b0001000011010000001011100000000000 ;
+1<
+b0001000011010000001011100000000000 =
+1C
+1J
+#1491500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1492000000
+1"
+b0001000011010101111110110000000000 *
+1-
+12
+b0000000000000101110011110000000000 4
+b0000000000000101110011110000000000 6
+17
+b0000000000000101110011110000000000 8
+b0001000011010101111110110000000000 9
+b0000000000000101110011100000000000 :
+b0001000011010101111110110000000000 ;
+1<
+b0001000011010101111110110000000000 =
+1C
+1J
+#1492500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1493000000
+1"
+b0001000011011011110010010000000000 *
+1-
+12
+b0000000000000101110100000000000000 4
+b0000000000000101110100000000000000 6
+17
+b0000000000000101110100000000000000 8
+b0001000011011011110010010000000000 9
+b0000000000000101110011110000000000 :
+b0001000011011011110010010000000000 ;
+1<
+b0001000011011011110010010000000000 =
+1C
+1J
+#1493500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1494000000
+1"
+b0001000011100001100110000000000000 *
+1-
+12
+b0000000000000101110100010000000000 4
+b0000000000000101110100010000000000 6
+17
+b0000000000000101110100010000000000 8
+b0001000011100001100110000000000000 9
+b0000000000000101110100000000000000 :
+b0001000011100001100110000000000000 ;
+1<
+b0001000011100001100110000000000000 =
+1C
+1J
+#1494500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1495000000
+1"
+b0001000011100111011010000000000000 *
+1-
+12
+b0000000000000101110100100000000000 4
+b0000000000000101110100100000000000 6
+17
+b0000000000000101110100100000000000 8
+b0001000011100111011010000000000000 9
+b0000000000000101110100010000000000 :
+b0001000011100111011010000000000000 ;
+1<
+b0001000011100111011010000000000000 =
+1C
+1J
+#1495500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1496000000
+1"
+b0001000011101101001110010000000000 *
+1-
+12
+b0000000000000101110100110000000000 4
+b0000000000000101110100110000000000 6
+17
+b0000000000000101110100110000000000 8
+b0001000011101101001110010000000000 9
+b0000000000000101110100100000000000 :
+b0001000011101101001110010000000000 ;
+1<
+b0001000011101101001110010000000000 =
+1C
+1J
+#1496500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1497000000
+1"
+b0001000011110011000010110000000000 *
+1-
+12
+b0000000000000101110101000000000000 4
+b0000000000000101110101000000000000 6
+17
+b0000000000000101110101000000000000 8
+b0001000011110011000010110000000000 9
+b0000000000000101110100110000000000 :
+b0001000011110011000010110000000000 ;
+1<
+b0001000011110011000010110000000000 =
+1C
+1J
+#1497500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1498000000
+1"
+b0001000011111000110111100000000000 *
+1-
+12
+b0000000000000101110101010000000000 4
+b0000000000000101110101010000000000 6
+17
+b0000000000000101110101010000000000 8
+b0001000011111000110111100000000000 9
+b0000000000000101110101000000000000 :
+b0001000011111000110111100000000000 ;
+1<
+b0001000011111000110111100000000000 =
+1C
+1J
+#1498500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1499000000
+1"
+b0001000011111110101100100000000000 *
+1-
+12
+b0000000000000101110101100000000000 4
+b0000000000000101110101100000000000 6
+17
+b0000000000000101110101100000000000 8
+b0001000011111110101100100000000000 9
+b0000000000000101110101010000000000 :
+b0001000011111110101100100000000000 ;
+1<
+b0001000011111110101100100000000000 =
+1C
+1J
+#1499500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1500000000
+1"
+b0001000100000100100001110000000000 *
+1-
+12
+b0000000000000101110101110000000000 4
+b0000000000000101110101110000000000 6
+17
+b0000000000000101110101110000000000 8
+b0001000100000100100001110000000000 9
+b0000000000000101110101100000000000 :
+b0001000100000100100001110000000000 ;
+1<
+b0001000100000100100001110000000000 =
+1C
+1J
+#1500500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1501000000
+1"
+b0001000100001010010111010000000000 *
+1-
+12
+b0000000000000101110110000000000000 4
+b0000000000000101110110000000000000 6
+17
+b0000000000000101110110000000000000 8
+b0001000100001010010111010000000000 9
+b0000000000000101110101110000000000 :
+b0001000100001010010111010000000000 ;
+1<
+b0001000100001010010111010000000000 =
+1C
+1J
+#1501500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1502000000
+1"
+b0001000100010000001101000000000000 *
+1-
+12
+b0000000000000101110110010000000000 4
+b0000000000000101110110010000000000 6
+17
+b0000000000000101110110010000000000 8
+b0001000100010000001101000000000000 9
+b0000000000000101110110000000000000 :
+b0001000100010000001101000000000000 ;
+1<
+b0001000100010000001101000000000000 =
+1C
+1J
+#1502500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1503000000
+1"
+b0001000100010110000011000000000000 *
+1-
+12
+b0000000000000101110110100000000000 4
+b0000000000000101110110100000000000 6
+17
+b0000000000000101110110100000000000 8
+b0001000100010110000011000000000000 9
+b0000000000000101110110010000000000 :
+b0001000100010110000011000000000000 ;
+1<
+b0001000100010110000011000000000000 =
+1C
+1J
+#1503500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1504000000
+1"
+b0001000100011011111001010000000000 *
+1-
+12
+b0000000000000101110110110000000000 4
+b0000000000000101110110110000000000 6
+17
+b0000000000000101110110110000000000 8
+b0001000100011011111001010000000000 9
+b0000000000000101110110100000000000 :
+b0001000100011011111001010000000000 ;
+1<
+b0001000100011011111001010000000000 =
+1C
+1J
+#1504500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1505000000
+1"
+b0001000100100001101111110000000000 *
+1-
+12
+b0000000000000101110111000000000000 4
+b0000000000000101110111000000000000 6
+17
+b0000000000000101110111000000000000 8
+b0001000100100001101111110000000000 9
+b0000000000000101110110110000000000 :
+b0001000100100001101111110000000000 ;
+1<
+b0001000100100001101111110000000000 =
+1C
+1J
+#1505500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1506000000
+1"
+b0001000100100111100110100000000000 *
+1-
+12
+b0000000000000101110111010000000000 4
+b0000000000000101110111010000000000 6
+17
+b0000000000000101110111010000000000 8
+b0001000100100111100110100000000000 9
+b0000000000000101110111000000000000 :
+b0001000100100111100110100000000000 ;
+1<
+b0001000100100111100110100000000000 =
+1C
+1J
+#1506500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1507000000
+1"
+b0001000100101101011101100000000000 *
+1-
+12
+b0000000000000101110111100000000000 4
+b0000000000000101110111100000000000 6
+17
+b0000000000000101110111100000000000 8
+b0001000100101101011101100000000000 9
+b0000000000000101110111010000000000 :
+b0001000100101101011101100000000000 ;
+1<
+b0001000100101101011101100000000000 =
+1C
+1J
+#1507500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1508000000
+1"
+b0001000100110011010100110000000000 *
+1-
+12
+b0000000000000101110111110000000000 4
+b0000000000000101110111110000000000 6
+17
+b0000000000000101110111110000000000 8
+b0001000100110011010100110000000000 9
+b0000000000000101110111100000000000 :
+b0001000100110011010100110000000000 ;
+1<
+b0001000100110011010100110000000000 =
+1C
+1J
+#1508500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1509000000
+1"
+b0001000100111001001100010000000000 *
+1-
+12
+b0000000000000101111000000000000000 4
+b0000000000000101111000000000000000 6
+17
+b0000000000000101111000000000000000 8
+b0001000100111001001100010000000000 9
+b0000000000000101110111110000000000 :
+b0001000100111001001100010000000000 ;
+1<
+b0001000100111001001100010000000000 =
+1C
+1J
+#1509500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1510000000
+1"
+b0001000100111111000100000000000000 *
+1-
+12
+b0000000000000101111000010000000000 4
+b0000000000000101111000010000000000 6
+17
+b0000000000000101111000010000000000 8
+b0001000100111111000100000000000000 9
+b0000000000000101111000000000000000 :
+b0001000100111111000100000000000000 ;
+1<
+b0001000100111111000100000000000000 =
+1C
+1J
+#1510500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1511000000
+1"
+b0001000101000100111100000000000000 *
+1-
+12
+b0000000000000101111000100000000000 4
+b0000000000000101111000100000000000 6
+17
+b0000000000000101111000100000000000 8
+b0001000101000100111100000000000000 9
+b0000000000000101111000010000000000 :
+b0001000101000100111100000000000000 ;
+1<
+b0001000101000100111100000000000000 =
+1C
+1J
+#1511500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1512000000
+1"
+b0001000101001010110100010000000000 *
+1-
+12
+b0000000000000101111000110000000000 4
+b0000000000000101111000110000000000 6
+17
+b0000000000000101111000110000000000 8
+b0001000101001010110100010000000000 9
+b0000000000000101111000100000000000 :
+b0001000101001010110100010000000000 ;
+1<
+b0001000101001010110100010000000000 =
+1C
+1J
+#1512500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1513000000
+1"
+b0001000101010000101100110000000000 *
+1-
+12
+b0000000000000101111001000000000000 4
+b0000000000000101111001000000000000 6
+17
+b0000000000000101111001000000000000 8
+b0001000101010000101100110000000000 9
+b0000000000000101111000110000000000 :
+b0001000101010000101100110000000000 ;
+1<
+b0001000101010000101100110000000000 =
+1C
+1J
+#1513500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1514000000
+1"
+b0001000101010110100101100000000000 *
+1-
+12
+b0000000000000101111001010000000000 4
+b0000000000000101111001010000000000 6
+17
+b0000000000000101111001010000000000 8
+b0001000101010110100101100000000000 9
+b0000000000000101111001000000000000 :
+b0001000101010110100101100000000000 ;
+1<
+b0001000101010110100101100000000000 =
+1C
+1J
+#1514500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1515000000
+1"
+b0001000101011100011110100000000000 *
+1-
+12
+b0000000000000101111001100000000000 4
+b0000000000000101111001100000000000 6
+17
+b0000000000000101111001100000000000 8
+b0001000101011100011110100000000000 9
+b0000000000000101111001010000000000 :
+b0001000101011100011110100000000000 ;
+1<
+b0001000101011100011110100000000000 =
+1C
+1J
+#1515500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1516000000
+1"
+b0001000101100010010111110000000000 *
+1-
+12
+b0000000000000101111001110000000000 4
+b0000000000000101111001110000000000 6
+17
+b0000000000000101111001110000000000 8
+b0001000101100010010111110000000000 9
+b0000000000000101111001100000000000 :
+b0001000101100010010111110000000000 ;
+1<
+b0001000101100010010111110000000000 =
+1C
+1J
+#1516500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1517000000
+1"
+b0001000101101000010001010000000000 *
+1-
+12
+b0000000000000101111010000000000000 4
+b0000000000000101111010000000000000 6
+17
+b0000000000000101111010000000000000 8
+b0001000101101000010001010000000000 9
+b0000000000000101111001110000000000 :
+b0001000101101000010001010000000000 ;
+1<
+b0001000101101000010001010000000000 =
+1C
+1J
+#1517500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1518000000
+1"
+b0001000101101110001011000000000000 *
+1-
+12
+b0000000000000101111010010000000000 4
+b0000000000000101111010010000000000 6
+17
+b0000000000000101111010010000000000 8
+b0001000101101110001011000000000000 9
+b0000000000000101111010000000000000 :
+b0001000101101110001011000000000000 ;
+1<
+b0001000101101110001011000000000000 =
+1C
+1J
+#1518500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1519000000
+1"
+b0001000101110100000101000000000000 *
+1-
+12
+b0000000000000101111010100000000000 4
+b0000000000000101111010100000000000 6
+17
+b0000000000000101111010100000000000 8
+b0001000101110100000101000000000000 9
+b0000000000000101111010010000000000 :
+b0001000101110100000101000000000000 ;
+1<
+b0001000101110100000101000000000000 =
+1C
+1J
+#1519500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1520000000
+1"
+b0001000101111001111111010000000000 *
+1-
+12
+b0000000000000101111010110000000000 4
+b0000000000000101111010110000000000 6
+17
+b0000000000000101111010110000000000 8
+b0001000101111001111111010000000000 9
+b0000000000000101111010100000000000 :
+b0001000101111001111111010000000000 ;
+1<
+b0001000101111001111111010000000000 =
+1C
+1J
+#1520500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1521000000
+1"
+b0001000101111111111001110000000000 *
+1-
+12
+b0000000000000101111011000000000000 4
+b0000000000000101111011000000000000 6
+17
+b0000000000000101111011000000000000 8
+b0001000101111111111001110000000000 9
+b0000000000000101111010110000000000 :
+b0001000101111111111001110000000000 ;
+1<
+b0001000101111111111001110000000000 =
+1C
+1J
+#1521500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1522000000
+1"
+b0001000110000101110100100000000000 *
+1-
+12
+b0000000000000101111011010000000000 4
+b0000000000000101111011010000000000 6
+17
+b0000000000000101111011010000000000 8
+b0001000110000101110100100000000000 9
+b0000000000000101111011000000000000 :
+b0001000110000101110100100000000000 ;
+1<
+b0001000110000101110100100000000000 =
+1C
+1J
+#1522500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1523000000
+1"
+b0001000110001011101111100000000000 *
+1-
+12
+b0000000000000101111011100000000000 4
+b0000000000000101111011100000000000 6
+17
+b0000000000000101111011100000000000 8
+b0001000110001011101111100000000000 9
+b0000000000000101111011010000000000 :
+b0001000110001011101111100000000000 ;
+1<
+b0001000110001011101111100000000000 =
+1C
+1J
+#1523500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1524000000
+1"
+b0001000110010001101010110000000000 *
+1-
+12
+b0000000000000101111011110000000000 4
+b0000000000000101111011110000000000 6
+17
+b0000000000000101111011110000000000 8
+b0001000110010001101010110000000000 9
+b0000000000000101111011100000000000 :
+b0001000110010001101010110000000000 ;
+1<
+b0001000110010001101010110000000000 =
+1C
+1J
+#1524500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1525000000
+1"
+b0001000110010111100110010000000000 *
+1-
+12
+b0000000000000101111100000000000000 4
+b0000000000000101111100000000000000 6
+17
+b0000000000000101111100000000000000 8
+b0001000110010111100110010000000000 9
+b0000000000000101111011110000000000 :
+b0001000110010111100110010000000000 ;
+1<
+b0001000110010111100110010000000000 =
+1C
+1J
+#1525500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1526000000
+1"
+b0001000110011101100010000000000000 *
+1-
+12
+b0000000000000101111100010000000000 4
+b0000000000000101111100010000000000 6
+17
+b0000000000000101111100010000000000 8
+b0001000110011101100010000000000000 9
+b0000000000000101111100000000000000 :
+b0001000110011101100010000000000000 ;
+1<
+b0001000110011101100010000000000000 =
+1C
+1J
+#1526500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1527000000
+1"
+b0001000110100011011110000000000000 *
+1-
+12
+b0000000000000101111100100000000000 4
+b0000000000000101111100100000000000 6
+17
+b0000000000000101111100100000000000 8
+b0001000110100011011110000000000000 9
+b0000000000000101111100010000000000 :
+b0001000110100011011110000000000000 ;
+1<
+b0001000110100011011110000000000000 =
+1C
+1J
+#1527500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1528000000
+1"
+b0001000110101001011010010000000000 *
+1-
+12
+b0000000000000101111100110000000000 4
+b0000000000000101111100110000000000 6
+17
+b0000000000000101111100110000000000 8
+b0001000110101001011010010000000000 9
+b0000000000000101111100100000000000 :
+b0001000110101001011010010000000000 ;
+1<
+b0001000110101001011010010000000000 =
+1C
+1J
+#1528500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1529000000
+1"
+b0001000110101111010110110000000000 *
+1-
+12
+b0000000000000101111101000000000000 4
+b0000000000000101111101000000000000 6
+17
+b0000000000000101111101000000000000 8
+b0001000110101111010110110000000000 9
+b0000000000000101111100110000000000 :
+b0001000110101111010110110000000000 ;
+1<
+b0001000110101111010110110000000000 =
+1C
+1J
+#1529500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1530000000
+1"
+b0001000110110101010011100000000000 *
+1-
+12
+b0000000000000101111101010000000000 4
+b0000000000000101111101010000000000 6
+17
+b0000000000000101111101010000000000 8
+b0001000110110101010011100000000000 9
+b0000000000000101111101000000000000 :
+b0001000110110101010011100000000000 ;
+1<
+b0001000110110101010011100000000000 =
+1C
+1J
+#1530500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1531000000
+1"
+b0001000110111011010000100000000000 *
+1-
+12
+b0000000000000101111101100000000000 4
+b0000000000000101111101100000000000 6
+17
+b0000000000000101111101100000000000 8
+b0001000110111011010000100000000000 9
+b0000000000000101111101010000000000 :
+b0001000110111011010000100000000000 ;
+1<
+b0001000110111011010000100000000000 =
+1C
+1J
+#1531500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1532000000
+1"
+b0001000111000001001101110000000000 *
+1-
+12
+b0000000000000101111101110000000000 4
+b0000000000000101111101110000000000 6
+17
+b0000000000000101111101110000000000 8
+b0001000111000001001101110000000000 9
+b0000000000000101111101100000000000 :
+b0001000111000001001101110000000000 ;
+1<
+b0001000111000001001101110000000000 =
+1C
+1J
+#1532500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1533000000
+1"
+b0001000111000111001011010000000000 *
+1-
+12
+b0000000000000101111110000000000000 4
+b0000000000000101111110000000000000 6
+17
+b0000000000000101111110000000000000 8
+b0001000111000111001011010000000000 9
+b0000000000000101111101110000000000 :
+b0001000111000111001011010000000000 ;
+1<
+b0001000111000111001011010000000000 =
+1C
+1J
+#1533500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1534000000
+1"
+b0001000111001101001001000000000000 *
+1-
+12
+b0000000000000101111110010000000000 4
+b0000000000000101111110010000000000 6
+17
+b0000000000000101111110010000000000 8
+b0001000111001101001001000000000000 9
+b0000000000000101111110000000000000 :
+b0001000111001101001001000000000000 ;
+1<
+b0001000111001101001001000000000000 =
+1C
+1J
+#1534500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1535000000
+1"
+b0001000111010011000111000000000000 *
+1-
+12
+b0000000000000101111110100000000000 4
+b0000000000000101111110100000000000 6
+17
+b0000000000000101111110100000000000 8
+b0001000111010011000111000000000000 9
+b0000000000000101111110010000000000 :
+b0001000111010011000111000000000000 ;
+1<
+b0001000111010011000111000000000000 =
+1C
+1J
+#1535500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1536000000
+1"
+b0001000111011001000101010000000000 *
+1-
+12
+b0000000000000101111110110000000000 4
+b0000000000000101111110110000000000 6
+17
+b0000000000000101111110110000000000 8
+b0001000111011001000101010000000000 9
+b0000000000000101111110100000000000 :
+b0001000111011001000101010000000000 ;
+1<
+b0001000111011001000101010000000000 =
+1C
+1J
+#1536500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1537000000
+1"
+1'
+b0001000111011111000011110000000000 *
+1+
+1-
+12
+b0000000000000101111111000000000000 4
+b0000000000000101111111000000000000 6
+17
+b0000000000000101111111000000000000 8
+b0001000111011111000011110000000000 9
+b0000000000000101111110110000000000 :
+b0001000111011111000011110000000000 ;
+1<
+b0001000111011111000011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1537500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1538000000
+1"
+0'
+b0001000111100101000010100000000000 *
+0+
+1-
+12
+b0000000000000101111111010000000000 4
+b0000000000000101111111010000000000 6
+17
+b0000000000000101111111010000000000 8
+b0001000111100101000010100000000000 9
+b0000000000000101111111000000000000 :
+b0001000111100101000010100000000000 ;
+1<
+b0001000111100101000010100000000000 =
+b0000000101110110101000000000000000 >
+0?
+b0001000111011111000011110000000000 A
+b0000000101110110101000000000000000 B
+1C
+b0000000101110110101000000000000000 D
+0F
+b0000000101100110101000000000000000 H
+1J
+0M
+#1538500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1539000000
+1"
+b0001000111101011000001100000000000 *
+1-
+12
+b0000000000000101111111100000000000 4
+b0000000000000101111111100000000000 6
+17
+b0000000000000101111111100000000000 8
+b0001000111101011000001100000000000 9
+b0000000000000101111111010000000000 :
+b0001000111101011000001100000000000 ;
+1<
+b0001000111101011000001100000000000 =
+1C
+1J
+#1539500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1540000000
+1"
+b0001000111110001000000110000000000 *
+1-
+12
+b0000000000000101111111110000000000 4
+b0000000000000101111111110000000000 6
+17
+b0000000000000101111111110000000000 8
+b0001000111110001000000110000000000 9
+b0000000000000101111111100000000000 :
+b0001000111110001000000110000000000 ;
+1<
+b0001000111110001000000110000000000 =
+1C
+1J
+#1540500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1541000000
+1"
+b0001000111110111000000010000000000 *
+1-
+12
+b0000000000000110000000000000000000 4
+b0000000000000110000000000000000000 6
+17
+b0000000000000110000000000000000000 8
+b0001000111110111000000010000000000 9
+b0000000000000101111111110000000000 :
+b0001000111110111000000010000000000 ;
+1<
+b0001000111110111000000010000000000 =
+1C
+1J
+#1541500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1542000000
+1"
+b0001000111111101000000000000000000 *
+1-
+12
+b0000000000000110000000010000000000 4
+b0000000000000110000000010000000000 6
+17
+b0000000000000110000000010000000000 8
+b0001000111111101000000000000000000 9
+b0000000000000110000000000000000000 :
+b0001000111111101000000000000000000 ;
+1<
+b0001000111111101000000000000000000 =
+1C
+1J
+#1542500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1543000000
+1"
+b0001001000000011000000000000000000 *
+1-
+12
+b0000000000000110000000100000000000 4
+b0000000000000110000000100000000000 6
+17
+b0000000000000110000000100000000000 8
+b0001001000000011000000000000000000 9
+b0000000000000110000000010000000000 :
+b0001001000000011000000000000000000 ;
+1<
+b0001001000000011000000000000000000 =
+1C
+1J
+#1543500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1544000000
+1"
+b0001001000001001000000010000000000 *
+1-
+12
+b0000000000000110000000110000000000 4
+b0000000000000110000000110000000000 6
+17
+b0000000000000110000000110000000000 8
+b0001001000001001000000010000000000 9
+b0000000000000110000000100000000000 :
+b0001001000001001000000010000000000 ;
+1<
+b0001001000001001000000010000000000 =
+1C
+1J
+#1544500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1545000000
+1"
+b0001001000001111000000110000000000 *
+1-
+12
+b0000000000000110000001000000000000 4
+b0000000000000110000001000000000000 6
+17
+b0000000000000110000001000000000000 8
+b0001001000001111000000110000000000 9
+b0000000000000110000000110000000000 :
+b0001001000001111000000110000000000 ;
+1<
+b0001001000001111000000110000000000 =
+1C
+1J
+#1545500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1546000000
+1"
+b0001001000010101000001100000000000 *
+1-
+12
+b0000000000000110000001010000000000 4
+b0000000000000110000001010000000000 6
+17
+b0000000000000110000001010000000000 8
+b0001001000010101000001100000000000 9
+b0000000000000110000001000000000000 :
+b0001001000010101000001100000000000 ;
+1<
+b0001001000010101000001100000000000 =
+1C
+1J
+#1546500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1547000000
+1"
+b0001001000011011000010100000000000 *
+1-
+12
+b0000000000000110000001100000000000 4
+b0000000000000110000001100000000000 6
+17
+b0000000000000110000001100000000000 8
+b0001001000011011000010100000000000 9
+b0000000000000110000001010000000000 :
+b0001001000011011000010100000000000 ;
+1<
+b0001001000011011000010100000000000 =
+1C
+1J
+#1547500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1548000000
+1"
+b0001001000100001000011110000000000 *
+1-
+12
+b0000000000000110000001110000000000 4
+b0000000000000110000001110000000000 6
+17
+b0000000000000110000001110000000000 8
+b0001001000100001000011110000000000 9
+b0000000000000110000001100000000000 :
+b0001001000100001000011110000000000 ;
+1<
+b0001001000100001000011110000000000 =
+1C
+1J
+#1548500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1549000000
+1"
+b0001001000100111000101010000000000 *
+1-
+12
+b0000000000000110000010000000000000 4
+b0000000000000110000010000000000000 6
+17
+b0000000000000110000010000000000000 8
+b0001001000100111000101010000000000 9
+b0000000000000110000001110000000000 :
+b0001001000100111000101010000000000 ;
+1<
+b0001001000100111000101010000000000 =
+1C
+1J
+#1549500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1550000000
+1"
+b0001001000101101000111000000000000 *
+1-
+12
+b0000000000000110000010010000000000 4
+b0000000000000110000010010000000000 6
+17
+b0000000000000110000010010000000000 8
+b0001001000101101000111000000000000 9
+b0000000000000110000010000000000000 :
+b0001001000101101000111000000000000 ;
+1<
+b0001001000101101000111000000000000 =
+1C
+1J
+#1550500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1551000000
+1"
+b0001001000110011001001000000000000 *
+1-
+12
+b0000000000000110000010100000000000 4
+b0000000000000110000010100000000000 6
+17
+b0000000000000110000010100000000000 8
+b0001001000110011001001000000000000 9
+b0000000000000110000010010000000000 :
+b0001001000110011001001000000000000 ;
+1<
+b0001001000110011001001000000000000 =
+1C
+1J
+#1551500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1552000000
+1"
+b0001001000111001001011010000000000 *
+1-
+12
+b0000000000000110000010110000000000 4
+b0000000000000110000010110000000000 6
+17
+b0000000000000110000010110000000000 8
+b0001001000111001001011010000000000 9
+b0000000000000110000010100000000000 :
+b0001001000111001001011010000000000 ;
+1<
+b0001001000111001001011010000000000 =
+1C
+1J
+#1552500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1553000000
+1"
+b0001001000111111001101110000000000 *
+1-
+12
+b0000000000000110000011000000000000 4
+b0000000000000110000011000000000000 6
+17
+b0000000000000110000011000000000000 8
+b0001001000111111001101110000000000 9
+b0000000000000110000010110000000000 :
+b0001001000111111001101110000000000 ;
+1<
+b0001001000111111001101110000000000 =
+1C
+1J
+#1553500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1554000000
+1"
+b0001001001000101010000100000000000 *
+1-
+12
+b0000000000000110000011010000000000 4
+b0000000000000110000011010000000000 6
+17
+b0000000000000110000011010000000000 8
+b0001001001000101010000100000000000 9
+b0000000000000110000011000000000000 :
+b0001001001000101010000100000000000 ;
+1<
+b0001001001000101010000100000000000 =
+1C
+1J
+#1554500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1555000000
+1"
+b0001001001001011010011100000000000 *
+1-
+12
+b0000000000000110000011100000000000 4
+b0000000000000110000011100000000000 6
+17
+b0000000000000110000011100000000000 8
+b0001001001001011010011100000000000 9
+b0000000000000110000011010000000000 :
+b0001001001001011010011100000000000 ;
+1<
+b0001001001001011010011100000000000 =
+1C
+1J
+#1555500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1556000000
+1"
+b0001001001010001010110110000000000 *
+1-
+12
+b0000000000000110000011110000000000 4
+b0000000000000110000011110000000000 6
+17
+b0000000000000110000011110000000000 8
+b0001001001010001010110110000000000 9
+b0000000000000110000011100000000000 :
+b0001001001010001010110110000000000 ;
+1<
+b0001001001010001010110110000000000 =
+1C
+1J
+#1556500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1557000000
+1"
+b0001001001010111011010010000000000 *
+1-
+12
+b0000000000000110000100000000000000 4
+b0000000000000110000100000000000000 6
+17
+b0000000000000110000100000000000000 8
+b0001001001010111011010010000000000 9
+b0000000000000110000011110000000000 :
+b0001001001010111011010010000000000 ;
+1<
+b0001001001010111011010010000000000 =
+1C
+1J
+#1557500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1558000000
+1"
+b0001001001011101011110000000000000 *
+1-
+12
+b0000000000000110000100010000000000 4
+b0000000000000110000100010000000000 6
+17
+b0000000000000110000100010000000000 8
+b0001001001011101011110000000000000 9
+b0000000000000110000100000000000000 :
+b0001001001011101011110000000000000 ;
+1<
+b0001001001011101011110000000000000 =
+1C
+1J
+#1558500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1559000000
+1"
+b0001001001100011100010000000000000 *
+1-
+12
+b0000000000000110000100100000000000 4
+b0000000000000110000100100000000000 6
+17
+b0000000000000110000100100000000000 8
+b0001001001100011100010000000000000 9
+b0000000000000110000100010000000000 :
+b0001001001100011100010000000000000 ;
+1<
+b0001001001100011100010000000000000 =
+1C
+1J
+#1559500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1560000000
+1"
+b0001001001101001100110010000000000 *
+1-
+12
+b0000000000000110000100110000000000 4
+b0000000000000110000100110000000000 6
+17
+b0000000000000110000100110000000000 8
+b0001001001101001100110010000000000 9
+b0000000000000110000100100000000000 :
+b0001001001101001100110010000000000 ;
+1<
+b0001001001101001100110010000000000 =
+1C
+1J
+#1560500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1561000000
+1"
+b0001001001101111101010110000000000 *
+1-
+12
+b0000000000000110000101000000000000 4
+b0000000000000110000101000000000000 6
+17
+b0000000000000110000101000000000000 8
+b0001001001101111101010110000000000 9
+b0000000000000110000100110000000000 :
+b0001001001101111101010110000000000 ;
+1<
+b0001001001101111101010110000000000 =
+1C
+1J
+#1561500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1562000000
+1"
+b0001001001110101101111100000000000 *
+1-
+12
+b0000000000000110000101010000000000 4
+b0000000000000110000101010000000000 6
+17
+b0000000000000110000101010000000000 8
+b0001001001110101101111100000000000 9
+b0000000000000110000101000000000000 :
+b0001001001110101101111100000000000 ;
+1<
+b0001001001110101101111100000000000 =
+1C
+1J
+#1562500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1563000000
+1"
+b0001001001111011110100100000000000 *
+1-
+12
+b0000000000000110000101100000000000 4
+b0000000000000110000101100000000000 6
+17
+b0000000000000110000101100000000000 8
+b0001001001111011110100100000000000 9
+b0000000000000110000101010000000000 :
+b0001001001111011110100100000000000 ;
+1<
+b0001001001111011110100100000000000 =
+1C
+1J
+#1563500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1564000000
+1"
+b0001001010000001111001110000000000 *
+1-
+12
+b0000000000000110000101110000000000 4
+b0000000000000110000101110000000000 6
+17
+b0000000000000110000101110000000000 8
+b0001001010000001111001110000000000 9
+b0000000000000110000101100000000000 :
+b0001001010000001111001110000000000 ;
+1<
+b0001001010000001111001110000000000 =
+1C
+1J
+#1564500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1565000000
+1"
+b0001001010000111111111010000000000 *
+1-
+12
+b0000000000000110000110000000000000 4
+b0000000000000110000110000000000000 6
+17
+b0000000000000110000110000000000000 8
+b0001001010000111111111010000000000 9
+b0000000000000110000101110000000000 :
+b0001001010000111111111010000000000 ;
+1<
+b0001001010000111111111010000000000 =
+1C
+1J
+#1565500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1566000000
+1"
+b0001001010001110000101000000000000 *
+1-
+12
+b0000000000000110000110010000000000 4
+b0000000000000110000110010000000000 6
+17
+b0000000000000110000110010000000000 8
+b0001001010001110000101000000000000 9
+b0000000000000110000110000000000000 :
+b0001001010001110000101000000000000 ;
+1<
+b0001001010001110000101000000000000 =
+1C
+1J
+#1566500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1567000000
+1"
+b0001001010010100001011000000000000 *
+1-
+12
+b0000000000000110000110100000000000 4
+b0000000000000110000110100000000000 6
+17
+b0000000000000110000110100000000000 8
+b0001001010010100001011000000000000 9
+b0000000000000110000110010000000000 :
+b0001001010010100001011000000000000 ;
+1<
+b0001001010010100001011000000000000 =
+1C
+1J
+#1567500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1568000000
+1"
+b0001001010011010010001010000000000 *
+1-
+12
+b0000000000000110000110110000000000 4
+b0000000000000110000110110000000000 6
+17
+b0000000000000110000110110000000000 8
+b0001001010011010010001010000000000 9
+b0000000000000110000110100000000000 :
+b0001001010011010010001010000000000 ;
+1<
+b0001001010011010010001010000000000 =
+1C
+1J
+#1568500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1569000000
+1"
+b0001001010100000010111110000000000 *
+1-
+12
+b0000000000000110000111000000000000 4
+b0000000000000110000111000000000000 6
+17
+b0000000000000110000111000000000000 8
+b0001001010100000010111110000000000 9
+b0000000000000110000110110000000000 :
+b0001001010100000010111110000000000 ;
+1<
+b0001001010100000010111110000000000 =
+1C
+1J
+#1569500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1570000000
+1"
+b0001001010100110011110100000000000 *
+1-
+12
+b0000000000000110000111010000000000 4
+b0000000000000110000111010000000000 6
+17
+b0000000000000110000111010000000000 8
+b0001001010100110011110100000000000 9
+b0000000000000110000111000000000000 :
+b0001001010100110011110100000000000 ;
+1<
+b0001001010100110011110100000000000 =
+1C
+1J
+#1570500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1571000000
+1"
+b0001001010101100100101100000000000 *
+1-
+12
+b0000000000000110000111100000000000 4
+b0000000000000110000111100000000000 6
+17
+b0000000000000110000111100000000000 8
+b0001001010101100100101100000000000 9
+b0000000000000110000111010000000000 :
+b0001001010101100100101100000000000 ;
+1<
+b0001001010101100100101100000000000 =
+1C
+1J
+#1571500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1572000000
+1"
+b0001001010110010101100110000000000 *
+1-
+12
+b0000000000000110000111110000000000 4
+b0000000000000110000111110000000000 6
+17
+b0000000000000110000111110000000000 8
+b0001001010110010101100110000000000 9
+b0000000000000110000111100000000000 :
+b0001001010110010101100110000000000 ;
+1<
+b0001001010110010101100110000000000 =
+1C
+1J
+#1572500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1573000000
+1"
+b0001001010111000110100010000000000 *
+1-
+12
+b0000000000000110001000000000000000 4
+b0000000000000110001000000000000000 6
+17
+b0000000000000110001000000000000000 8
+b0001001010111000110100010000000000 9
+b0000000000000110000111110000000000 :
+b0001001010111000110100010000000000 ;
+1<
+b0001001010111000110100010000000000 =
+1C
+1J
+#1573500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1574000000
+1"
+b0001001010111110111100000000000000 *
+1-
+12
+b0000000000000110001000010000000000 4
+b0000000000000110001000010000000000 6
+17
+b0000000000000110001000010000000000 8
+b0001001010111110111100000000000000 9
+b0000000000000110001000000000000000 :
+b0001001010111110111100000000000000 ;
+1<
+b0001001010111110111100000000000000 =
+1C
+1J
+#1574500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1575000000
+1"
+b0001001011000101000100000000000000 *
+1-
+12
+b0000000000000110001000100000000000 4
+b0000000000000110001000100000000000 6
+17
+b0000000000000110001000100000000000 8
+b0001001011000101000100000000000000 9
+b0000000000000110001000010000000000 :
+b0001001011000101000100000000000000 ;
+1<
+b0001001011000101000100000000000000 =
+1C
+1J
+#1575500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1576000000
+1"
+b0001001011001011001100010000000000 *
+1-
+12
+b0000000000000110001000110000000000 4
+b0000000000000110001000110000000000 6
+17
+b0000000000000110001000110000000000 8
+b0001001011001011001100010000000000 9
+b0000000000000110001000100000000000 :
+b0001001011001011001100010000000000 ;
+1<
+b0001001011001011001100010000000000 =
+1C
+1J
+#1576500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1577000000
+1"
+b0001001011010001010100110000000000 *
+1-
+12
+b0000000000000110001001000000000000 4
+b0000000000000110001001000000000000 6
+17
+b0000000000000110001001000000000000 8
+b0001001011010001010100110000000000 9
+b0000000000000110001000110000000000 :
+b0001001011010001010100110000000000 ;
+1<
+b0001001011010001010100110000000000 =
+1C
+1J
+#1577500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1578000000
+1"
+b0001001011010111011101100000000000 *
+1-
+12
+b0000000000000110001001010000000000 4
+b0000000000000110001001010000000000 6
+17
+b0000000000000110001001010000000000 8
+b0001001011010111011101100000000000 9
+b0000000000000110001001000000000000 :
+b0001001011010111011101100000000000 ;
+1<
+b0001001011010111011101100000000000 =
+1C
+1J
+#1578500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1579000000
+1"
+b0001001011011101100110100000000000 *
+1-
+12
+b0000000000000110001001100000000000 4
+b0000000000000110001001100000000000 6
+17
+b0000000000000110001001100000000000 8
+b0001001011011101100110100000000000 9
+b0000000000000110001001010000000000 :
+b0001001011011101100110100000000000 ;
+1<
+b0001001011011101100110100000000000 =
+1C
+1J
+#1579500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1580000000
+1"
+b0001001011100011101111110000000000 *
+1-
+12
+b0000000000000110001001110000000000 4
+b0000000000000110001001110000000000 6
+17
+b0000000000000110001001110000000000 8
+b0001001011100011101111110000000000 9
+b0000000000000110001001100000000000 :
+b0001001011100011101111110000000000 ;
+1<
+b0001001011100011101111110000000000 =
+1C
+1J
+#1580500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1581000000
+1"
+b0001001011101001111001010000000000 *
+1-
+12
+b0000000000000110001010000000000000 4
+b0000000000000110001010000000000000 6
+17
+b0000000000000110001010000000000000 8
+b0001001011101001111001010000000000 9
+b0000000000000110001001110000000000 :
+b0001001011101001111001010000000000 ;
+1<
+b0001001011101001111001010000000000 =
+1C
+1J
+#1581500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1582000000
+1"
+b0001001011110000000011000000000000 *
+1-
+12
+b0000000000000110001010010000000000 4
+b0000000000000110001010010000000000 6
+17
+b0000000000000110001010010000000000 8
+b0001001011110000000011000000000000 9
+b0000000000000110001010000000000000 :
+b0001001011110000000011000000000000 ;
+1<
+b0001001011110000000011000000000000 =
+1C
+1J
+#1582500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1583000000
+1"
+b0001001011110110001101000000000000 *
+1-
+12
+b0000000000000110001010100000000000 4
+b0000000000000110001010100000000000 6
+17
+b0000000000000110001010100000000000 8
+b0001001011110110001101000000000000 9
+b0000000000000110001010010000000000 :
+b0001001011110110001101000000000000 ;
+1<
+b0001001011110110001101000000000000 =
+1C
+1J
+#1583500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1584000000
+1"
+b0001001011111100010111010000000000 *
+1-
+12
+b0000000000000110001010110000000000 4
+b0000000000000110001010110000000000 6
+17
+b0000000000000110001010110000000000 8
+b0001001011111100010111010000000000 9
+b0000000000000110001010100000000000 :
+b0001001011111100010111010000000000 ;
+1<
+b0001001011111100010111010000000000 =
+1C
+1J
+#1584500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1585000000
+1"
+b0001001100000010100001110000000000 *
+1-
+12
+b0000000000000110001011000000000000 4
+b0000000000000110001011000000000000 6
+17
+b0000000000000110001011000000000000 8
+b0001001100000010100001110000000000 9
+b0000000000000110001010110000000000 :
+b0001001100000010100001110000000000 ;
+1<
+b0001001100000010100001110000000000 =
+1C
+1J
+#1585500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1586000000
+1"
+b0001001100001000101100100000000000 *
+1-
+12
+b0000000000000110001011010000000000 4
+b0000000000000110001011010000000000 6
+17
+b0000000000000110001011010000000000 8
+b0001001100001000101100100000000000 9
+b0000000000000110001011000000000000 :
+b0001001100001000101100100000000000 ;
+1<
+b0001001100001000101100100000000000 =
+1C
+1J
+#1586500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1587000000
+1"
+b0001001100001110110111100000000000 *
+1-
+12
+b0000000000000110001011100000000000 4
+b0000000000000110001011100000000000 6
+17
+b0000000000000110001011100000000000 8
+b0001001100001110110111100000000000 9
+b0000000000000110001011010000000000 :
+b0001001100001110110111100000000000 ;
+1<
+b0001001100001110110111100000000000 =
+1C
+1J
+#1587500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1588000000
+1"
+b0001001100010101000010110000000000 *
+1-
+12
+b0000000000000110001011110000000000 4
+b0000000000000110001011110000000000 6
+17
+b0000000000000110001011110000000000 8
+b0001001100010101000010110000000000 9
+b0000000000000110001011100000000000 :
+b0001001100010101000010110000000000 ;
+1<
+b0001001100010101000010110000000000 =
+1C
+1J
+#1588500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1589000000
+1"
+b0001001100011011001110010000000000 *
+1-
+12
+b0000000000000110001100000000000000 4
+b0000000000000110001100000000000000 6
+17
+b0000000000000110001100000000000000 8
+b0001001100011011001110010000000000 9
+b0000000000000110001011110000000000 :
+b0001001100011011001110010000000000 ;
+1<
+b0001001100011011001110010000000000 =
+1C
+1J
+#1589500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1590000000
+1"
+b0001001100100001011010000000000000 *
+1-
+12
+b0000000000000110001100010000000000 4
+b0000000000000110001100010000000000 6
+17
+b0000000000000110001100010000000000 8
+b0001001100100001011010000000000000 9
+b0000000000000110001100000000000000 :
+b0001001100100001011010000000000000 ;
+1<
+b0001001100100001011010000000000000 =
+1C
+1J
+#1590500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1591000000
+1"
+b0001001100100111100110000000000000 *
+1-
+12
+b0000000000000110001100100000000000 4
+b0000000000000110001100100000000000 6
+17
+b0000000000000110001100100000000000 8
+b0001001100100111100110000000000000 9
+b0000000000000110001100010000000000 :
+b0001001100100111100110000000000000 ;
+1<
+b0001001100100111100110000000000000 =
+1C
+1J
+#1591500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1592000000
+1"
+b0001001100101101110010010000000000 *
+1-
+12
+b0000000000000110001100110000000000 4
+b0000000000000110001100110000000000 6
+17
+b0000000000000110001100110000000000 8
+b0001001100101101110010010000000000 9
+b0000000000000110001100100000000000 :
+b0001001100101101110010010000000000 ;
+1<
+b0001001100101101110010010000000000 =
+1C
+1J
+#1592500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1593000000
+1"
+b0001001100110011111110110000000000 *
+1-
+12
+b0000000000000110001101000000000000 4
+b0000000000000110001101000000000000 6
+17
+b0000000000000110001101000000000000 8
+b0001001100110011111110110000000000 9
+b0000000000000110001100110000000000 :
+b0001001100110011111110110000000000 ;
+1<
+b0001001100110011111110110000000000 =
+1C
+1J
+#1593500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1594000000
+1"
+b0001001100111010001011100000000000 *
+1-
+12
+b0000000000000110001101010000000000 4
+b0000000000000110001101010000000000 6
+17
+b0000000000000110001101010000000000 8
+b0001001100111010001011100000000000 9
+b0000000000000110001101000000000000 :
+b0001001100111010001011100000000000 ;
+1<
+b0001001100111010001011100000000000 =
+1C
+1J
+#1594500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1595000000
+1"
+b0001001101000000011000100000000000 *
+1-
+12
+b0000000000000110001101100000000000 4
+b0000000000000110001101100000000000 6
+17
+b0000000000000110001101100000000000 8
+b0001001101000000011000100000000000 9
+b0000000000000110001101010000000000 :
+b0001001101000000011000100000000000 ;
+1<
+b0001001101000000011000100000000000 =
+1C
+1J
+#1595500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1596000000
+1"
+b0001001101000110100101110000000000 *
+1-
+12
+b0000000000000110001101110000000000 4
+b0000000000000110001101110000000000 6
+17
+b0000000000000110001101110000000000 8
+b0001001101000110100101110000000000 9
+b0000000000000110001101100000000000 :
+b0001001101000110100101110000000000 ;
+1<
+b0001001101000110100101110000000000 =
+1C
+1J
+#1596500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1597000000
+1"
+b0001001101001100110011010000000000 *
+1-
+12
+b0000000000000110001110000000000000 4
+b0000000000000110001110000000000000 6
+17
+b0000000000000110001110000000000000 8
+b0001001101001100110011010000000000 9
+b0000000000000110001101110000000000 :
+b0001001101001100110011010000000000 ;
+1<
+b0001001101001100110011010000000000 =
+1C
+1J
+#1597500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1598000000
+1"
+b0001001101010011000001000000000000 *
+1-
+12
+b0000000000000110001110010000000000 4
+b0000000000000110001110010000000000 6
+17
+b0000000000000110001110010000000000 8
+b0001001101010011000001000000000000 9
+b0000000000000110001110000000000000 :
+b0001001101010011000001000000000000 ;
+1<
+b0001001101010011000001000000000000 =
+1C
+1J
+#1598500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1599000000
+1"
+b0001001101011001001111000000000000 *
+1-
+12
+b0000000000000110001110100000000000 4
+b0000000000000110001110100000000000 6
+17
+b0000000000000110001110100000000000 8
+b0001001101011001001111000000000000 9
+b0000000000000110001110010000000000 :
+b0001001101011001001111000000000000 ;
+1<
+b0001001101011001001111000000000000 =
+1C
+1J
+#1599500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1600000000
+1"
+b0001001101011111011101010000000000 *
+1-
+12
+b0000000000000110001110110000000000 4
+b0000000000000110001110110000000000 6
+17
+b0000000000000110001110110000000000 8
+b0001001101011111011101010000000000 9
+b0000000000000110001110100000000000 :
+b0001001101011111011101010000000000 ;
+1<
+b0001001101011111011101010000000000 =
+1C
+1J
+#1600500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1601000000
+1"
+1'
+b0001001101100101101011110000000000 *
+1+
+1-
+12
+b0000000000000110001111000000000000 4
+b0000000000000110001111000000000000 6
+17
+b0000000000000110001111000000000000 8
+b0001001101100101101011110000000000 9
+b0000000000000110001110110000000000 :
+b0001001101100101101011110000000000 ;
+1<
+b0001001101100101101011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1601500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1602000000
+1"
+0'
+b0001001101101011111010100000000000 *
+0+
+1-
+12
+b0000000000000110001111010000000000 4
+b0000000000000110001111010000000000 6
+17
+b0000000000000110001111010000000000 8
+b0001001101101011111010100000000000 9
+b0000000000000110001111000000000000 :
+b0001001101101011111010100000000000 ;
+1<
+b0001001101101011111010100000000000 =
+b0000000110000110101000000000000000 >
+0?
+b0001001101100101101011110000000000 A
+b0000000110000110101000000000000000 B
+1C
+b0000000110000110101000000000000000 D
+0F
+b0000000101110110101000000000000000 H
+1J
+0M
+#1602500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1603000000
+1"
+b0001001101110010001001100000000000 *
+1-
+12
+b0000000000000110001111100000000000 4
+b0000000000000110001111100000000000 6
+17
+b0000000000000110001111100000000000 8
+b0001001101110010001001100000000000 9
+b0000000000000110001111010000000000 :
+b0001001101110010001001100000000000 ;
+1<
+b0001001101110010001001100000000000 =
+1C
+1J
+#1603500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1604000000
+1"
+b0001001101111000011000110000000000 *
+1-
+12
+b0000000000000110001111110000000000 4
+b0000000000000110001111110000000000 6
+17
+b0000000000000110001111110000000000 8
+b0001001101111000011000110000000000 9
+b0000000000000110001111100000000000 :
+b0001001101111000011000110000000000 ;
+1<
+b0001001101111000011000110000000000 =
+1C
+1J
+#1604500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1605000000
+1"
+b0001001101111110101000010000000000 *
+1-
+12
+b0000000000000110010000000000000000 4
+b0000000000000110010000000000000000 6
+17
+b0000000000000110010000000000000000 8
+b0001001101111110101000010000000000 9
+b0000000000000110001111110000000000 :
+b0001001101111110101000010000000000 ;
+1<
+b0001001101111110101000010000000000 =
+1C
+1J
+#1605500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1606000000
+1"
+b0001001110000100111000000000000000 *
+1-
+12
+b0000000000000110010000010000000000 4
+b0000000000000110010000010000000000 6
+17
+b0000000000000110010000010000000000 8
+b0001001110000100111000000000000000 9
+b0000000000000110010000000000000000 :
+b0001001110000100111000000000000000 ;
+1<
+b0001001110000100111000000000000000 =
+1C
+1J
+#1606500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1607000000
+1"
+b0001001110001011001000000000000000 *
+1-
+12
+b0000000000000110010000100000000000 4
+b0000000000000110010000100000000000 6
+17
+b0000000000000110010000100000000000 8
+b0001001110001011001000000000000000 9
+b0000000000000110010000010000000000 :
+b0001001110001011001000000000000000 ;
+1<
+b0001001110001011001000000000000000 =
+1C
+1J
+#1607500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1608000000
+1"
+b0001001110010001011000010000000000 *
+1-
+12
+b0000000000000110010000110000000000 4
+b0000000000000110010000110000000000 6
+17
+b0000000000000110010000110000000000 8
+b0001001110010001011000010000000000 9
+b0000000000000110010000100000000000 :
+b0001001110010001011000010000000000 ;
+1<
+b0001001110010001011000010000000000 =
+1C
+1J
+#1608500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1609000000
+1"
+b0001001110010111101000110000000000 *
+1-
+12
+b0000000000000110010001000000000000 4
+b0000000000000110010001000000000000 6
+17
+b0000000000000110010001000000000000 8
+b0001001110010111101000110000000000 9
+b0000000000000110010000110000000000 :
+b0001001110010111101000110000000000 ;
+1<
+b0001001110010111101000110000000000 =
+1C
+1J
+#1609500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1610000000
+1"
+b0001001110011101111001100000000000 *
+1-
+12
+b0000000000000110010001010000000000 4
+b0000000000000110010001010000000000 6
+17
+b0000000000000110010001010000000000 8
+b0001001110011101111001100000000000 9
+b0000000000000110010001000000000000 :
+b0001001110011101111001100000000000 ;
+1<
+b0001001110011101111001100000000000 =
+1C
+1J
+#1610500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1611000000
+1"
+b0001001110100100001010100000000000 *
+1-
+12
+b0000000000000110010001100000000000 4
+b0000000000000110010001100000000000 6
+17
+b0000000000000110010001100000000000 8
+b0001001110100100001010100000000000 9
+b0000000000000110010001010000000000 :
+b0001001110100100001010100000000000 ;
+1<
+b0001001110100100001010100000000000 =
+1C
+1J
+#1611500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1612000000
+1"
+b0001001110101010011011110000000000 *
+1-
+12
+b0000000000000110010001110000000000 4
+b0000000000000110010001110000000000 6
+17
+b0000000000000110010001110000000000 8
+b0001001110101010011011110000000000 9
+b0000000000000110010001100000000000 :
+b0001001110101010011011110000000000 ;
+1<
+b0001001110101010011011110000000000 =
+1C
+1J
+#1612500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1613000000
+1"
+b0001001110110000101101010000000000 *
+1-
+12
+b0000000000000110010010000000000000 4
+b0000000000000110010010000000000000 6
+17
+b0000000000000110010010000000000000 8
+b0001001110110000101101010000000000 9
+b0000000000000110010001110000000000 :
+b0001001110110000101101010000000000 ;
+1<
+b0001001110110000101101010000000000 =
+1C
+1J
+#1613500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1614000000
+1"
+b0001001110110110111111000000000000 *
+1-
+12
+b0000000000000110010010010000000000 4
+b0000000000000110010010010000000000 6
+17
+b0000000000000110010010010000000000 8
+b0001001110110110111111000000000000 9
+b0000000000000110010010000000000000 :
+b0001001110110110111111000000000000 ;
+1<
+b0001001110110110111111000000000000 =
+1C
+1J
+#1614500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1615000000
+1"
+b0001001110111101010001000000000000 *
+1-
+12
+b0000000000000110010010100000000000 4
+b0000000000000110010010100000000000 6
+17
+b0000000000000110010010100000000000 8
+b0001001110111101010001000000000000 9
+b0000000000000110010010010000000000 :
+b0001001110111101010001000000000000 ;
+1<
+b0001001110111101010001000000000000 =
+1C
+1J
+#1615500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1616000000
+1"
+b0001001111000011100011010000000000 *
+1-
+12
+b0000000000000110010010110000000000 4
+b0000000000000110010010110000000000 6
+17
+b0000000000000110010010110000000000 8
+b0001001111000011100011010000000000 9
+b0000000000000110010010100000000000 :
+b0001001111000011100011010000000000 ;
+1<
+b0001001111000011100011010000000000 =
+1C
+1J
+#1616500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1617000000
+1"
+b0001001111001001110101110000000000 *
+1-
+12
+b0000000000000110010011000000000000 4
+b0000000000000110010011000000000000 6
+17
+b0000000000000110010011000000000000 8
+b0001001111001001110101110000000000 9
+b0000000000000110010010110000000000 :
+b0001001111001001110101110000000000 ;
+1<
+b0001001111001001110101110000000000 =
+1C
+1J
+#1617500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1618000000
+1"
+b0001001111010000001000100000000000 *
+1-
+12
+b0000000000000110010011010000000000 4
+b0000000000000110010011010000000000 6
+17
+b0000000000000110010011010000000000 8
+b0001001111010000001000100000000000 9
+b0000000000000110010011000000000000 :
+b0001001111010000001000100000000000 ;
+1<
+b0001001111010000001000100000000000 =
+1C
+1J
+#1618500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1619000000
+1"
+b0001001111010110011011100000000000 *
+1-
+12
+b0000000000000110010011100000000000 4
+b0000000000000110010011100000000000 6
+17
+b0000000000000110010011100000000000 8
+b0001001111010110011011100000000000 9
+b0000000000000110010011010000000000 :
+b0001001111010110011011100000000000 ;
+1<
+b0001001111010110011011100000000000 =
+1C
+1J
+#1619500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1620000000
+1"
+b0001001111011100101110110000000000 *
+1-
+12
+b0000000000000110010011110000000000 4
+b0000000000000110010011110000000000 6
+17
+b0000000000000110010011110000000000 8
+b0001001111011100101110110000000000 9
+b0000000000000110010011100000000000 :
+b0001001111011100101110110000000000 ;
+1<
+b0001001111011100101110110000000000 =
+1C
+1J
+#1620500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1621000000
+1"
+b0001001111100011000010010000000000 *
+1-
+12
+b0000000000000110010100000000000000 4
+b0000000000000110010100000000000000 6
+17
+b0000000000000110010100000000000000 8
+b0001001111100011000010010000000000 9
+b0000000000000110010011110000000000 :
+b0001001111100011000010010000000000 ;
+1<
+b0001001111100011000010010000000000 =
+1C
+1J
+#1621500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1622000000
+1"
+b0001001111101001010110000000000000 *
+1-
+12
+b0000000000000110010100010000000000 4
+b0000000000000110010100010000000000 6
+17
+b0000000000000110010100010000000000 8
+b0001001111101001010110000000000000 9
+b0000000000000110010100000000000000 :
+b0001001111101001010110000000000000 ;
+1<
+b0001001111101001010110000000000000 =
+1C
+1J
+#1622500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1623000000
+1"
+b0001001111101111101010000000000000 *
+1-
+12
+b0000000000000110010100100000000000 4
+b0000000000000110010100100000000000 6
+17
+b0000000000000110010100100000000000 8
+b0001001111101111101010000000000000 9
+b0000000000000110010100010000000000 :
+b0001001111101111101010000000000000 ;
+1<
+b0001001111101111101010000000000000 =
+1C
+1J
+#1623500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1624000000
+1"
+b0001001111110101111110010000000000 *
+1-
+12
+b0000000000000110010100110000000000 4
+b0000000000000110010100110000000000 6
+17
+b0000000000000110010100110000000000 8
+b0001001111110101111110010000000000 9
+b0000000000000110010100100000000000 :
+b0001001111110101111110010000000000 ;
+1<
+b0001001111110101111110010000000000 =
+1C
+1J
+#1624500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1625000000
+1"
+b0001001111111100010010110000000000 *
+1-
+12
+b0000000000000110010101000000000000 4
+b0000000000000110010101000000000000 6
+17
+b0000000000000110010101000000000000 8
+b0001001111111100010010110000000000 9
+b0000000000000110010100110000000000 :
+b0001001111111100010010110000000000 ;
+1<
+b0001001111111100010010110000000000 =
+1C
+1J
+#1625500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1626000000
+1"
+b0001010000000010100111100000000000 *
+1-
+12
+b0000000000000110010101010000000000 4
+b0000000000000110010101010000000000 6
+17
+b0000000000000110010101010000000000 8
+b0001010000000010100111100000000000 9
+b0000000000000110010101000000000000 :
+b0001010000000010100111100000000000 ;
+1<
+b0001010000000010100111100000000000 =
+1C
+1J
+#1626500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1627000000
+1"
+b0001010000001000111100100000000000 *
+1-
+12
+b0000000000000110010101100000000000 4
+b0000000000000110010101100000000000 6
+17
+b0000000000000110010101100000000000 8
+b0001010000001000111100100000000000 9
+b0000000000000110010101010000000000 :
+b0001010000001000111100100000000000 ;
+1<
+b0001010000001000111100100000000000 =
+1C
+1J
+#1627500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1628000000
+1"
+b0001010000001111010001110000000000 *
+1-
+12
+b0000000000000110010101110000000000 4
+b0000000000000110010101110000000000 6
+17
+b0000000000000110010101110000000000 8
+b0001010000001111010001110000000000 9
+b0000000000000110010101100000000000 :
+b0001010000001111010001110000000000 ;
+1<
+b0001010000001111010001110000000000 =
+1C
+1J
+#1628500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1629000000
+1"
+b0001010000010101100111010000000000 *
+1-
+12
+b0000000000000110010110000000000000 4
+b0000000000000110010110000000000000 6
+17
+b0000000000000110010110000000000000 8
+b0001010000010101100111010000000000 9
+b0000000000000110010101110000000000 :
+b0001010000010101100111010000000000 ;
+1<
+b0001010000010101100111010000000000 =
+1C
+1J
+#1629500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1630000000
+1"
+b0001010000011011111101000000000000 *
+1-
+12
+b0000000000000110010110010000000000 4
+b0000000000000110010110010000000000 6
+17
+b0000000000000110010110010000000000 8
+b0001010000011011111101000000000000 9
+b0000000000000110010110000000000000 :
+b0001010000011011111101000000000000 ;
+1<
+b0001010000011011111101000000000000 =
+1C
+1J
+#1630500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1631000000
+1"
+b0001010000100010010011000000000000 *
+1-
+12
+b0000000000000110010110100000000000 4
+b0000000000000110010110100000000000 6
+17
+b0000000000000110010110100000000000 8
+b0001010000100010010011000000000000 9
+b0000000000000110010110010000000000 :
+b0001010000100010010011000000000000 ;
+1<
+b0001010000100010010011000000000000 =
+1C
+1J
+#1631500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1632000000
+1"
+b0001010000101000101001010000000000 *
+1-
+12
+b0000000000000110010110110000000000 4
+b0000000000000110010110110000000000 6
+17
+b0000000000000110010110110000000000 8
+b0001010000101000101001010000000000 9
+b0000000000000110010110100000000000 :
+b0001010000101000101001010000000000 ;
+1<
+b0001010000101000101001010000000000 =
+1C
+1J
+#1632500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1633000000
+1"
+b0001010000101110111111110000000000 *
+1-
+12
+b0000000000000110010111000000000000 4
+b0000000000000110010111000000000000 6
+17
+b0000000000000110010111000000000000 8
+b0001010000101110111111110000000000 9
+b0000000000000110010110110000000000 :
+b0001010000101110111111110000000000 ;
+1<
+b0001010000101110111111110000000000 =
+1C
+1J
+#1633500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1634000000
+1"
+b0001010000110101010110100000000000 *
+1-
+12
+b0000000000000110010111010000000000 4
+b0000000000000110010111010000000000 6
+17
+b0000000000000110010111010000000000 8
+b0001010000110101010110100000000000 9
+b0000000000000110010111000000000000 :
+b0001010000110101010110100000000000 ;
+1<
+b0001010000110101010110100000000000 =
+1C
+1J
+#1634500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1635000000
+1"
+b0001010000111011101101100000000000 *
+1-
+12
+b0000000000000110010111100000000000 4
+b0000000000000110010111100000000000 6
+17
+b0000000000000110010111100000000000 8
+b0001010000111011101101100000000000 9
+b0000000000000110010111010000000000 :
+b0001010000111011101101100000000000 ;
+1<
+b0001010000111011101101100000000000 =
+1C
+1J
+#1635500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1636000000
+1"
+b0001010001000010000100110000000000 *
+1-
+12
+b0000000000000110010111110000000000 4
+b0000000000000110010111110000000000 6
+17
+b0000000000000110010111110000000000 8
+b0001010001000010000100110000000000 9
+b0000000000000110010111100000000000 :
+b0001010001000010000100110000000000 ;
+1<
+b0001010001000010000100110000000000 =
+1C
+1J
+#1636500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1637000000
+1"
+b0001010001001000011100010000000000 *
+1-
+12
+b0000000000000110011000000000000000 4
+b0000000000000110011000000000000000 6
+17
+b0000000000000110011000000000000000 8
+b0001010001001000011100010000000000 9
+b0000000000000110010111110000000000 :
+b0001010001001000011100010000000000 ;
+1<
+b0001010001001000011100010000000000 =
+1C
+1J
+#1637500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1638000000
+1"
+b0001010001001110110100000000000000 *
+1-
+12
+b0000000000000110011000010000000000 4
+b0000000000000110011000010000000000 6
+17
+b0000000000000110011000010000000000 8
+b0001010001001110110100000000000000 9
+b0000000000000110011000000000000000 :
+b0001010001001110110100000000000000 ;
+1<
+b0001010001001110110100000000000000 =
+1C
+1J
+#1638500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1639000000
+1"
+b0001010001010101001100000000000000 *
+1-
+12
+b0000000000000110011000100000000000 4
+b0000000000000110011000100000000000 6
+17
+b0000000000000110011000100000000000 8
+b0001010001010101001100000000000000 9
+b0000000000000110011000010000000000 :
+b0001010001010101001100000000000000 ;
+1<
+b0001010001010101001100000000000000 =
+1C
+1J
+#1639500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1640000000
+1"
+b0001010001011011100100010000000000 *
+1-
+12
+b0000000000000110011000110000000000 4
+b0000000000000110011000110000000000 6
+17
+b0000000000000110011000110000000000 8
+b0001010001011011100100010000000000 9
+b0000000000000110011000100000000000 :
+b0001010001011011100100010000000000 ;
+1<
+b0001010001011011100100010000000000 =
+1C
+1J
+#1640500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1641000000
+1"
+b0001010001100001111100110000000000 *
+1-
+12
+b0000000000000110011001000000000000 4
+b0000000000000110011001000000000000 6
+17
+b0000000000000110011001000000000000 8
+b0001010001100001111100110000000000 9
+b0000000000000110011000110000000000 :
+b0001010001100001111100110000000000 ;
+1<
+b0001010001100001111100110000000000 =
+1C
+1J
+#1641500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1642000000
+1"
+b0001010001101000010101100000000000 *
+1-
+12
+b0000000000000110011001010000000000 4
+b0000000000000110011001010000000000 6
+17
+b0000000000000110011001010000000000 8
+b0001010001101000010101100000000000 9
+b0000000000000110011001000000000000 :
+b0001010001101000010101100000000000 ;
+1<
+b0001010001101000010101100000000000 =
+1C
+1J
+#1642500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1643000000
+1"
+b0001010001101110101110100000000000 *
+1-
+12
+b0000000000000110011001100000000000 4
+b0000000000000110011001100000000000 6
+17
+b0000000000000110011001100000000000 8
+b0001010001101110101110100000000000 9
+b0000000000000110011001010000000000 :
+b0001010001101110101110100000000000 ;
+1<
+b0001010001101110101110100000000000 =
+1C
+1J
+#1643500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1644000000
+1"
+b0001010001110101000111110000000000 *
+1-
+12
+b0000000000000110011001110000000000 4
+b0000000000000110011001110000000000 6
+17
+b0000000000000110011001110000000000 8
+b0001010001110101000111110000000000 9
+b0000000000000110011001100000000000 :
+b0001010001110101000111110000000000 ;
+1<
+b0001010001110101000111110000000000 =
+1C
+1J
+#1644500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1645000000
+1"
+b0001010001111011100001010000000000 *
+1-
+12
+b0000000000000110011010000000000000 4
+b0000000000000110011010000000000000 6
+17
+b0000000000000110011010000000000000 8
+b0001010001111011100001010000000000 9
+b0000000000000110011001110000000000 :
+b0001010001111011100001010000000000 ;
+1<
+b0001010001111011100001010000000000 =
+1C
+1J
+#1645500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1646000000
+1"
+b0001010010000001111011000000000000 *
+1-
+12
+b0000000000000110011010010000000000 4
+b0000000000000110011010010000000000 6
+17
+b0000000000000110011010010000000000 8
+b0001010010000001111011000000000000 9
+b0000000000000110011010000000000000 :
+b0001010010000001111011000000000000 ;
+1<
+b0001010010000001111011000000000000 =
+1C
+1J
+#1646500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1647000000
+1"
+b0001010010001000010101000000000000 *
+1-
+12
+b0000000000000110011010100000000000 4
+b0000000000000110011010100000000000 6
+17
+b0000000000000110011010100000000000 8
+b0001010010001000010101000000000000 9
+b0000000000000110011010010000000000 :
+b0001010010001000010101000000000000 ;
+1<
+b0001010010001000010101000000000000 =
+1C
+1J
+#1647500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1648000000
+1"
+b0001010010001110101111010000000000 *
+1-
+12
+b0000000000000110011010110000000000 4
+b0000000000000110011010110000000000 6
+17
+b0000000000000110011010110000000000 8
+b0001010010001110101111010000000000 9
+b0000000000000110011010100000000000 :
+b0001010010001110101111010000000000 ;
+1<
+b0001010010001110101111010000000000 =
+1C
+1J
+#1648500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1649000000
+1"
+b0001010010010101001001110000000000 *
+1-
+12
+b0000000000000110011011000000000000 4
+b0000000000000110011011000000000000 6
+17
+b0000000000000110011011000000000000 8
+b0001010010010101001001110000000000 9
+b0000000000000110011010110000000000 :
+b0001010010010101001001110000000000 ;
+1<
+b0001010010010101001001110000000000 =
+1C
+1J
+#1649500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1650000000
+1"
+b0001010010011011100100100000000000 *
+1-
+12
+b0000000000000110011011010000000000 4
+b0000000000000110011011010000000000 6
+17
+b0000000000000110011011010000000000 8
+b0001010010011011100100100000000000 9
+b0000000000000110011011000000000000 :
+b0001010010011011100100100000000000 ;
+1<
+b0001010010011011100100100000000000 =
+1C
+1J
+#1650500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1651000000
+1"
+b0001010010100001111111100000000000 *
+1-
+12
+b0000000000000110011011100000000000 4
+b0000000000000110011011100000000000 6
+17
+b0000000000000110011011100000000000 8
+b0001010010100001111111100000000000 9
+b0000000000000110011011010000000000 :
+b0001010010100001111111100000000000 ;
+1<
+b0001010010100001111111100000000000 =
+1C
+1J
+#1651500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1652000000
+1"
+b0001010010101000011010110000000000 *
+1-
+12
+b0000000000000110011011110000000000 4
+b0000000000000110011011110000000000 6
+17
+b0000000000000110011011110000000000 8
+b0001010010101000011010110000000000 9
+b0000000000000110011011100000000000 :
+b0001010010101000011010110000000000 ;
+1<
+b0001010010101000011010110000000000 =
+1C
+1J
+#1652500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1653000000
+1"
+b0001010010101110110110010000000000 *
+1-
+12
+b0000000000000110011100000000000000 4
+b0000000000000110011100000000000000 6
+17
+b0000000000000110011100000000000000 8
+b0001010010101110110110010000000000 9
+b0000000000000110011011110000000000 :
+b0001010010101110110110010000000000 ;
+1<
+b0001010010101110110110010000000000 =
+1C
+1J
+#1653500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1654000000
+1"
+b0001010010110101010010000000000000 *
+1-
+12
+b0000000000000110011100010000000000 4
+b0000000000000110011100010000000000 6
+17
+b0000000000000110011100010000000000 8
+b0001010010110101010010000000000000 9
+b0000000000000110011100000000000000 :
+b0001010010110101010010000000000000 ;
+1<
+b0001010010110101010010000000000000 =
+1C
+1J
+#1654500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1655000000
+1"
+b0001010010111011101110000000000000 *
+1-
+12
+b0000000000000110011100100000000000 4
+b0000000000000110011100100000000000 6
+17
+b0000000000000110011100100000000000 8
+b0001010010111011101110000000000000 9
+b0000000000000110011100010000000000 :
+b0001010010111011101110000000000000 ;
+1<
+b0001010010111011101110000000000000 =
+1C
+1J
+#1655500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1656000000
+1"
+b0001010011000010001010010000000000 *
+1-
+12
+b0000000000000110011100110000000000 4
+b0000000000000110011100110000000000 6
+17
+b0000000000000110011100110000000000 8
+b0001010011000010001010010000000000 9
+b0000000000000110011100100000000000 :
+b0001010011000010001010010000000000 ;
+1<
+b0001010011000010001010010000000000 =
+1C
+1J
+#1656500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1657000000
+1"
+b0001010011001000100110110000000000 *
+1-
+12
+b0000000000000110011101000000000000 4
+b0000000000000110011101000000000000 6
+17
+b0000000000000110011101000000000000 8
+b0001010011001000100110110000000000 9
+b0000000000000110011100110000000000 :
+b0001010011001000100110110000000000 ;
+1<
+b0001010011001000100110110000000000 =
+1C
+1J
+#1657500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1658000000
+1"
+b0001010011001111000011100000000000 *
+1-
+12
+b0000000000000110011101010000000000 4
+b0000000000000110011101010000000000 6
+17
+b0000000000000110011101010000000000 8
+b0001010011001111000011100000000000 9
+b0000000000000110011101000000000000 :
+b0001010011001111000011100000000000 ;
+1<
+b0001010011001111000011100000000000 =
+1C
+1J
+#1658500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1659000000
+1"
+b0001010011010101100000100000000000 *
+1-
+12
+b0000000000000110011101100000000000 4
+b0000000000000110011101100000000000 6
+17
+b0000000000000110011101100000000000 8
+b0001010011010101100000100000000000 9
+b0000000000000110011101010000000000 :
+b0001010011010101100000100000000000 ;
+1<
+b0001010011010101100000100000000000 =
+1C
+1J
+#1659500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1660000000
+1"
+b0001010011011011111101110000000000 *
+1-
+12
+b0000000000000110011101110000000000 4
+b0000000000000110011101110000000000 6
+17
+b0000000000000110011101110000000000 8
+b0001010011011011111101110000000000 9
+b0000000000000110011101100000000000 :
+b0001010011011011111101110000000000 ;
+1<
+b0001010011011011111101110000000000 =
+1C
+1J
+#1660500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1661000000
+1"
+b0001010011100010011011010000000000 *
+1-
+12
+b0000000000000110011110000000000000 4
+b0000000000000110011110000000000000 6
+17
+b0000000000000110011110000000000000 8
+b0001010011100010011011010000000000 9
+b0000000000000110011101110000000000 :
+b0001010011100010011011010000000000 ;
+1<
+b0001010011100010011011010000000000 =
+1C
+1J
+#1661500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1662000000
+1"
+b0001010011101000111001000000000000 *
+1-
+12
+b0000000000000110011110010000000000 4
+b0000000000000110011110010000000000 6
+17
+b0000000000000110011110010000000000 8
+b0001010011101000111001000000000000 9
+b0000000000000110011110000000000000 :
+b0001010011101000111001000000000000 ;
+1<
+b0001010011101000111001000000000000 =
+1C
+1J
+#1662500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1663000000
+1"
+b0001010011101111010111000000000000 *
+1-
+12
+b0000000000000110011110100000000000 4
+b0000000000000110011110100000000000 6
+17
+b0000000000000110011110100000000000 8
+b0001010011101111010111000000000000 9
+b0000000000000110011110010000000000 :
+b0001010011101111010111000000000000 ;
+1<
+b0001010011101111010111000000000000 =
+1C
+1J
+#1663500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1664000000
+1"
+b0001010011110101110101010000000000 *
+1-
+12
+b0000000000000110011110110000000000 4
+b0000000000000110011110110000000000 6
+17
+b0000000000000110011110110000000000 8
+b0001010011110101110101010000000000 9
+b0000000000000110011110100000000000 :
+b0001010011110101110101010000000000 ;
+1<
+b0001010011110101110101010000000000 =
+1C
+1J
+#1664500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1665000000
+1"
+1'
+b0001010011111100010011110000000000 *
+1+
+1-
+12
+b0000000000000110011111000000000000 4
+b0000000000000110011111000000000000 6
+17
+b0000000000000110011111000000000000 8
+b0001010011111100010011110000000000 9
+b0000000000000110011110110000000000 :
+b0001010011111100010011110000000000 ;
+1<
+b0001010011111100010011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1665500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1666000000
+1"
+0'
+b0001010100000010110010100000000000 *
+0+
+1-
+12
+b0000000000000110011111010000000000 4
+b0000000000000110011111010000000000 6
+17
+b0000000000000110011111010000000000 8
+b0001010100000010110010100000000000 9
+b0000000000000110011111000000000000 :
+b0001010100000010110010100000000000 ;
+1<
+b0001010100000010110010100000000000 =
+b0000000110010110101000000000000000 >
+0?
+b0001010011111100010011110000000000 A
+b0000000110010110101000000000000000 B
+1C
+b0000000110010110101000000000000000 D
+0F
+b0000000110000110101000000000000000 H
+1J
+0M
+#1666500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1667000000
+1"
+b0001010100001001010001100000000000 *
+1-
+12
+b0000000000000110011111100000000000 4
+b0000000000000110011111100000000000 6
+17
+b0000000000000110011111100000000000 8
+b0001010100001001010001100000000000 9
+b0000000000000110011111010000000000 :
+b0001010100001001010001100000000000 ;
+1<
+b0001010100001001010001100000000000 =
+1C
+1J
+#1667500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1668000000
+1"
+b0001010100001111110000110000000000 *
+1-
+12
+b0000000000000110011111110000000000 4
+b0000000000000110011111110000000000 6
+17
+b0000000000000110011111110000000000 8
+b0001010100001111110000110000000000 9
+b0000000000000110011111100000000000 :
+b0001010100001111110000110000000000 ;
+1<
+b0001010100001111110000110000000000 =
+1C
+1J
+#1668500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1669000000
+1"
+b0001010100010110010000010000000000 *
+1-
+12
+b0000000000000110100000000000000000 4
+b0000000000000110100000000000000000 6
+17
+b0000000000000110100000000000000000 8
+b0001010100010110010000010000000000 9
+b0000000000000110011111110000000000 :
+b0001010100010110010000010000000000 ;
+1<
+b0001010100010110010000010000000000 =
+1C
+1J
+#1669500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1670000000
+1"
+b0001010100011100110000000000000000 *
+1-
+12
+b0000000000000110100000010000000000 4
+b0000000000000110100000010000000000 6
+17
+b0000000000000110100000010000000000 8
+b0001010100011100110000000000000000 9
+b0000000000000110100000000000000000 :
+b0001010100011100110000000000000000 ;
+1<
+b0001010100011100110000000000000000 =
+1C
+1J
+#1670500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1671000000
+1"
+b0001010100100011010000000000000000 *
+1-
+12
+b0000000000000110100000100000000000 4
+b0000000000000110100000100000000000 6
+17
+b0000000000000110100000100000000000 8
+b0001010100100011010000000000000000 9
+b0000000000000110100000010000000000 :
+b0001010100100011010000000000000000 ;
+1<
+b0001010100100011010000000000000000 =
+1C
+1J
+#1671500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1672000000
+1"
+b0001010100101001110000010000000000 *
+1-
+12
+b0000000000000110100000110000000000 4
+b0000000000000110100000110000000000 6
+17
+b0000000000000110100000110000000000 8
+b0001010100101001110000010000000000 9
+b0000000000000110100000100000000000 :
+b0001010100101001110000010000000000 ;
+1<
+b0001010100101001110000010000000000 =
+1C
+1J
+#1672500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1673000000
+1"
+b0001010100110000010000110000000000 *
+1-
+12
+b0000000000000110100001000000000000 4
+b0000000000000110100001000000000000 6
+17
+b0000000000000110100001000000000000 8
+b0001010100110000010000110000000000 9
+b0000000000000110100000110000000000 :
+b0001010100110000010000110000000000 ;
+1<
+b0001010100110000010000110000000000 =
+1C
+1J
+#1673500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1674000000
+1"
+b0001010100110110110001100000000000 *
+1-
+12
+b0000000000000110100001010000000000 4
+b0000000000000110100001010000000000 6
+17
+b0000000000000110100001010000000000 8
+b0001010100110110110001100000000000 9
+b0000000000000110100001000000000000 :
+b0001010100110110110001100000000000 ;
+1<
+b0001010100110110110001100000000000 =
+1C
+1J
+#1674500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1675000000
+1"
+b0001010100111101010010100000000000 *
+1-
+12
+b0000000000000110100001100000000000 4
+b0000000000000110100001100000000000 6
+17
+b0000000000000110100001100000000000 8
+b0001010100111101010010100000000000 9
+b0000000000000110100001010000000000 :
+b0001010100111101010010100000000000 ;
+1<
+b0001010100111101010010100000000000 =
+1C
+1J
+#1675500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1676000000
+1"
+b0001010101000011110011110000000000 *
+1-
+12
+b0000000000000110100001110000000000 4
+b0000000000000110100001110000000000 6
+17
+b0000000000000110100001110000000000 8
+b0001010101000011110011110000000000 9
+b0000000000000110100001100000000000 :
+b0001010101000011110011110000000000 ;
+1<
+b0001010101000011110011110000000000 =
+1C
+1J
+#1676500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1677000000
+1"
+b0001010101001010010101010000000000 *
+1-
+12
+b0000000000000110100010000000000000 4
+b0000000000000110100010000000000000 6
+17
+b0000000000000110100010000000000000 8
+b0001010101001010010101010000000000 9
+b0000000000000110100001110000000000 :
+b0001010101001010010101010000000000 ;
+1<
+b0001010101001010010101010000000000 =
+1C
+1J
+#1677500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1678000000
+1"
+b0001010101010000110111000000000000 *
+1-
+12
+b0000000000000110100010010000000000 4
+b0000000000000110100010010000000000 6
+17
+b0000000000000110100010010000000000 8
+b0001010101010000110111000000000000 9
+b0000000000000110100010000000000000 :
+b0001010101010000110111000000000000 ;
+1<
+b0001010101010000110111000000000000 =
+1C
+1J
+#1678500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1679000000
+1"
+b0001010101010111011001000000000000 *
+1-
+12
+b0000000000000110100010100000000000 4
+b0000000000000110100010100000000000 6
+17
+b0000000000000110100010100000000000 8
+b0001010101010111011001000000000000 9
+b0000000000000110100010010000000000 :
+b0001010101010111011001000000000000 ;
+1<
+b0001010101010111011001000000000000 =
+1C
+1J
+#1679500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1680000000
+1"
+b0001010101011101111011010000000000 *
+1-
+12
+b0000000000000110100010110000000000 4
+b0000000000000110100010110000000000 6
+17
+b0000000000000110100010110000000000 8
+b0001010101011101111011010000000000 9
+b0000000000000110100010100000000000 :
+b0001010101011101111011010000000000 ;
+1<
+b0001010101011101111011010000000000 =
+1C
+1J
+#1680500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1681000000
+1"
+b0001010101100100011101110000000000 *
+1-
+12
+b0000000000000110100011000000000000 4
+b0000000000000110100011000000000000 6
+17
+b0000000000000110100011000000000000 8
+b0001010101100100011101110000000000 9
+b0000000000000110100010110000000000 :
+b0001010101100100011101110000000000 ;
+1<
+b0001010101100100011101110000000000 =
+1C
+1J
+#1681500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1682000000
+1"
+b0001010101101011000000100000000000 *
+1-
+12
+b0000000000000110100011010000000000 4
+b0000000000000110100011010000000000 6
+17
+b0000000000000110100011010000000000 8
+b0001010101101011000000100000000000 9
+b0000000000000110100011000000000000 :
+b0001010101101011000000100000000000 ;
+1<
+b0001010101101011000000100000000000 =
+1C
+1J
+#1682500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1683000000
+1"
+b0001010101110001100011100000000000 *
+1-
+12
+b0000000000000110100011100000000000 4
+b0000000000000110100011100000000000 6
+17
+b0000000000000110100011100000000000 8
+b0001010101110001100011100000000000 9
+b0000000000000110100011010000000000 :
+b0001010101110001100011100000000000 ;
+1<
+b0001010101110001100011100000000000 =
+1C
+1J
+#1683500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1684000000
+1"
+b0001010101111000000110110000000000 *
+1-
+12
+b0000000000000110100011110000000000 4
+b0000000000000110100011110000000000 6
+17
+b0000000000000110100011110000000000 8
+b0001010101111000000110110000000000 9
+b0000000000000110100011100000000000 :
+b0001010101111000000110110000000000 ;
+1<
+b0001010101111000000110110000000000 =
+1C
+1J
+#1684500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1685000000
+1"
+b0001010101111110101010010000000000 *
+1-
+12
+b0000000000000110100100000000000000 4
+b0000000000000110100100000000000000 6
+17
+b0000000000000110100100000000000000 8
+b0001010101111110101010010000000000 9
+b0000000000000110100011110000000000 :
+b0001010101111110101010010000000000 ;
+1<
+b0001010101111110101010010000000000 =
+1C
+1J
+#1685500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1686000000
+1"
+b0001010110000101001110000000000000 *
+1-
+12
+b0000000000000110100100010000000000 4
+b0000000000000110100100010000000000 6
+17
+b0000000000000110100100010000000000 8
+b0001010110000101001110000000000000 9
+b0000000000000110100100000000000000 :
+b0001010110000101001110000000000000 ;
+1<
+b0001010110000101001110000000000000 =
+1C
+1J
+#1686500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1687000000
+1"
+b0001010110001011110010000000000000 *
+1-
+12
+b0000000000000110100100100000000000 4
+b0000000000000110100100100000000000 6
+17
+b0000000000000110100100100000000000 8
+b0001010110001011110010000000000000 9
+b0000000000000110100100010000000000 :
+b0001010110001011110010000000000000 ;
+1<
+b0001010110001011110010000000000000 =
+1C
+1J
+#1687500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1688000000
+1"
+b0001010110010010010110010000000000 *
+1-
+12
+b0000000000000110100100110000000000 4
+b0000000000000110100100110000000000 6
+17
+b0000000000000110100100110000000000 8
+b0001010110010010010110010000000000 9
+b0000000000000110100100100000000000 :
+b0001010110010010010110010000000000 ;
+1<
+b0001010110010010010110010000000000 =
+1C
+1J
+#1688500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1689000000
+1"
+b0001010110011000111010110000000000 *
+1-
+12
+b0000000000000110100101000000000000 4
+b0000000000000110100101000000000000 6
+17
+b0000000000000110100101000000000000 8
+b0001010110011000111010110000000000 9
+b0000000000000110100100110000000000 :
+b0001010110011000111010110000000000 ;
+1<
+b0001010110011000111010110000000000 =
+1C
+1J
+#1689500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1690000000
+1"
+b0001010110011111011111100000000000 *
+1-
+12
+b0000000000000110100101010000000000 4
+b0000000000000110100101010000000000 6
+17
+b0000000000000110100101010000000000 8
+b0001010110011111011111100000000000 9
+b0000000000000110100101000000000000 :
+b0001010110011111011111100000000000 ;
+1<
+b0001010110011111011111100000000000 =
+1C
+1J
+#1690500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1691000000
+1"
+b0001010110100110000100100000000000 *
+1-
+12
+b0000000000000110100101100000000000 4
+b0000000000000110100101100000000000 6
+17
+b0000000000000110100101100000000000 8
+b0001010110100110000100100000000000 9
+b0000000000000110100101010000000000 :
+b0001010110100110000100100000000000 ;
+1<
+b0001010110100110000100100000000000 =
+1C
+1J
+#1691500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1692000000
+1"
+b0001010110101100101001110000000000 *
+1-
+12
+b0000000000000110100101110000000000 4
+b0000000000000110100101110000000000 6
+17
+b0000000000000110100101110000000000 8
+b0001010110101100101001110000000000 9
+b0000000000000110100101100000000000 :
+b0001010110101100101001110000000000 ;
+1<
+b0001010110101100101001110000000000 =
+1C
+1J
+#1692500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1693000000
+1"
+b0001010110110011001111010000000000 *
+1-
+12
+b0000000000000110100110000000000000 4
+b0000000000000110100110000000000000 6
+17
+b0000000000000110100110000000000000 8
+b0001010110110011001111010000000000 9
+b0000000000000110100101110000000000 :
+b0001010110110011001111010000000000 ;
+1<
+b0001010110110011001111010000000000 =
+1C
+1J
+#1693500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1694000000
+1"
+b0001010110111001110101000000000000 *
+1-
+12
+b0000000000000110100110010000000000 4
+b0000000000000110100110010000000000 6
+17
+b0000000000000110100110010000000000 8
+b0001010110111001110101000000000000 9
+b0000000000000110100110000000000000 :
+b0001010110111001110101000000000000 ;
+1<
+b0001010110111001110101000000000000 =
+1C
+1J
+#1694500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1695000000
+1"
+b0001010111000000011011000000000000 *
+1-
+12
+b0000000000000110100110100000000000 4
+b0000000000000110100110100000000000 6
+17
+b0000000000000110100110100000000000 8
+b0001010111000000011011000000000000 9
+b0000000000000110100110010000000000 :
+b0001010111000000011011000000000000 ;
+1<
+b0001010111000000011011000000000000 =
+1C
+1J
+#1695500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1696000000
+1"
+b0001010111000111000001010000000000 *
+1-
+12
+b0000000000000110100110110000000000 4
+b0000000000000110100110110000000000 6
+17
+b0000000000000110100110110000000000 8
+b0001010111000111000001010000000000 9
+b0000000000000110100110100000000000 :
+b0001010111000111000001010000000000 ;
+1<
+b0001010111000111000001010000000000 =
+1C
+1J
+#1696500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1697000000
+1"
+b0001010111001101100111110000000000 *
+1-
+12
+b0000000000000110100111000000000000 4
+b0000000000000110100111000000000000 6
+17
+b0000000000000110100111000000000000 8
+b0001010111001101100111110000000000 9
+b0000000000000110100110110000000000 :
+b0001010111001101100111110000000000 ;
+1<
+b0001010111001101100111110000000000 =
+1C
+1J
+#1697500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1698000000
+1"
+b0001010111010100001110100000000000 *
+1-
+12
+b0000000000000110100111010000000000 4
+b0000000000000110100111010000000000 6
+17
+b0000000000000110100111010000000000 8
+b0001010111010100001110100000000000 9
+b0000000000000110100111000000000000 :
+b0001010111010100001110100000000000 ;
+1<
+b0001010111010100001110100000000000 =
+1C
+1J
+#1698500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1699000000
+1"
+b0001010111011010110101100000000000 *
+1-
+12
+b0000000000000110100111100000000000 4
+b0000000000000110100111100000000000 6
+17
+b0000000000000110100111100000000000 8
+b0001010111011010110101100000000000 9
+b0000000000000110100111010000000000 :
+b0001010111011010110101100000000000 ;
+1<
+b0001010111011010110101100000000000 =
+1C
+1J
+#1699500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1700000000
+1"
+b0001010111100001011100110000000000 *
+1-
+12
+b0000000000000110100111110000000000 4
+b0000000000000110100111110000000000 6
+17
+b0000000000000110100111110000000000 8
+b0001010111100001011100110000000000 9
+b0000000000000110100111100000000000 :
+b0001010111100001011100110000000000 ;
+1<
+b0001010111100001011100110000000000 =
+1C
+1J
+#1700500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1701000000
+1"
+b0001010111101000000100010000000000 *
+1-
+12
+b0000000000000110101000000000000000 4
+b0000000000000110101000000000000000 6
+17
+b0000000000000110101000000000000000 8
+b0001010111101000000100010000000000 9
+b0000000000000110100111110000000000 :
+b0001010111101000000100010000000000 ;
+1<
+b0001010111101000000100010000000000 =
+1C
+1J
+#1701500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1702000000
+1"
+b0001010111101110101100000000000000 *
+1-
+12
+b0000000000000110101000010000000000 4
+b0000000000000110101000010000000000 6
+17
+b0000000000000110101000010000000000 8
+b0001010111101110101100000000000000 9
+b0000000000000110101000000000000000 :
+b0001010111101110101100000000000000 ;
+1<
+b0001010111101110101100000000000000 =
+1C
+1J
+#1702500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1703000000
+1"
+b0001010111110101010100000000000000 *
+1-
+12
+b0000000000000110101000100000000000 4
+b0000000000000110101000100000000000 6
+17
+b0000000000000110101000100000000000 8
+b0001010111110101010100000000000000 9
+b0000000000000110101000010000000000 :
+b0001010111110101010100000000000000 ;
+1<
+b0001010111110101010100000000000000 =
+1C
+1J
+#1703500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1704000000
+1"
+b0001010111111011111100010000000000 *
+1-
+12
+b0000000000000110101000110000000000 4
+b0000000000000110101000110000000000 6
+17
+b0000000000000110101000110000000000 8
+b0001010111111011111100010000000000 9
+b0000000000000110101000100000000000 :
+b0001010111111011111100010000000000 ;
+1<
+b0001010111111011111100010000000000 =
+1C
+1J
+#1704500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1705000000
+1"
+b0001011000000010100100110000000000 *
+1-
+12
+b0000000000000110101001000000000000 4
+b0000000000000110101001000000000000 6
+17
+b0000000000000110101001000000000000 8
+b0001011000000010100100110000000000 9
+b0000000000000110101000110000000000 :
+b0001011000000010100100110000000000 ;
+1<
+b0001011000000010100100110000000000 =
+1C
+1J
+#1705500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1706000000
+1"
+b0001011000001001001101100000000000 *
+1-
+12
+b0000000000000110101001010000000000 4
+b0000000000000110101001010000000000 6
+17
+b0000000000000110101001010000000000 8
+b0001011000001001001101100000000000 9
+b0000000000000110101001000000000000 :
+b0001011000001001001101100000000000 ;
+1<
+b0001011000001001001101100000000000 =
+1C
+1J
+#1706500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1707000000
+1"
+b0001011000001111110110100000000000 *
+1-
+12
+b0000000000000110101001100000000000 4
+b0000000000000110101001100000000000 6
+17
+b0000000000000110101001100000000000 8
+b0001011000001111110110100000000000 9
+b0000000000000110101001010000000000 :
+b0001011000001111110110100000000000 ;
+1<
+b0001011000001111110110100000000000 =
+1C
+1J
+#1707500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1708000000
+1"
+b0001011000010110011111110000000000 *
+1-
+12
+b0000000000000110101001110000000000 4
+b0000000000000110101001110000000000 6
+17
+b0000000000000110101001110000000000 8
+b0001011000010110011111110000000000 9
+b0000000000000110101001100000000000 :
+b0001011000010110011111110000000000 ;
+1<
+b0001011000010110011111110000000000 =
+1C
+1J
+#1708500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1709000000
+1"
+b0001011000011101001001010000000000 *
+1-
+12
+b0000000000000110101010000000000000 4
+b0000000000000110101010000000000000 6
+17
+b0000000000000110101010000000000000 8
+b0001011000011101001001010000000000 9
+b0000000000000110101001110000000000 :
+b0001011000011101001001010000000000 ;
+1<
+b0001011000011101001001010000000000 =
+1C
+1J
+#1709500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1710000000
+1"
+b0001011000100011110011000000000000 *
+1-
+12
+b0000000000000110101010010000000000 4
+b0000000000000110101010010000000000 6
+17
+b0000000000000110101010010000000000 8
+b0001011000100011110011000000000000 9
+b0000000000000110101010000000000000 :
+b0001011000100011110011000000000000 ;
+1<
+b0001011000100011110011000000000000 =
+1C
+1J
+#1710500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1711000000
+1"
+b0001011000101010011101000000000000 *
+1-
+12
+b0000000000000110101010100000000000 4
+b0000000000000110101010100000000000 6
+17
+b0000000000000110101010100000000000 8
+b0001011000101010011101000000000000 9
+b0000000000000110101010010000000000 :
+b0001011000101010011101000000000000 ;
+1<
+b0001011000101010011101000000000000 =
+1C
+1J
+#1711500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1712000000
+1"
+b0001011000110001000111010000000000 *
+1-
+12
+b0000000000000110101010110000000000 4
+b0000000000000110101010110000000000 6
+17
+b0000000000000110101010110000000000 8
+b0001011000110001000111010000000000 9
+b0000000000000110101010100000000000 :
+b0001011000110001000111010000000000 ;
+1<
+b0001011000110001000111010000000000 =
+1C
+1J
+#1712500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1713000000
+1"
+b0001011000110111110001110000000000 *
+1-
+12
+b0000000000000110101011000000000000 4
+b0000000000000110101011000000000000 6
+17
+b0000000000000110101011000000000000 8
+b0001011000110111110001110000000000 9
+b0000000000000110101010110000000000 :
+b0001011000110111110001110000000000 ;
+1<
+b0001011000110111110001110000000000 =
+1C
+1J
+#1713500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1714000000
+1"
+b0001011000111110011100100000000000 *
+1-
+12
+b0000000000000110101011010000000000 4
+b0000000000000110101011010000000000 6
+17
+b0000000000000110101011010000000000 8
+b0001011000111110011100100000000000 9
+b0000000000000110101011000000000000 :
+b0001011000111110011100100000000000 ;
+1<
+b0001011000111110011100100000000000 =
+1C
+1J
+#1714500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1715000000
+1"
+b0001011001000101000111100000000000 *
+1-
+12
+b0000000000000110101011100000000000 4
+b0000000000000110101011100000000000 6
+17
+b0000000000000110101011100000000000 8
+b0001011001000101000111100000000000 9
+b0000000000000110101011010000000000 :
+b0001011001000101000111100000000000 ;
+1<
+b0001011001000101000111100000000000 =
+1C
+1J
+#1715500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1716000000
+1"
+b0001011001001011110010110000000000 *
+1-
+12
+b0000000000000110101011110000000000 4
+b0000000000000110101011110000000000 6
+17
+b0000000000000110101011110000000000 8
+b0001011001001011110010110000000000 9
+b0000000000000110101011100000000000 :
+b0001011001001011110010110000000000 ;
+1<
+b0001011001001011110010110000000000 =
+1C
+1J
+#1716500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1717000000
+1"
+b0001011001010010011110010000000000 *
+1-
+12
+b0000000000000110101100000000000000 4
+b0000000000000110101100000000000000 6
+17
+b0000000000000110101100000000000000 8
+b0001011001010010011110010000000000 9
+b0000000000000110101011110000000000 :
+b0001011001010010011110010000000000 ;
+1<
+b0001011001010010011110010000000000 =
+1C
+1J
+#1717500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1718000000
+1"
+b0001011001011001001010000000000000 *
+1-
+12
+b0000000000000110101100010000000000 4
+b0000000000000110101100010000000000 6
+17
+b0000000000000110101100010000000000 8
+b0001011001011001001010000000000000 9
+b0000000000000110101100000000000000 :
+b0001011001011001001010000000000000 ;
+1<
+b0001011001011001001010000000000000 =
+1C
+1J
+#1718500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1719000000
+1"
+b0001011001011111110110000000000000 *
+1-
+12
+b0000000000000110101100100000000000 4
+b0000000000000110101100100000000000 6
+17
+b0000000000000110101100100000000000 8
+b0001011001011111110110000000000000 9
+b0000000000000110101100010000000000 :
+b0001011001011111110110000000000000 ;
+1<
+b0001011001011111110110000000000000 =
+1C
+1J
+#1719500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1720000000
+1"
+b0001011001100110100010010000000000 *
+1-
+12
+b0000000000000110101100110000000000 4
+b0000000000000110101100110000000000 6
+17
+b0000000000000110101100110000000000 8
+b0001011001100110100010010000000000 9
+b0000000000000110101100100000000000 :
+b0001011001100110100010010000000000 ;
+1<
+b0001011001100110100010010000000000 =
+1C
+1J
+#1720500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1721000000
+1"
+b0001011001101101001110110000000000 *
+1-
+12
+b0000000000000110101101000000000000 4
+b0000000000000110101101000000000000 6
+17
+b0000000000000110101101000000000000 8
+b0001011001101101001110110000000000 9
+b0000000000000110101100110000000000 :
+b0001011001101101001110110000000000 ;
+1<
+b0001011001101101001110110000000000 =
+1C
+1J
+#1721500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1722000000
+1"
+b0001011001110011111011100000000000 *
+1-
+12
+b0000000000000110101101010000000000 4
+b0000000000000110101101010000000000 6
+17
+b0000000000000110101101010000000000 8
+b0001011001110011111011100000000000 9
+b0000000000000110101101000000000000 :
+b0001011001110011111011100000000000 ;
+1<
+b0001011001110011111011100000000000 =
+1C
+1J
+#1722500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1723000000
+1"
+b0001011001111010101000100000000000 *
+1-
+12
+b0000000000000110101101100000000000 4
+b0000000000000110101101100000000000 6
+17
+b0000000000000110101101100000000000 8
+b0001011001111010101000100000000000 9
+b0000000000000110101101010000000000 :
+b0001011001111010101000100000000000 ;
+1<
+b0001011001111010101000100000000000 =
+1C
+1J
+#1723500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1724000000
+1"
+b0001011010000001010101110000000000 *
+1-
+12
+b0000000000000110101101110000000000 4
+b0000000000000110101101110000000000 6
+17
+b0000000000000110101101110000000000 8
+b0001011010000001010101110000000000 9
+b0000000000000110101101100000000000 :
+b0001011010000001010101110000000000 ;
+1<
+b0001011010000001010101110000000000 =
+1C
+1J
+#1724500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1725000000
+1"
+b0001011010001000000011010000000000 *
+1-
+12
+b0000000000000110101110000000000000 4
+b0000000000000110101110000000000000 6
+17
+b0000000000000110101110000000000000 8
+b0001011010001000000011010000000000 9
+b0000000000000110101101110000000000 :
+b0001011010001000000011010000000000 ;
+1<
+b0001011010001000000011010000000000 =
+1C
+1J
+#1725500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1726000000
+1"
+b0001011010001110110001000000000000 *
+1-
+12
+b0000000000000110101110010000000000 4
+b0000000000000110101110010000000000 6
+17
+b0000000000000110101110010000000000 8
+b0001011010001110110001000000000000 9
+b0000000000000110101110000000000000 :
+b0001011010001110110001000000000000 ;
+1<
+b0001011010001110110001000000000000 =
+1C
+1J
+#1726500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1727000000
+1"
+b0001011010010101011111000000000000 *
+1-
+12
+b0000000000000110101110100000000000 4
+b0000000000000110101110100000000000 6
+17
+b0000000000000110101110100000000000 8
+b0001011010010101011111000000000000 9
+b0000000000000110101110010000000000 :
+b0001011010010101011111000000000000 ;
+1<
+b0001011010010101011111000000000000 =
+1C
+1J
+#1727500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1728000000
+1"
+b0001011010011100001101010000000000 *
+1-
+12
+b0000000000000110101110110000000000 4
+b0000000000000110101110110000000000 6
+17
+b0000000000000110101110110000000000 8
+b0001011010011100001101010000000000 9
+b0000000000000110101110100000000000 :
+b0001011010011100001101010000000000 ;
+1<
+b0001011010011100001101010000000000 =
+1C
+1J
+#1728500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1729000000
+1"
+1'
+b0001011010100010111011110000000000 *
+1+
+1-
+12
+b0000000000000110101111000000000000 4
+b0000000000000110101111000000000000 6
+17
+b0000000000000110101111000000000000 8
+b0001011010100010111011110000000000 9
+b0000000000000110101110110000000000 :
+b0001011010100010111011110000000000 ;
+1<
+b0001011010100010111011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1729500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1730000000
+1"
+0'
+b0001011010101001101010100000000000 *
+0+
+1-
+12
+b0000000000000110101111010000000000 4
+b0000000000000110101111010000000000 6
+17
+b0000000000000110101111010000000000 8
+b0001011010101001101010100000000000 9
+b0000000000000110101111000000000000 :
+b0001011010101001101010100000000000 ;
+1<
+b0001011010101001101010100000000000 =
+b0000000110100110101000000000000000 >
+0?
+b0001011010100010111011110000000000 A
+b0000000110100110101000000000000000 B
+1C
+b0000000110100110101000000000000000 D
+0F
+b0000000110010110101000000000000000 H
+1J
+0M
+#1730500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1731000000
+1"
+b0001011010110000011001100000000000 *
+1-
+12
+b0000000000000110101111100000000000 4
+b0000000000000110101111100000000000 6
+17
+b0000000000000110101111100000000000 8
+b0001011010110000011001100000000000 9
+b0000000000000110101111010000000000 :
+b0001011010110000011001100000000000 ;
+1<
+b0001011010110000011001100000000000 =
+1C
+1J
+#1731500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1732000000
+1"
+b0001011010110111001000110000000000 *
+1-
+12
+b0000000000000110101111110000000000 4
+b0000000000000110101111110000000000 6
+17
+b0000000000000110101111110000000000 8
+b0001011010110111001000110000000000 9
+b0000000000000110101111100000000000 :
+b0001011010110111001000110000000000 ;
+1<
+b0001011010110111001000110000000000 =
+1C
+1J
+#1732500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1733000000
+1"
+b0001011010111101111000010000000000 *
+1-
+12
+b0000000000000110110000000000000000 4
+b0000000000000110110000000000000000 6
+17
+b0000000000000110110000000000000000 8
+b0001011010111101111000010000000000 9
+b0000000000000110101111110000000000 :
+b0001011010111101111000010000000000 ;
+1<
+b0001011010111101111000010000000000 =
+1C
+1J
+#1733500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1734000000
+1"
+b0001011011000100101000000000000000 *
+1-
+12
+b0000000000000110110000010000000000 4
+b0000000000000110110000010000000000 6
+17
+b0000000000000110110000010000000000 8
+b0001011011000100101000000000000000 9
+b0000000000000110110000000000000000 :
+b0001011011000100101000000000000000 ;
+1<
+b0001011011000100101000000000000000 =
+1C
+1J
+#1734500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1735000000
+1"
+b0001011011001011011000000000000000 *
+1-
+12
+b0000000000000110110000100000000000 4
+b0000000000000110110000100000000000 6
+17
+b0000000000000110110000100000000000 8
+b0001011011001011011000000000000000 9
+b0000000000000110110000010000000000 :
+b0001011011001011011000000000000000 ;
+1<
+b0001011011001011011000000000000000 =
+1C
+1J
+#1735500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1736000000
+1"
+b0001011011010010001000010000000000 *
+1-
+12
+b0000000000000110110000110000000000 4
+b0000000000000110110000110000000000 6
+17
+b0000000000000110110000110000000000 8
+b0001011011010010001000010000000000 9
+b0000000000000110110000100000000000 :
+b0001011011010010001000010000000000 ;
+1<
+b0001011011010010001000010000000000 =
+1C
+1J
+#1736500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1737000000
+1"
+b0001011011011000111000110000000000 *
+1-
+12
+b0000000000000110110001000000000000 4
+b0000000000000110110001000000000000 6
+17
+b0000000000000110110001000000000000 8
+b0001011011011000111000110000000000 9
+b0000000000000110110000110000000000 :
+b0001011011011000111000110000000000 ;
+1<
+b0001011011011000111000110000000000 =
+1C
+1J
+#1737500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1738000000
+1"
+b0001011011011111101001100000000000 *
+1-
+12
+b0000000000000110110001010000000000 4
+b0000000000000110110001010000000000 6
+17
+b0000000000000110110001010000000000 8
+b0001011011011111101001100000000000 9
+b0000000000000110110001000000000000 :
+b0001011011011111101001100000000000 ;
+1<
+b0001011011011111101001100000000000 =
+1C
+1J
+#1738500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1739000000
+1"
+b0001011011100110011010100000000000 *
+1-
+12
+b0000000000000110110001100000000000 4
+b0000000000000110110001100000000000 6
+17
+b0000000000000110110001100000000000 8
+b0001011011100110011010100000000000 9
+b0000000000000110110001010000000000 :
+b0001011011100110011010100000000000 ;
+1<
+b0001011011100110011010100000000000 =
+1C
+1J
+#1739500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1740000000
+1"
+b0001011011101101001011110000000000 *
+1-
+12
+b0000000000000110110001110000000000 4
+b0000000000000110110001110000000000 6
+17
+b0000000000000110110001110000000000 8
+b0001011011101101001011110000000000 9
+b0000000000000110110001100000000000 :
+b0001011011101101001011110000000000 ;
+1<
+b0001011011101101001011110000000000 =
+1C
+1J
+#1740500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1741000000
+1"
+b0001011011110011111101010000000000 *
+1-
+12
+b0000000000000110110010000000000000 4
+b0000000000000110110010000000000000 6
+17
+b0000000000000110110010000000000000 8
+b0001011011110011111101010000000000 9
+b0000000000000110110001110000000000 :
+b0001011011110011111101010000000000 ;
+1<
+b0001011011110011111101010000000000 =
+1C
+1J
+#1741500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1742000000
+1"
+b0001011011111010101111000000000000 *
+1-
+12
+b0000000000000110110010010000000000 4
+b0000000000000110110010010000000000 6
+17
+b0000000000000110110010010000000000 8
+b0001011011111010101111000000000000 9
+b0000000000000110110010000000000000 :
+b0001011011111010101111000000000000 ;
+1<
+b0001011011111010101111000000000000 =
+1C
+1J
+#1742500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1743000000
+1"
+b0001011100000001100001000000000000 *
+1-
+12
+b0000000000000110110010100000000000 4
+b0000000000000110110010100000000000 6
+17
+b0000000000000110110010100000000000 8
+b0001011100000001100001000000000000 9
+b0000000000000110110010010000000000 :
+b0001011100000001100001000000000000 ;
+1<
+b0001011100000001100001000000000000 =
+1C
+1J
+#1743500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1744000000
+1"
+b0001011100001000010011010000000000 *
+1-
+12
+b0000000000000110110010110000000000 4
+b0000000000000110110010110000000000 6
+17
+b0000000000000110110010110000000000 8
+b0001011100001000010011010000000000 9
+b0000000000000110110010100000000000 :
+b0001011100001000010011010000000000 ;
+1<
+b0001011100001000010011010000000000 =
+1C
+1J
+#1744500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1745000000
+1"
+b0001011100001111000101110000000000 *
+1-
+12
+b0000000000000110110011000000000000 4
+b0000000000000110110011000000000000 6
+17
+b0000000000000110110011000000000000 8
+b0001011100001111000101110000000000 9
+b0000000000000110110010110000000000 :
+b0001011100001111000101110000000000 ;
+1<
+b0001011100001111000101110000000000 =
+1C
+1J
+#1745500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1746000000
+1"
+b0001011100010101111000100000000000 *
+1-
+12
+b0000000000000110110011010000000000 4
+b0000000000000110110011010000000000 6
+17
+b0000000000000110110011010000000000 8
+b0001011100010101111000100000000000 9
+b0000000000000110110011000000000000 :
+b0001011100010101111000100000000000 ;
+1<
+b0001011100010101111000100000000000 =
+1C
+1J
+#1746500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1747000000
+1"
+b0001011100011100101011100000000000 *
+1-
+12
+b0000000000000110110011100000000000 4
+b0000000000000110110011100000000000 6
+17
+b0000000000000110110011100000000000 8
+b0001011100011100101011100000000000 9
+b0000000000000110110011010000000000 :
+b0001011100011100101011100000000000 ;
+1<
+b0001011100011100101011100000000000 =
+1C
+1J
+#1747500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1748000000
+1"
+b0001011100100011011110110000000000 *
+1-
+12
+b0000000000000110110011110000000000 4
+b0000000000000110110011110000000000 6
+17
+b0000000000000110110011110000000000 8
+b0001011100100011011110110000000000 9
+b0000000000000110110011100000000000 :
+b0001011100100011011110110000000000 ;
+1<
+b0001011100100011011110110000000000 =
+1C
+1J
+#1748500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1749000000
+1"
+b0001011100101010010010010000000000 *
+1-
+12
+b0000000000000110110100000000000000 4
+b0000000000000110110100000000000000 6
+17
+b0000000000000110110100000000000000 8
+b0001011100101010010010010000000000 9
+b0000000000000110110011110000000000 :
+b0001011100101010010010010000000000 ;
+1<
+b0001011100101010010010010000000000 =
+1C
+1J
+#1749500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1750000000
+1"
+b0001011100110001000110000000000000 *
+1-
+12
+b0000000000000110110100010000000000 4
+b0000000000000110110100010000000000 6
+17
+b0000000000000110110100010000000000 8
+b0001011100110001000110000000000000 9
+b0000000000000110110100000000000000 :
+b0001011100110001000110000000000000 ;
+1<
+b0001011100110001000110000000000000 =
+1C
+1J
+#1750500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1751000000
+1"
+b0001011100110111111010000000000000 *
+1-
+12
+b0000000000000110110100100000000000 4
+b0000000000000110110100100000000000 6
+17
+b0000000000000110110100100000000000 8
+b0001011100110111111010000000000000 9
+b0000000000000110110100010000000000 :
+b0001011100110111111010000000000000 ;
+1<
+b0001011100110111111010000000000000 =
+1C
+1J
+#1751500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1752000000
+1"
+b0001011100111110101110010000000000 *
+1-
+12
+b0000000000000110110100110000000000 4
+b0000000000000110110100110000000000 6
+17
+b0000000000000110110100110000000000 8
+b0001011100111110101110010000000000 9
+b0000000000000110110100100000000000 :
+b0001011100111110101110010000000000 ;
+1<
+b0001011100111110101110010000000000 =
+1C
+1J
+#1752500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1753000000
+1"
+b0001011101000101100010110000000000 *
+1-
+12
+b0000000000000110110101000000000000 4
+b0000000000000110110101000000000000 6
+17
+b0000000000000110110101000000000000 8
+b0001011101000101100010110000000000 9
+b0000000000000110110100110000000000 :
+b0001011101000101100010110000000000 ;
+1<
+b0001011101000101100010110000000000 =
+1C
+1J
+#1753500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1754000000
+1"
+b0001011101001100010111100000000000 *
+1-
+12
+b0000000000000110110101010000000000 4
+b0000000000000110110101010000000000 6
+17
+b0000000000000110110101010000000000 8
+b0001011101001100010111100000000000 9
+b0000000000000110110101000000000000 :
+b0001011101001100010111100000000000 ;
+1<
+b0001011101001100010111100000000000 =
+1C
+1J
+#1754500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1755000000
+1"
+b0001011101010011001100100000000000 *
+1-
+12
+b0000000000000110110101100000000000 4
+b0000000000000110110101100000000000 6
+17
+b0000000000000110110101100000000000 8
+b0001011101010011001100100000000000 9
+b0000000000000110110101010000000000 :
+b0001011101010011001100100000000000 ;
+1<
+b0001011101010011001100100000000000 =
+1C
+1J
+#1755500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1756000000
+1"
+b0001011101011010000001110000000000 *
+1-
+12
+b0000000000000110110101110000000000 4
+b0000000000000110110101110000000000 6
+17
+b0000000000000110110101110000000000 8
+b0001011101011010000001110000000000 9
+b0000000000000110110101100000000000 :
+b0001011101011010000001110000000000 ;
+1<
+b0001011101011010000001110000000000 =
+1C
+1J
+#1756500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1757000000
+1"
+b0001011101100000110111010000000000 *
+1-
+12
+b0000000000000110110110000000000000 4
+b0000000000000110110110000000000000 6
+17
+b0000000000000110110110000000000000 8
+b0001011101100000110111010000000000 9
+b0000000000000110110101110000000000 :
+b0001011101100000110111010000000000 ;
+1<
+b0001011101100000110111010000000000 =
+1C
+1J
+#1757500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1758000000
+1"
+b0001011101100111101101000000000000 *
+1-
+12
+b0000000000000110110110010000000000 4
+b0000000000000110110110010000000000 6
+17
+b0000000000000110110110010000000000 8
+b0001011101100111101101000000000000 9
+b0000000000000110110110000000000000 :
+b0001011101100111101101000000000000 ;
+1<
+b0001011101100111101101000000000000 =
+1C
+1J
+#1758500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1759000000
+1"
+b0001011101101110100011000000000000 *
+1-
+12
+b0000000000000110110110100000000000 4
+b0000000000000110110110100000000000 6
+17
+b0000000000000110110110100000000000 8
+b0001011101101110100011000000000000 9
+b0000000000000110110110010000000000 :
+b0001011101101110100011000000000000 ;
+1<
+b0001011101101110100011000000000000 =
+1C
+1J
+#1759500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1760000000
+1"
+b0001011101110101011001010000000000 *
+1-
+12
+b0000000000000110110110110000000000 4
+b0000000000000110110110110000000000 6
+17
+b0000000000000110110110110000000000 8
+b0001011101110101011001010000000000 9
+b0000000000000110110110100000000000 :
+b0001011101110101011001010000000000 ;
+1<
+b0001011101110101011001010000000000 =
+1C
+1J
+#1760500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1761000000
+1"
+b0001011101111100001111110000000000 *
+1-
+12
+b0000000000000110110111000000000000 4
+b0000000000000110110111000000000000 6
+17
+b0000000000000110110111000000000000 8
+b0001011101111100001111110000000000 9
+b0000000000000110110110110000000000 :
+b0001011101111100001111110000000000 ;
+1<
+b0001011101111100001111110000000000 =
+1C
+1J
+#1761500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1762000000
+1"
+b0001011110000011000110100000000000 *
+1-
+12
+b0000000000000110110111010000000000 4
+b0000000000000110110111010000000000 6
+17
+b0000000000000110110111010000000000 8
+b0001011110000011000110100000000000 9
+b0000000000000110110111000000000000 :
+b0001011110000011000110100000000000 ;
+1<
+b0001011110000011000110100000000000 =
+1C
+1J
+#1762500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1763000000
+1"
+b0001011110001001111101100000000000 *
+1-
+12
+b0000000000000110110111100000000000 4
+b0000000000000110110111100000000000 6
+17
+b0000000000000110110111100000000000 8
+b0001011110001001111101100000000000 9
+b0000000000000110110111010000000000 :
+b0001011110001001111101100000000000 ;
+1<
+b0001011110001001111101100000000000 =
+1C
+1J
+#1763500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1764000000
+1"
+b0001011110010000110100110000000000 *
+1-
+12
+b0000000000000110110111110000000000 4
+b0000000000000110110111110000000000 6
+17
+b0000000000000110110111110000000000 8
+b0001011110010000110100110000000000 9
+b0000000000000110110111100000000000 :
+b0001011110010000110100110000000000 ;
+1<
+b0001011110010000110100110000000000 =
+1C
+1J
+#1764500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1765000000
+1"
+b0001011110010111101100010000000000 *
+1-
+12
+b0000000000000110111000000000000000 4
+b0000000000000110111000000000000000 6
+17
+b0000000000000110111000000000000000 8
+b0001011110010111101100010000000000 9
+b0000000000000110110111110000000000 :
+b0001011110010111101100010000000000 ;
+1<
+b0001011110010111101100010000000000 =
+1C
+1J
+#1765500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1766000000
+1"
+b0001011110011110100100000000000000 *
+1-
+12
+b0000000000000110111000010000000000 4
+b0000000000000110111000010000000000 6
+17
+b0000000000000110111000010000000000 8
+b0001011110011110100100000000000000 9
+b0000000000000110111000000000000000 :
+b0001011110011110100100000000000000 ;
+1<
+b0001011110011110100100000000000000 =
+1C
+1J
+#1766500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1767000000
+1"
+b0001011110100101011100000000000000 *
+1-
+12
+b0000000000000110111000100000000000 4
+b0000000000000110111000100000000000 6
+17
+b0000000000000110111000100000000000 8
+b0001011110100101011100000000000000 9
+b0000000000000110111000010000000000 :
+b0001011110100101011100000000000000 ;
+1<
+b0001011110100101011100000000000000 =
+1C
+1J
+#1767500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1768000000
+1"
+b0001011110101100010100010000000000 *
+1-
+12
+b0000000000000110111000110000000000 4
+b0000000000000110111000110000000000 6
+17
+b0000000000000110111000110000000000 8
+b0001011110101100010100010000000000 9
+b0000000000000110111000100000000000 :
+b0001011110101100010100010000000000 ;
+1<
+b0001011110101100010100010000000000 =
+1C
+1J
+#1768500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1769000000
+1"
+b0001011110110011001100110000000000 *
+1-
+12
+b0000000000000110111001000000000000 4
+b0000000000000110111001000000000000 6
+17
+b0000000000000110111001000000000000 8
+b0001011110110011001100110000000000 9
+b0000000000000110111000110000000000 :
+b0001011110110011001100110000000000 ;
+1<
+b0001011110110011001100110000000000 =
+1C
+1J
+#1769500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1770000000
+1"
+b0001011110111010000101100000000000 *
+1-
+12
+b0000000000000110111001010000000000 4
+b0000000000000110111001010000000000 6
+17
+b0000000000000110111001010000000000 8
+b0001011110111010000101100000000000 9
+b0000000000000110111001000000000000 :
+b0001011110111010000101100000000000 ;
+1<
+b0001011110111010000101100000000000 =
+1C
+1J
+#1770500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1771000000
+1"
+b0001011111000000111110100000000000 *
+1-
+12
+b0000000000000110111001100000000000 4
+b0000000000000110111001100000000000 6
+17
+b0000000000000110111001100000000000 8
+b0001011111000000111110100000000000 9
+b0000000000000110111001010000000000 :
+b0001011111000000111110100000000000 ;
+1<
+b0001011111000000111110100000000000 =
+1C
+1J
+#1771500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1772000000
+1"
+b0001011111000111110111110000000000 *
+1-
+12
+b0000000000000110111001110000000000 4
+b0000000000000110111001110000000000 6
+17
+b0000000000000110111001110000000000 8
+b0001011111000111110111110000000000 9
+b0000000000000110111001100000000000 :
+b0001011111000111110111110000000000 ;
+1<
+b0001011111000111110111110000000000 =
+1C
+1J
+#1772500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1773000000
+1"
+b0001011111001110110001010000000000 *
+1-
+12
+b0000000000000110111010000000000000 4
+b0000000000000110111010000000000000 6
+17
+b0000000000000110111010000000000000 8
+b0001011111001110110001010000000000 9
+b0000000000000110111001110000000000 :
+b0001011111001110110001010000000000 ;
+1<
+b0001011111001110110001010000000000 =
+1C
+1J
+#1773500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1774000000
+1"
+b0001011111010101101011000000000000 *
+1-
+12
+b0000000000000110111010010000000000 4
+b0000000000000110111010010000000000 6
+17
+b0000000000000110111010010000000000 8
+b0001011111010101101011000000000000 9
+b0000000000000110111010000000000000 :
+b0001011111010101101011000000000000 ;
+1<
+b0001011111010101101011000000000000 =
+1C
+1J
+#1774500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1775000000
+1"
+b0001011111011100100101000000000000 *
+1-
+12
+b0000000000000110111010100000000000 4
+b0000000000000110111010100000000000 6
+17
+b0000000000000110111010100000000000 8
+b0001011111011100100101000000000000 9
+b0000000000000110111010010000000000 :
+b0001011111011100100101000000000000 ;
+1<
+b0001011111011100100101000000000000 =
+1C
+1J
+#1775500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1776000000
+1"
+b0001011111100011011111010000000000 *
+1-
+12
+b0000000000000110111010110000000000 4
+b0000000000000110111010110000000000 6
+17
+b0000000000000110111010110000000000 8
+b0001011111100011011111010000000000 9
+b0000000000000110111010100000000000 :
+b0001011111100011011111010000000000 ;
+1<
+b0001011111100011011111010000000000 =
+1C
+1J
+#1776500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1777000000
+1"
+b0001011111101010011001110000000000 *
+1-
+12
+b0000000000000110111011000000000000 4
+b0000000000000110111011000000000000 6
+17
+b0000000000000110111011000000000000 8
+b0001011111101010011001110000000000 9
+b0000000000000110111010110000000000 :
+b0001011111101010011001110000000000 ;
+1<
+b0001011111101010011001110000000000 =
+1C
+1J
+#1777500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1778000000
+1"
+b0001011111110001010100100000000000 *
+1-
+12
+b0000000000000110111011010000000000 4
+b0000000000000110111011010000000000 6
+17
+b0000000000000110111011010000000000 8
+b0001011111110001010100100000000000 9
+b0000000000000110111011000000000000 :
+b0001011111110001010100100000000000 ;
+1<
+b0001011111110001010100100000000000 =
+1C
+1J
+#1778500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1779000000
+1"
+b0001011111111000001111100000000000 *
+1-
+12
+b0000000000000110111011100000000000 4
+b0000000000000110111011100000000000 6
+17
+b0000000000000110111011100000000000 8
+b0001011111111000001111100000000000 9
+b0000000000000110111011010000000000 :
+b0001011111111000001111100000000000 ;
+1<
+b0001011111111000001111100000000000 =
+1C
+1J
+#1779500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1780000000
+1"
+b0001011111111111001010110000000000 *
+1-
+12
+b0000000000000110111011110000000000 4
+b0000000000000110111011110000000000 6
+17
+b0000000000000110111011110000000000 8
+b0001011111111111001010110000000000 9
+b0000000000000110111011100000000000 :
+b0001011111111111001010110000000000 ;
+1<
+b0001011111111111001010110000000000 =
+1C
+1J
+#1780500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1781000000
+1"
+b0001100000000110000110010000000000 *
+1-
+12
+b0000000000000110111100000000000000 4
+b0000000000000110111100000000000000 6
+17
+b0000000000000110111100000000000000 8
+b0001100000000110000110010000000000 9
+b0000000000000110111011110000000000 :
+b0001100000000110000110010000000000 ;
+1<
+b0001100000000110000110010000000000 =
+1C
+1J
+#1781500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1782000000
+1"
+b0001100000001101000010000000000000 *
+1-
+12
+b0000000000000110111100010000000000 4
+b0000000000000110111100010000000000 6
+17
+b0000000000000110111100010000000000 8
+b0001100000001101000010000000000000 9
+b0000000000000110111100000000000000 :
+b0001100000001101000010000000000000 ;
+1<
+b0001100000001101000010000000000000 =
+1C
+1J
+#1782500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1783000000
+1"
+b0001100000010011111110000000000000 *
+1-
+12
+b0000000000000110111100100000000000 4
+b0000000000000110111100100000000000 6
+17
+b0000000000000110111100100000000000 8
+b0001100000010011111110000000000000 9
+b0000000000000110111100010000000000 :
+b0001100000010011111110000000000000 ;
+1<
+b0001100000010011111110000000000000 =
+1C
+1J
+#1783500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1784000000
+1"
+b0001100000011010111010010000000000 *
+1-
+12
+b0000000000000110111100110000000000 4
+b0000000000000110111100110000000000 6
+17
+b0000000000000110111100110000000000 8
+b0001100000011010111010010000000000 9
+b0000000000000110111100100000000000 :
+b0001100000011010111010010000000000 ;
+1<
+b0001100000011010111010010000000000 =
+1C
+1J
+#1784500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1785000000
+1"
+b0001100000100001110110110000000000 *
+1-
+12
+b0000000000000110111101000000000000 4
+b0000000000000110111101000000000000 6
+17
+b0000000000000110111101000000000000 8
+b0001100000100001110110110000000000 9
+b0000000000000110111100110000000000 :
+b0001100000100001110110110000000000 ;
+1<
+b0001100000100001110110110000000000 =
+1C
+1J
+#1785500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1786000000
+1"
+b0001100000101000110011100000000000 *
+1-
+12
+b0000000000000110111101010000000000 4
+b0000000000000110111101010000000000 6
+17
+b0000000000000110111101010000000000 8
+b0001100000101000110011100000000000 9
+b0000000000000110111101000000000000 :
+b0001100000101000110011100000000000 ;
+1<
+b0001100000101000110011100000000000 =
+1C
+1J
+#1786500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1787000000
+1"
+b0001100000101111110000100000000000 *
+1-
+12
+b0000000000000110111101100000000000 4
+b0000000000000110111101100000000000 6
+17
+b0000000000000110111101100000000000 8
+b0001100000101111110000100000000000 9
+b0000000000000110111101010000000000 :
+b0001100000101111110000100000000000 ;
+1<
+b0001100000101111110000100000000000 =
+1C
+1J
+#1787500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1788000000
+1"
+b0001100000110110101101110000000000 *
+1-
+12
+b0000000000000110111101110000000000 4
+b0000000000000110111101110000000000 6
+17
+b0000000000000110111101110000000000 8
+b0001100000110110101101110000000000 9
+b0000000000000110111101100000000000 :
+b0001100000110110101101110000000000 ;
+1<
+b0001100000110110101101110000000000 =
+1C
+1J
+#1788500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1789000000
+1"
+b0001100000111101101011010000000000 *
+1-
+12
+b0000000000000110111110000000000000 4
+b0000000000000110111110000000000000 6
+17
+b0000000000000110111110000000000000 8
+b0001100000111101101011010000000000 9
+b0000000000000110111101110000000000 :
+b0001100000111101101011010000000000 ;
+1<
+b0001100000111101101011010000000000 =
+1C
+1J
+#1789500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1790000000
+1"
+b0001100001000100101001000000000000 *
+1-
+12
+b0000000000000110111110010000000000 4
+b0000000000000110111110010000000000 6
+17
+b0000000000000110111110010000000000 8
+b0001100001000100101001000000000000 9
+b0000000000000110111110000000000000 :
+b0001100001000100101001000000000000 ;
+1<
+b0001100001000100101001000000000000 =
+1C
+1J
+#1790500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1791000000
+1"
+b0001100001001011100111000000000000 *
+1-
+12
+b0000000000000110111110100000000000 4
+b0000000000000110111110100000000000 6
+17
+b0000000000000110111110100000000000 8
+b0001100001001011100111000000000000 9
+b0000000000000110111110010000000000 :
+b0001100001001011100111000000000000 ;
+1<
+b0001100001001011100111000000000000 =
+1C
+1J
+#1791500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1792000000
+1"
+b0001100001010010100101010000000000 *
+1-
+12
+b0000000000000110111110110000000000 4
+b0000000000000110111110110000000000 6
+17
+b0000000000000110111110110000000000 8
+b0001100001010010100101010000000000 9
+b0000000000000110111110100000000000 :
+b0001100001010010100101010000000000 ;
+1<
+b0001100001010010100101010000000000 =
+1C
+1J
+#1792500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1793000000
+1"
+1'
+b0001100001011001100011110000000000 *
+1+
+1-
+12
+b0000000000000110111111000000000000 4
+b0000000000000110111111000000000000 6
+17
+b0000000000000110111111000000000000 8
+b0001100001011001100011110000000000 9
+b0000000000000110111110110000000000 :
+b0001100001011001100011110000000000 ;
+1<
+b0001100001011001100011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1793500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1794000000
+1"
+0'
+b0001100001100000100010100000000000 *
+0+
+1-
+12
+b0000000000000110111111010000000000 4
+b0000000000000110111111010000000000 6
+17
+b0000000000000110111111010000000000 8
+b0001100001100000100010100000000000 9
+b0000000000000110111111000000000000 :
+b0001100001100000100010100000000000 ;
+1<
+b0001100001100000100010100000000000 =
+b0000000110110110101000000000000000 >
+0?
+b0001100001011001100011110000000000 A
+b0000000110110110101000000000000000 B
+1C
+b0000000110110110101000000000000000 D
+0F
+b0000000110100110101000000000000000 H
+1J
+0M
+#1794500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1795000000
+1"
+b0001100001100111100001100000000000 *
+1-
+12
+b0000000000000110111111100000000000 4
+b0000000000000110111111100000000000 6
+17
+b0000000000000110111111100000000000 8
+b0001100001100111100001100000000000 9
+b0000000000000110111111010000000000 :
+b0001100001100111100001100000000000 ;
+1<
+b0001100001100111100001100000000000 =
+1C
+1J
+#1795500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1796000000
+1"
+b0001100001101110100000110000000000 *
+1-
+12
+b0000000000000110111111110000000000 4
+b0000000000000110111111110000000000 6
+17
+b0000000000000110111111110000000000 8
+b0001100001101110100000110000000000 9
+b0000000000000110111111100000000000 :
+b0001100001101110100000110000000000 ;
+1<
+b0001100001101110100000110000000000 =
+1C
+1J
+#1796500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1797000000
+1"
+b0001100001110101100000010000000000 *
+1-
+12
+b0000000000000111000000000000000000 4
+b0000000000000111000000000000000000 6
+17
+b0000000000000111000000000000000000 8
+b0001100001110101100000010000000000 9
+b0000000000000110111111110000000000 :
+b0001100001110101100000010000000000 ;
+1<
+b0001100001110101100000010000000000 =
+1C
+1J
+#1797500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1798000000
+1"
+b0001100001111100100000000000000000 *
+1-
+12
+b0000000000000111000000010000000000 4
+b0000000000000111000000010000000000 6
+17
+b0000000000000111000000010000000000 8
+b0001100001111100100000000000000000 9
+b0000000000000111000000000000000000 :
+b0001100001111100100000000000000000 ;
+1<
+b0001100001111100100000000000000000 =
+1C
+1J
+#1798500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1799000000
+1"
+b0001100010000011100000000000000000 *
+1-
+12
+b0000000000000111000000100000000000 4
+b0000000000000111000000100000000000 6
+17
+b0000000000000111000000100000000000 8
+b0001100010000011100000000000000000 9
+b0000000000000111000000010000000000 :
+b0001100010000011100000000000000000 ;
+1<
+b0001100010000011100000000000000000 =
+1C
+1J
+#1799500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1800000000
+1"
+b0001100010001010100000010000000000 *
+1-
+12
+b0000000000000111000000110000000000 4
+b0000000000000111000000110000000000 6
+17
+b0000000000000111000000110000000000 8
+b0001100010001010100000010000000000 9
+b0000000000000111000000100000000000 :
+b0001100010001010100000010000000000 ;
+1<
+b0001100010001010100000010000000000 =
+1C
+1J
+#1800500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1801000000
+1"
+b0001100010010001100000110000000000 *
+1-
+12
+b0000000000000111000001000000000000 4
+b0000000000000111000001000000000000 6
+17
+b0000000000000111000001000000000000 8
+b0001100010010001100000110000000000 9
+b0000000000000111000000110000000000 :
+b0001100010010001100000110000000000 ;
+1<
+b0001100010010001100000110000000000 =
+1C
+1J
+#1801500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1802000000
+1"
+b0001100010011000100001100000000000 *
+1-
+12
+b0000000000000111000001010000000000 4
+b0000000000000111000001010000000000 6
+17
+b0000000000000111000001010000000000 8
+b0001100010011000100001100000000000 9
+b0000000000000111000001000000000000 :
+b0001100010011000100001100000000000 ;
+1<
+b0001100010011000100001100000000000 =
+1C
+1J
+#1802500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1803000000
+1"
+b0001100010011111100010100000000000 *
+1-
+12
+b0000000000000111000001100000000000 4
+b0000000000000111000001100000000000 6
+17
+b0000000000000111000001100000000000 8
+b0001100010011111100010100000000000 9
+b0000000000000111000001010000000000 :
+b0001100010011111100010100000000000 ;
+1<
+b0001100010011111100010100000000000 =
+1C
+1J
+#1803500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1804000000
+1"
+b0001100010100110100011110000000000 *
+1-
+12
+b0000000000000111000001110000000000 4
+b0000000000000111000001110000000000 6
+17
+b0000000000000111000001110000000000 8
+b0001100010100110100011110000000000 9
+b0000000000000111000001100000000000 :
+b0001100010100110100011110000000000 ;
+1<
+b0001100010100110100011110000000000 =
+1C
+1J
+#1804500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1805000000
+1"
+b0001100010101101100101010000000000 *
+1-
+12
+b0000000000000111000010000000000000 4
+b0000000000000111000010000000000000 6
+17
+b0000000000000111000010000000000000 8
+b0001100010101101100101010000000000 9
+b0000000000000111000001110000000000 :
+b0001100010101101100101010000000000 ;
+1<
+b0001100010101101100101010000000000 =
+1C
+1J
+#1805500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1806000000
+1"
+b0001100010110100100111000000000000 *
+1-
+12
+b0000000000000111000010010000000000 4
+b0000000000000111000010010000000000 6
+17
+b0000000000000111000010010000000000 8
+b0001100010110100100111000000000000 9
+b0000000000000111000010000000000000 :
+b0001100010110100100111000000000000 ;
+1<
+b0001100010110100100111000000000000 =
+1C
+1J
+#1806500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1807000000
+1"
+b0001100010111011101001000000000000 *
+1-
+12
+b0000000000000111000010100000000000 4
+b0000000000000111000010100000000000 6
+17
+b0000000000000111000010100000000000 8
+b0001100010111011101001000000000000 9
+b0000000000000111000010010000000000 :
+b0001100010111011101001000000000000 ;
+1<
+b0001100010111011101001000000000000 =
+1C
+1J
+#1807500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1808000000
+1"
+b0001100011000010101011010000000000 *
+1-
+12
+b0000000000000111000010110000000000 4
+b0000000000000111000010110000000000 6
+17
+b0000000000000111000010110000000000 8
+b0001100011000010101011010000000000 9
+b0000000000000111000010100000000000 :
+b0001100011000010101011010000000000 ;
+1<
+b0001100011000010101011010000000000 =
+1C
+1J
+#1808500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1809000000
+1"
+b0001100011001001101101110000000000 *
+1-
+12
+b0000000000000111000011000000000000 4
+b0000000000000111000011000000000000 6
+17
+b0000000000000111000011000000000000 8
+b0001100011001001101101110000000000 9
+b0000000000000111000010110000000000 :
+b0001100011001001101101110000000000 ;
+1<
+b0001100011001001101101110000000000 =
+1C
+1J
+#1809500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1810000000
+1"
+b0001100011010000110000100000000000 *
+1-
+12
+b0000000000000111000011010000000000 4
+b0000000000000111000011010000000000 6
+17
+b0000000000000111000011010000000000 8
+b0001100011010000110000100000000000 9
+b0000000000000111000011000000000000 :
+b0001100011010000110000100000000000 ;
+1<
+b0001100011010000110000100000000000 =
+1C
+1J
+#1810500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1811000000
+1"
+b0001100011010111110011100000000000 *
+1-
+12
+b0000000000000111000011100000000000 4
+b0000000000000111000011100000000000 6
+17
+b0000000000000111000011100000000000 8
+b0001100011010111110011100000000000 9
+b0000000000000111000011010000000000 :
+b0001100011010111110011100000000000 ;
+1<
+b0001100011010111110011100000000000 =
+1C
+1J
+#1811500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1812000000
+1"
+b0001100011011110110110110000000000 *
+1-
+12
+b0000000000000111000011110000000000 4
+b0000000000000111000011110000000000 6
+17
+b0000000000000111000011110000000000 8
+b0001100011011110110110110000000000 9
+b0000000000000111000011100000000000 :
+b0001100011011110110110110000000000 ;
+1<
+b0001100011011110110110110000000000 =
+1C
+1J
+#1812500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1813000000
+1"
+b0001100011100101111010010000000000 *
+1-
+12
+b0000000000000111000100000000000000 4
+b0000000000000111000100000000000000 6
+17
+b0000000000000111000100000000000000 8
+b0001100011100101111010010000000000 9
+b0000000000000111000011110000000000 :
+b0001100011100101111010010000000000 ;
+1<
+b0001100011100101111010010000000000 =
+1C
+1J
+#1813500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1814000000
+1"
+b0001100011101100111110000000000000 *
+1-
+12
+b0000000000000111000100010000000000 4
+b0000000000000111000100010000000000 6
+17
+b0000000000000111000100010000000000 8
+b0001100011101100111110000000000000 9
+b0000000000000111000100000000000000 :
+b0001100011101100111110000000000000 ;
+1<
+b0001100011101100111110000000000000 =
+1C
+1J
+#1814500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1815000000
+1"
+b0001100011110100000010000000000000 *
+1-
+12
+b0000000000000111000100100000000000 4
+b0000000000000111000100100000000000 6
+17
+b0000000000000111000100100000000000 8
+b0001100011110100000010000000000000 9
+b0000000000000111000100010000000000 :
+b0001100011110100000010000000000000 ;
+1<
+b0001100011110100000010000000000000 =
+1C
+1J
+#1815500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1816000000
+1"
+b0001100011111011000110010000000000 *
+1-
+12
+b0000000000000111000100110000000000 4
+b0000000000000111000100110000000000 6
+17
+b0000000000000111000100110000000000 8
+b0001100011111011000110010000000000 9
+b0000000000000111000100100000000000 :
+b0001100011111011000110010000000000 ;
+1<
+b0001100011111011000110010000000000 =
+1C
+1J
+#1816500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1817000000
+1"
+b0001100100000010001010110000000000 *
+1-
+12
+b0000000000000111000101000000000000 4
+b0000000000000111000101000000000000 6
+17
+b0000000000000111000101000000000000 8
+b0001100100000010001010110000000000 9
+b0000000000000111000100110000000000 :
+b0001100100000010001010110000000000 ;
+1<
+b0001100100000010001010110000000000 =
+1C
+1J
+#1817500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1818000000
+1"
+b0001100100001001001111100000000000 *
+1-
+12
+b0000000000000111000101010000000000 4
+b0000000000000111000101010000000000 6
+17
+b0000000000000111000101010000000000 8
+b0001100100001001001111100000000000 9
+b0000000000000111000101000000000000 :
+b0001100100001001001111100000000000 ;
+1<
+b0001100100001001001111100000000000 =
+1C
+1J
+#1818500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1819000000
+1"
+b0001100100010000010100100000000000 *
+1-
+12
+b0000000000000111000101100000000000 4
+b0000000000000111000101100000000000 6
+17
+b0000000000000111000101100000000000 8
+b0001100100010000010100100000000000 9
+b0000000000000111000101010000000000 :
+b0001100100010000010100100000000000 ;
+1<
+b0001100100010000010100100000000000 =
+1C
+1J
+#1819500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1820000000
+1"
+b0001100100010111011001110000000000 *
+1-
+12
+b0000000000000111000101110000000000 4
+b0000000000000111000101110000000000 6
+17
+b0000000000000111000101110000000000 8
+b0001100100010111011001110000000000 9
+b0000000000000111000101100000000000 :
+b0001100100010111011001110000000000 ;
+1<
+b0001100100010111011001110000000000 =
+1C
+1J
+#1820500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1821000000
+1"
+b0001100100011110011111010000000000 *
+1-
+12
+b0000000000000111000110000000000000 4
+b0000000000000111000110000000000000 6
+17
+b0000000000000111000110000000000000 8
+b0001100100011110011111010000000000 9
+b0000000000000111000101110000000000 :
+b0001100100011110011111010000000000 ;
+1<
+b0001100100011110011111010000000000 =
+1C
+1J
+#1821500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1822000000
+1"
+b0001100100100101100101000000000000 *
+1-
+12
+b0000000000000111000110010000000000 4
+b0000000000000111000110010000000000 6
+17
+b0000000000000111000110010000000000 8
+b0001100100100101100101000000000000 9
+b0000000000000111000110000000000000 :
+b0001100100100101100101000000000000 ;
+1<
+b0001100100100101100101000000000000 =
+1C
+1J
+#1822500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1823000000
+1"
+b0001100100101100101011000000000000 *
+1-
+12
+b0000000000000111000110100000000000 4
+b0000000000000111000110100000000000 6
+17
+b0000000000000111000110100000000000 8
+b0001100100101100101011000000000000 9
+b0000000000000111000110010000000000 :
+b0001100100101100101011000000000000 ;
+1<
+b0001100100101100101011000000000000 =
+1C
+1J
+#1823500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1824000000
+1"
+b0001100100110011110001010000000000 *
+1-
+12
+b0000000000000111000110110000000000 4
+b0000000000000111000110110000000000 6
+17
+b0000000000000111000110110000000000 8
+b0001100100110011110001010000000000 9
+b0000000000000111000110100000000000 :
+b0001100100110011110001010000000000 ;
+1<
+b0001100100110011110001010000000000 =
+1C
+1J
+#1824500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1825000000
+1"
+b0001100100111010110111110000000000 *
+1-
+12
+b0000000000000111000111000000000000 4
+b0000000000000111000111000000000000 6
+17
+b0000000000000111000111000000000000 8
+b0001100100111010110111110000000000 9
+b0000000000000111000110110000000000 :
+b0001100100111010110111110000000000 ;
+1<
+b0001100100111010110111110000000000 =
+1C
+1J
+#1825500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1826000000
+1"
+b0001100101000001111110100000000000 *
+1-
+12
+b0000000000000111000111010000000000 4
+b0000000000000111000111010000000000 6
+17
+b0000000000000111000111010000000000 8
+b0001100101000001111110100000000000 9
+b0000000000000111000111000000000000 :
+b0001100101000001111110100000000000 ;
+1<
+b0001100101000001111110100000000000 =
+1C
+1J
+#1826500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1827000000
+1"
+b0001100101001001000101100000000000 *
+1-
+12
+b0000000000000111000111100000000000 4
+b0000000000000111000111100000000000 6
+17
+b0000000000000111000111100000000000 8
+b0001100101001001000101100000000000 9
+b0000000000000111000111010000000000 :
+b0001100101001001000101100000000000 ;
+1<
+b0001100101001001000101100000000000 =
+1C
+1J
+#1827500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1828000000
+1"
+b0001100101010000001100110000000000 *
+1-
+12
+b0000000000000111000111110000000000 4
+b0000000000000111000111110000000000 6
+17
+b0000000000000111000111110000000000 8
+b0001100101010000001100110000000000 9
+b0000000000000111000111100000000000 :
+b0001100101010000001100110000000000 ;
+1<
+b0001100101010000001100110000000000 =
+1C
+1J
+#1828500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1829000000
+1"
+b0001100101010111010100010000000000 *
+1-
+12
+b0000000000000111001000000000000000 4
+b0000000000000111001000000000000000 6
+17
+b0000000000000111001000000000000000 8
+b0001100101010111010100010000000000 9
+b0000000000000111000111110000000000 :
+b0001100101010111010100010000000000 ;
+1<
+b0001100101010111010100010000000000 =
+1C
+1J
+#1829500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1830000000
+1"
+b0001100101011110011100000000000000 *
+1-
+12
+b0000000000000111001000010000000000 4
+b0000000000000111001000010000000000 6
+17
+b0000000000000111001000010000000000 8
+b0001100101011110011100000000000000 9
+b0000000000000111001000000000000000 :
+b0001100101011110011100000000000000 ;
+1<
+b0001100101011110011100000000000000 =
+1C
+1J
+#1830500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1831000000
+1"
+b0001100101100101100100000000000000 *
+1-
+12
+b0000000000000111001000100000000000 4
+b0000000000000111001000100000000000 6
+17
+b0000000000000111001000100000000000 8
+b0001100101100101100100000000000000 9
+b0000000000000111001000010000000000 :
+b0001100101100101100100000000000000 ;
+1<
+b0001100101100101100100000000000000 =
+1C
+1J
+#1831500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1832000000
+1"
+b0001100101101100101100010000000000 *
+1-
+12
+b0000000000000111001000110000000000 4
+b0000000000000111001000110000000000 6
+17
+b0000000000000111001000110000000000 8
+b0001100101101100101100010000000000 9
+b0000000000000111001000100000000000 :
+b0001100101101100101100010000000000 ;
+1<
+b0001100101101100101100010000000000 =
+1C
+1J
+#1832500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1833000000
+1"
+b0001100101110011110100110000000000 *
+1-
+12
+b0000000000000111001001000000000000 4
+b0000000000000111001001000000000000 6
+17
+b0000000000000111001001000000000000 8
+b0001100101110011110100110000000000 9
+b0000000000000111001000110000000000 :
+b0001100101110011110100110000000000 ;
+1<
+b0001100101110011110100110000000000 =
+1C
+1J
+#1833500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1834000000
+1"
+b0001100101111010111101100000000000 *
+1-
+12
+b0000000000000111001001010000000000 4
+b0000000000000111001001010000000000 6
+17
+b0000000000000111001001010000000000 8
+b0001100101111010111101100000000000 9
+b0000000000000111001001000000000000 :
+b0001100101111010111101100000000000 ;
+1<
+b0001100101111010111101100000000000 =
+1C
+1J
+#1834500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1835000000
+1"
+b0001100110000010000110100000000000 *
+1-
+12
+b0000000000000111001001100000000000 4
+b0000000000000111001001100000000000 6
+17
+b0000000000000111001001100000000000 8
+b0001100110000010000110100000000000 9
+b0000000000000111001001010000000000 :
+b0001100110000010000110100000000000 ;
+1<
+b0001100110000010000110100000000000 =
+1C
+1J
+#1835500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1836000000
+1"
+b0001100110001001001111110000000000 *
+1-
+12
+b0000000000000111001001110000000000 4
+b0000000000000111001001110000000000 6
+17
+b0000000000000111001001110000000000 8
+b0001100110001001001111110000000000 9
+b0000000000000111001001100000000000 :
+b0001100110001001001111110000000000 ;
+1<
+b0001100110001001001111110000000000 =
+1C
+1J
+#1836500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1837000000
+1"
+b0001100110010000011001010000000000 *
+1-
+12
+b0000000000000111001010000000000000 4
+b0000000000000111001010000000000000 6
+17
+b0000000000000111001010000000000000 8
+b0001100110010000011001010000000000 9
+b0000000000000111001001110000000000 :
+b0001100110010000011001010000000000 ;
+1<
+b0001100110010000011001010000000000 =
+1C
+1J
+#1837500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1838000000
+1"
+b0001100110010111100011000000000000 *
+1-
+12
+b0000000000000111001010010000000000 4
+b0000000000000111001010010000000000 6
+17
+b0000000000000111001010010000000000 8
+b0001100110010111100011000000000000 9
+b0000000000000111001010000000000000 :
+b0001100110010111100011000000000000 ;
+1<
+b0001100110010111100011000000000000 =
+1C
+1J
+#1838500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1839000000
+1"
+b0001100110011110101101000000000000 *
+1-
+12
+b0000000000000111001010100000000000 4
+b0000000000000111001010100000000000 6
+17
+b0000000000000111001010100000000000 8
+b0001100110011110101101000000000000 9
+b0000000000000111001010010000000000 :
+b0001100110011110101101000000000000 ;
+1<
+b0001100110011110101101000000000000 =
+1C
+1J
+#1839500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1840000000
+1"
+b0001100110100101110111010000000000 *
+1-
+12
+b0000000000000111001010110000000000 4
+b0000000000000111001010110000000000 6
+17
+b0000000000000111001010110000000000 8
+b0001100110100101110111010000000000 9
+b0000000000000111001010100000000000 :
+b0001100110100101110111010000000000 ;
+1<
+b0001100110100101110111010000000000 =
+1C
+1J
+#1840500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1841000000
+1"
+b0001100110101101000001110000000000 *
+1-
+12
+b0000000000000111001011000000000000 4
+b0000000000000111001011000000000000 6
+17
+b0000000000000111001011000000000000 8
+b0001100110101101000001110000000000 9
+b0000000000000111001010110000000000 :
+b0001100110101101000001110000000000 ;
+1<
+b0001100110101101000001110000000000 =
+1C
+1J
+#1841500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1842000000
+1"
+b0001100110110100001100100000000000 *
+1-
+12
+b0000000000000111001011010000000000 4
+b0000000000000111001011010000000000 6
+17
+b0000000000000111001011010000000000 8
+b0001100110110100001100100000000000 9
+b0000000000000111001011000000000000 :
+b0001100110110100001100100000000000 ;
+1<
+b0001100110110100001100100000000000 =
+1C
+1J
+#1842500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1843000000
+1"
+b0001100110111011010111100000000000 *
+1-
+12
+b0000000000000111001011100000000000 4
+b0000000000000111001011100000000000 6
+17
+b0000000000000111001011100000000000 8
+b0001100110111011010111100000000000 9
+b0000000000000111001011010000000000 :
+b0001100110111011010111100000000000 ;
+1<
+b0001100110111011010111100000000000 =
+1C
+1J
+#1843500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1844000000
+1"
+b0001100111000010100010110000000000 *
+1-
+12
+b0000000000000111001011110000000000 4
+b0000000000000111001011110000000000 6
+17
+b0000000000000111001011110000000000 8
+b0001100111000010100010110000000000 9
+b0000000000000111001011100000000000 :
+b0001100111000010100010110000000000 ;
+1<
+b0001100111000010100010110000000000 =
+1C
+1J
+#1844500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1845000000
+1"
+b0001100111001001101110010000000000 *
+1-
+12
+b0000000000000111001100000000000000 4
+b0000000000000111001100000000000000 6
+17
+b0000000000000111001100000000000000 8
+b0001100111001001101110010000000000 9
+b0000000000000111001011110000000000 :
+b0001100111001001101110010000000000 ;
+1<
+b0001100111001001101110010000000000 =
+1C
+1J
+#1845500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1846000000
+1"
+b0001100111010000111010000000000000 *
+1-
+12
+b0000000000000111001100010000000000 4
+b0000000000000111001100010000000000 6
+17
+b0000000000000111001100010000000000 8
+b0001100111010000111010000000000000 9
+b0000000000000111001100000000000000 :
+b0001100111010000111010000000000000 ;
+1<
+b0001100111010000111010000000000000 =
+1C
+1J
+#1846500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1847000000
+1"
+b0001100111011000000110000000000000 *
+1-
+12
+b0000000000000111001100100000000000 4
+b0000000000000111001100100000000000 6
+17
+b0000000000000111001100100000000000 8
+b0001100111011000000110000000000000 9
+b0000000000000111001100010000000000 :
+b0001100111011000000110000000000000 ;
+1<
+b0001100111011000000110000000000000 =
+1C
+1J
+#1847500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1848000000
+1"
+b0001100111011111010010010000000000 *
+1-
+12
+b0000000000000111001100110000000000 4
+b0000000000000111001100110000000000 6
+17
+b0000000000000111001100110000000000 8
+b0001100111011111010010010000000000 9
+b0000000000000111001100100000000000 :
+b0001100111011111010010010000000000 ;
+1<
+b0001100111011111010010010000000000 =
+1C
+1J
+#1848500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1849000000
+1"
+b0001100111100110011110110000000000 *
+1-
+12
+b0000000000000111001101000000000000 4
+b0000000000000111001101000000000000 6
+17
+b0000000000000111001101000000000000 8
+b0001100111100110011110110000000000 9
+b0000000000000111001100110000000000 :
+b0001100111100110011110110000000000 ;
+1<
+b0001100111100110011110110000000000 =
+1C
+1J
+#1849500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1850000000
+1"
+b0001100111101101101011100000000000 *
+1-
+12
+b0000000000000111001101010000000000 4
+b0000000000000111001101010000000000 6
+17
+b0000000000000111001101010000000000 8
+b0001100111101101101011100000000000 9
+b0000000000000111001101000000000000 :
+b0001100111101101101011100000000000 ;
+1<
+b0001100111101101101011100000000000 =
+1C
+1J
+#1850500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1851000000
+1"
+b0001100111110100111000100000000000 *
+1-
+12
+b0000000000000111001101100000000000 4
+b0000000000000111001101100000000000 6
+17
+b0000000000000111001101100000000000 8
+b0001100111110100111000100000000000 9
+b0000000000000111001101010000000000 :
+b0001100111110100111000100000000000 ;
+1<
+b0001100111110100111000100000000000 =
+1C
+1J
+#1851500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1852000000
+1"
+b0001100111111100000101110000000000 *
+1-
+12
+b0000000000000111001101110000000000 4
+b0000000000000111001101110000000000 6
+17
+b0000000000000111001101110000000000 8
+b0001100111111100000101110000000000 9
+b0000000000000111001101100000000000 :
+b0001100111111100000101110000000000 ;
+1<
+b0001100111111100000101110000000000 =
+1C
+1J
+#1852500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1853000000
+1"
+b0001101000000011010011010000000000 *
+1-
+12
+b0000000000000111001110000000000000 4
+b0000000000000111001110000000000000 6
+17
+b0000000000000111001110000000000000 8
+b0001101000000011010011010000000000 9
+b0000000000000111001101110000000000 :
+b0001101000000011010011010000000000 ;
+1<
+b0001101000000011010011010000000000 =
+1C
+1J
+#1853500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1854000000
+1"
+b0001101000001010100001000000000000 *
+1-
+12
+b0000000000000111001110010000000000 4
+b0000000000000111001110010000000000 6
+17
+b0000000000000111001110010000000000 8
+b0001101000001010100001000000000000 9
+b0000000000000111001110000000000000 :
+b0001101000001010100001000000000000 ;
+1<
+b0001101000001010100001000000000000 =
+1C
+1J
+#1854500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1855000000
+1"
+b0001101000010001101111000000000000 *
+1-
+12
+b0000000000000111001110100000000000 4
+b0000000000000111001110100000000000 6
+17
+b0000000000000111001110100000000000 8
+b0001101000010001101111000000000000 9
+b0000000000000111001110010000000000 :
+b0001101000010001101111000000000000 ;
+1<
+b0001101000010001101111000000000000 =
+1C
+1J
+#1855500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1856000000
+1"
+b0001101000011000111101010000000000 *
+1-
+12
+b0000000000000111001110110000000000 4
+b0000000000000111001110110000000000 6
+17
+b0000000000000111001110110000000000 8
+b0001101000011000111101010000000000 9
+b0000000000000111001110100000000000 :
+b0001101000011000111101010000000000 ;
+1<
+b0001101000011000111101010000000000 =
+1C
+1J
+#1856500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1857000000
+1"
+1'
+b0001101000100000001011110000000000 *
+1+
+1-
+12
+b0000000000000111001111000000000000 4
+b0000000000000111001111000000000000 6
+17
+b0000000000000111001111000000000000 8
+b0001101000100000001011110000000000 9
+b0000000000000111001110110000000000 :
+b0001101000100000001011110000000000 ;
+1<
+b0001101000100000001011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1857500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1858000000
+1"
+0'
+b0001101000100111011010100000000000 *
+0+
+1-
+12
+b0000000000000111001111010000000000 4
+b0000000000000111001111010000000000 6
+17
+b0000000000000111001111010000000000 8
+b0001101000100111011010100000000000 9
+b0000000000000111001111000000000000 :
+b0001101000100111011010100000000000 ;
+1<
+b0001101000100111011010100000000000 =
+b0000000111000110101000000000000000 >
+0?
+b0001101000100000001011110000000000 A
+b0000000111000110101000000000000000 B
+1C
+b0000000111000110101000000000000000 D
+0F
+b0000000110110110101000000000000000 H
+1J
+0M
+#1858500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1859000000
+1"
+b0001101000101110101001100000000000 *
+1-
+12
+b0000000000000111001111100000000000 4
+b0000000000000111001111100000000000 6
+17
+b0000000000000111001111100000000000 8
+b0001101000101110101001100000000000 9
+b0000000000000111001111010000000000 :
+b0001101000101110101001100000000000 ;
+1<
+b0001101000101110101001100000000000 =
+1C
+1J
+#1859500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1860000000
+1"
+b0001101000110101111000110000000000 *
+1-
+12
+b0000000000000111001111110000000000 4
+b0000000000000111001111110000000000 6
+17
+b0000000000000111001111110000000000 8
+b0001101000110101111000110000000000 9
+b0000000000000111001111100000000000 :
+b0001101000110101111000110000000000 ;
+1<
+b0001101000110101111000110000000000 =
+1C
+1J
+#1860500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1861000000
+1"
+b0001101000111101001000010000000000 *
+1-
+12
+b0000000000000111010000000000000000 4
+b0000000000000111010000000000000000 6
+17
+b0000000000000111010000000000000000 8
+b0001101000111101001000010000000000 9
+b0000000000000111001111110000000000 :
+b0001101000111101001000010000000000 ;
+1<
+b0001101000111101001000010000000000 =
+1C
+1J
+#1861500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1862000000
+1"
+b0001101001000100011000000000000000 *
+1-
+12
+b0000000000000111010000010000000000 4
+b0000000000000111010000010000000000 6
+17
+b0000000000000111010000010000000000 8
+b0001101001000100011000000000000000 9
+b0000000000000111010000000000000000 :
+b0001101001000100011000000000000000 ;
+1<
+b0001101001000100011000000000000000 =
+1C
+1J
+#1862500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1863000000
+1"
+b0001101001001011101000000000000000 *
+1-
+12
+b0000000000000111010000100000000000 4
+b0000000000000111010000100000000000 6
+17
+b0000000000000111010000100000000000 8
+b0001101001001011101000000000000000 9
+b0000000000000111010000010000000000 :
+b0001101001001011101000000000000000 ;
+1<
+b0001101001001011101000000000000000 =
+1C
+1J
+#1863500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1864000000
+1"
+b0001101001010010111000010000000000 *
+1-
+12
+b0000000000000111010000110000000000 4
+b0000000000000111010000110000000000 6
+17
+b0000000000000111010000110000000000 8
+b0001101001010010111000010000000000 9
+b0000000000000111010000100000000000 :
+b0001101001010010111000010000000000 ;
+1<
+b0001101001010010111000010000000000 =
+1C
+1J
+#1864500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1865000000
+1"
+b0001101001011010001000110000000000 *
+1-
+12
+b0000000000000111010001000000000000 4
+b0000000000000111010001000000000000 6
+17
+b0000000000000111010001000000000000 8
+b0001101001011010001000110000000000 9
+b0000000000000111010000110000000000 :
+b0001101001011010001000110000000000 ;
+1<
+b0001101001011010001000110000000000 =
+1C
+1J
+#1865500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1866000000
+1"
+b0001101001100001011001100000000000 *
+1-
+12
+b0000000000000111010001010000000000 4
+b0000000000000111010001010000000000 6
+17
+b0000000000000111010001010000000000 8
+b0001101001100001011001100000000000 9
+b0000000000000111010001000000000000 :
+b0001101001100001011001100000000000 ;
+1<
+b0001101001100001011001100000000000 =
+1C
+1J
+#1866500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1867000000
+1"
+b0001101001101000101010100000000000 *
+1-
+12
+b0000000000000111010001100000000000 4
+b0000000000000111010001100000000000 6
+17
+b0000000000000111010001100000000000 8
+b0001101001101000101010100000000000 9
+b0000000000000111010001010000000000 :
+b0001101001101000101010100000000000 ;
+1<
+b0001101001101000101010100000000000 =
+1C
+1J
+#1867500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1868000000
+1"
+b0001101001101111111011110000000000 *
+1-
+12
+b0000000000000111010001110000000000 4
+b0000000000000111010001110000000000 6
+17
+b0000000000000111010001110000000000 8
+b0001101001101111111011110000000000 9
+b0000000000000111010001100000000000 :
+b0001101001101111111011110000000000 ;
+1<
+b0001101001101111111011110000000000 =
+1C
+1J
+#1868500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1869000000
+1"
+b0001101001110111001101010000000000 *
+1-
+12
+b0000000000000111010010000000000000 4
+b0000000000000111010010000000000000 6
+17
+b0000000000000111010010000000000000 8
+b0001101001110111001101010000000000 9
+b0000000000000111010001110000000000 :
+b0001101001110111001101010000000000 ;
+1<
+b0001101001110111001101010000000000 =
+1C
+1J
+#1869500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1870000000
+1"
+b0001101001111110011111000000000000 *
+1-
+12
+b0000000000000111010010010000000000 4
+b0000000000000111010010010000000000 6
+17
+b0000000000000111010010010000000000 8
+b0001101001111110011111000000000000 9
+b0000000000000111010010000000000000 :
+b0001101001111110011111000000000000 ;
+1<
+b0001101001111110011111000000000000 =
+1C
+1J
+#1870500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1871000000
+1"
+b0001101010000101110001000000000000 *
+1-
+12
+b0000000000000111010010100000000000 4
+b0000000000000111010010100000000000 6
+17
+b0000000000000111010010100000000000 8
+b0001101010000101110001000000000000 9
+b0000000000000111010010010000000000 :
+b0001101010000101110001000000000000 ;
+1<
+b0001101010000101110001000000000000 =
+1C
+1J
+#1871500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1872000000
+1"
+b0001101010001101000011010000000000 *
+1-
+12
+b0000000000000111010010110000000000 4
+b0000000000000111010010110000000000 6
+17
+b0000000000000111010010110000000000 8
+b0001101010001101000011010000000000 9
+b0000000000000111010010100000000000 :
+b0001101010001101000011010000000000 ;
+1<
+b0001101010001101000011010000000000 =
+1C
+1J
+#1872500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1873000000
+1"
+b0001101010010100010101110000000000 *
+1-
+12
+b0000000000000111010011000000000000 4
+b0000000000000111010011000000000000 6
+17
+b0000000000000111010011000000000000 8
+b0001101010010100010101110000000000 9
+b0000000000000111010010110000000000 :
+b0001101010010100010101110000000000 ;
+1<
+b0001101010010100010101110000000000 =
+1C
+1J
+#1873500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1874000000
+1"
+b0001101010011011101000100000000000 *
+1-
+12
+b0000000000000111010011010000000000 4
+b0000000000000111010011010000000000 6
+17
+b0000000000000111010011010000000000 8
+b0001101010011011101000100000000000 9
+b0000000000000111010011000000000000 :
+b0001101010011011101000100000000000 ;
+1<
+b0001101010011011101000100000000000 =
+1C
+1J
+#1874500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1875000000
+1"
+b0001101010100010111011100000000000 *
+1-
+12
+b0000000000000111010011100000000000 4
+b0000000000000111010011100000000000 6
+17
+b0000000000000111010011100000000000 8
+b0001101010100010111011100000000000 9
+b0000000000000111010011010000000000 :
+b0001101010100010111011100000000000 ;
+1<
+b0001101010100010111011100000000000 =
+1C
+1J
+#1875500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1876000000
+1"
+b0001101010101010001110110000000000 *
+1-
+12
+b0000000000000111010011110000000000 4
+b0000000000000111010011110000000000 6
+17
+b0000000000000111010011110000000000 8
+b0001101010101010001110110000000000 9
+b0000000000000111010011100000000000 :
+b0001101010101010001110110000000000 ;
+1<
+b0001101010101010001110110000000000 =
+1C
+1J
+#1876500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1877000000
+1"
+b0001101010110001100010010000000000 *
+1-
+12
+b0000000000000111010100000000000000 4
+b0000000000000111010100000000000000 6
+17
+b0000000000000111010100000000000000 8
+b0001101010110001100010010000000000 9
+b0000000000000111010011110000000000 :
+b0001101010110001100010010000000000 ;
+1<
+b0001101010110001100010010000000000 =
+1C
+1J
+#1877500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1878000000
+1"
+b0001101010111000110110000000000000 *
+1-
+12
+b0000000000000111010100010000000000 4
+b0000000000000111010100010000000000 6
+17
+b0000000000000111010100010000000000 8
+b0001101010111000110110000000000000 9
+b0000000000000111010100000000000000 :
+b0001101010111000110110000000000000 ;
+1<
+b0001101010111000110110000000000000 =
+1C
+1J
+#1878500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1879000000
+1"
+b0001101011000000001010000000000000 *
+1-
+12
+b0000000000000111010100100000000000 4
+b0000000000000111010100100000000000 6
+17
+b0000000000000111010100100000000000 8
+b0001101011000000001010000000000000 9
+b0000000000000111010100010000000000 :
+b0001101011000000001010000000000000 ;
+1<
+b0001101011000000001010000000000000 =
+1C
+1J
+#1879500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1880000000
+1"
+b0001101011000111011110010000000000 *
+1-
+12
+b0000000000000111010100110000000000 4
+b0000000000000111010100110000000000 6
+17
+b0000000000000111010100110000000000 8
+b0001101011000111011110010000000000 9
+b0000000000000111010100100000000000 :
+b0001101011000111011110010000000000 ;
+1<
+b0001101011000111011110010000000000 =
+1C
+1J
+#1880500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1881000000
+1"
+b0001101011001110110010110000000000 *
+1-
+12
+b0000000000000111010101000000000000 4
+b0000000000000111010101000000000000 6
+17
+b0000000000000111010101000000000000 8
+b0001101011001110110010110000000000 9
+b0000000000000111010100110000000000 :
+b0001101011001110110010110000000000 ;
+1<
+b0001101011001110110010110000000000 =
+1C
+1J
+#1881500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1882000000
+1"
+b0001101011010110000111100000000000 *
+1-
+12
+b0000000000000111010101010000000000 4
+b0000000000000111010101010000000000 6
+17
+b0000000000000111010101010000000000 8
+b0001101011010110000111100000000000 9
+b0000000000000111010101000000000000 :
+b0001101011010110000111100000000000 ;
+1<
+b0001101011010110000111100000000000 =
+1C
+1J
+#1882500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1883000000
+1"
+b0001101011011101011100100000000000 *
+1-
+12
+b0000000000000111010101100000000000 4
+b0000000000000111010101100000000000 6
+17
+b0000000000000111010101100000000000 8
+b0001101011011101011100100000000000 9
+b0000000000000111010101010000000000 :
+b0001101011011101011100100000000000 ;
+1<
+b0001101011011101011100100000000000 =
+1C
+1J
+#1883500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1884000000
+1"
+b0001101011100100110001110000000000 *
+1-
+12
+b0000000000000111010101110000000000 4
+b0000000000000111010101110000000000 6
+17
+b0000000000000111010101110000000000 8
+b0001101011100100110001110000000000 9
+b0000000000000111010101100000000000 :
+b0001101011100100110001110000000000 ;
+1<
+b0001101011100100110001110000000000 =
+1C
+1J
+#1884500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1885000000
+1"
+b0001101011101100000111010000000000 *
+1-
+12
+b0000000000000111010110000000000000 4
+b0000000000000111010110000000000000 6
+17
+b0000000000000111010110000000000000 8
+b0001101011101100000111010000000000 9
+b0000000000000111010101110000000000 :
+b0001101011101100000111010000000000 ;
+1<
+b0001101011101100000111010000000000 =
+1C
+1J
+#1885500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1886000000
+1"
+b0001101011110011011101000000000000 *
+1-
+12
+b0000000000000111010110010000000000 4
+b0000000000000111010110010000000000 6
+17
+b0000000000000111010110010000000000 8
+b0001101011110011011101000000000000 9
+b0000000000000111010110000000000000 :
+b0001101011110011011101000000000000 ;
+1<
+b0001101011110011011101000000000000 =
+1C
+1J
+#1886500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1887000000
+1"
+b0001101011111010110011000000000000 *
+1-
+12
+b0000000000000111010110100000000000 4
+b0000000000000111010110100000000000 6
+17
+b0000000000000111010110100000000000 8
+b0001101011111010110011000000000000 9
+b0000000000000111010110010000000000 :
+b0001101011111010110011000000000000 ;
+1<
+b0001101011111010110011000000000000 =
+1C
+1J
+#1887500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1888000000
+1"
+b0001101100000010001001010000000000 *
+1-
+12
+b0000000000000111010110110000000000 4
+b0000000000000111010110110000000000 6
+17
+b0000000000000111010110110000000000 8
+b0001101100000010001001010000000000 9
+b0000000000000111010110100000000000 :
+b0001101100000010001001010000000000 ;
+1<
+b0001101100000010001001010000000000 =
+1C
+1J
+#1888500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1889000000
+1"
+b0001101100001001011111110000000000 *
+1-
+12
+b0000000000000111010111000000000000 4
+b0000000000000111010111000000000000 6
+17
+b0000000000000111010111000000000000 8
+b0001101100001001011111110000000000 9
+b0000000000000111010110110000000000 :
+b0001101100001001011111110000000000 ;
+1<
+b0001101100001001011111110000000000 =
+1C
+1J
+#1889500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1890000000
+1"
+b0001101100010000110110100000000000 *
+1-
+12
+b0000000000000111010111010000000000 4
+b0000000000000111010111010000000000 6
+17
+b0000000000000111010111010000000000 8
+b0001101100010000110110100000000000 9
+b0000000000000111010111000000000000 :
+b0001101100010000110110100000000000 ;
+1<
+b0001101100010000110110100000000000 =
+1C
+1J
+#1890500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1891000000
+1"
+b0001101100011000001101100000000000 *
+1-
+12
+b0000000000000111010111100000000000 4
+b0000000000000111010111100000000000 6
+17
+b0000000000000111010111100000000000 8
+b0001101100011000001101100000000000 9
+b0000000000000111010111010000000000 :
+b0001101100011000001101100000000000 ;
+1<
+b0001101100011000001101100000000000 =
+1C
+1J
+#1891500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1892000000
+1"
+b0001101100011111100100110000000000 *
+1-
+12
+b0000000000000111010111110000000000 4
+b0000000000000111010111110000000000 6
+17
+b0000000000000111010111110000000000 8
+b0001101100011111100100110000000000 9
+b0000000000000111010111100000000000 :
+b0001101100011111100100110000000000 ;
+1<
+b0001101100011111100100110000000000 =
+1C
+1J
+#1892500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1893000000
+1"
+b0001101100100110111100010000000000 *
+1-
+12
+b0000000000000111011000000000000000 4
+b0000000000000111011000000000000000 6
+17
+b0000000000000111011000000000000000 8
+b0001101100100110111100010000000000 9
+b0000000000000111010111110000000000 :
+b0001101100100110111100010000000000 ;
+1<
+b0001101100100110111100010000000000 =
+1C
+1J
+#1893500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1894000000
+1"
+b0001101100101110010100000000000000 *
+1-
+12
+b0000000000000111011000010000000000 4
+b0000000000000111011000010000000000 6
+17
+b0000000000000111011000010000000000 8
+b0001101100101110010100000000000000 9
+b0000000000000111011000000000000000 :
+b0001101100101110010100000000000000 ;
+1<
+b0001101100101110010100000000000000 =
+1C
+1J
+#1894500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1895000000
+1"
+b0001101100110101101100000000000000 *
+1-
+12
+b0000000000000111011000100000000000 4
+b0000000000000111011000100000000000 6
+17
+b0000000000000111011000100000000000 8
+b0001101100110101101100000000000000 9
+b0000000000000111011000010000000000 :
+b0001101100110101101100000000000000 ;
+1<
+b0001101100110101101100000000000000 =
+1C
+1J
+#1895500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1896000000
+1"
+b0001101100111101000100010000000000 *
+1-
+12
+b0000000000000111011000110000000000 4
+b0000000000000111011000110000000000 6
+17
+b0000000000000111011000110000000000 8
+b0001101100111101000100010000000000 9
+b0000000000000111011000100000000000 :
+b0001101100111101000100010000000000 ;
+1<
+b0001101100111101000100010000000000 =
+1C
+1J
+#1896500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1897000000
+1"
+b0001101101000100011100110000000000 *
+1-
+12
+b0000000000000111011001000000000000 4
+b0000000000000111011001000000000000 6
+17
+b0000000000000111011001000000000000 8
+b0001101101000100011100110000000000 9
+b0000000000000111011000110000000000 :
+b0001101101000100011100110000000000 ;
+1<
+b0001101101000100011100110000000000 =
+1C
+1J
+#1897500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1898000000
+1"
+b0001101101001011110101100000000000 *
+1-
+12
+b0000000000000111011001010000000000 4
+b0000000000000111011001010000000000 6
+17
+b0000000000000111011001010000000000 8
+b0001101101001011110101100000000000 9
+b0000000000000111011001000000000000 :
+b0001101101001011110101100000000000 ;
+1<
+b0001101101001011110101100000000000 =
+1C
+1J
+#1898500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1899000000
+1"
+b0001101101010011001110100000000000 *
+1-
+12
+b0000000000000111011001100000000000 4
+b0000000000000111011001100000000000 6
+17
+b0000000000000111011001100000000000 8
+b0001101101010011001110100000000000 9
+b0000000000000111011001010000000000 :
+b0001101101010011001110100000000000 ;
+1<
+b0001101101010011001110100000000000 =
+1C
+1J
+#1899500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1900000000
+1"
+b0001101101011010100111110000000000 *
+1-
+12
+b0000000000000111011001110000000000 4
+b0000000000000111011001110000000000 6
+17
+b0000000000000111011001110000000000 8
+b0001101101011010100111110000000000 9
+b0000000000000111011001100000000000 :
+b0001101101011010100111110000000000 ;
+1<
+b0001101101011010100111110000000000 =
+1C
+1J
+#1900500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1901000000
+1"
+b0001101101100010000001010000000000 *
+1-
+12
+b0000000000000111011010000000000000 4
+b0000000000000111011010000000000000 6
+17
+b0000000000000111011010000000000000 8
+b0001101101100010000001010000000000 9
+b0000000000000111011001110000000000 :
+b0001101101100010000001010000000000 ;
+1<
+b0001101101100010000001010000000000 =
+1C
+1J
+#1901500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1902000000
+1"
+b0001101101101001011011000000000000 *
+1-
+12
+b0000000000000111011010010000000000 4
+b0000000000000111011010010000000000 6
+17
+b0000000000000111011010010000000000 8
+b0001101101101001011011000000000000 9
+b0000000000000111011010000000000000 :
+b0001101101101001011011000000000000 ;
+1<
+b0001101101101001011011000000000000 =
+1C
+1J
+#1902500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1903000000
+1"
+b0001101101110000110101000000000000 *
+1-
+12
+b0000000000000111011010100000000000 4
+b0000000000000111011010100000000000 6
+17
+b0000000000000111011010100000000000 8
+b0001101101110000110101000000000000 9
+b0000000000000111011010010000000000 :
+b0001101101110000110101000000000000 ;
+1<
+b0001101101110000110101000000000000 =
+1C
+1J
+#1903500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1904000000
+1"
+b0001101101111000001111010000000000 *
+1-
+12
+b0000000000000111011010110000000000 4
+b0000000000000111011010110000000000 6
+17
+b0000000000000111011010110000000000 8
+b0001101101111000001111010000000000 9
+b0000000000000111011010100000000000 :
+b0001101101111000001111010000000000 ;
+1<
+b0001101101111000001111010000000000 =
+1C
+1J
+#1904500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1905000000
+1"
+b0001101101111111101001110000000000 *
+1-
+12
+b0000000000000111011011000000000000 4
+b0000000000000111011011000000000000 6
+17
+b0000000000000111011011000000000000 8
+b0001101101111111101001110000000000 9
+b0000000000000111011010110000000000 :
+b0001101101111111101001110000000000 ;
+1<
+b0001101101111111101001110000000000 =
+1C
+1J
+#1905500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1906000000
+1"
+b0001101110000111000100100000000000 *
+1-
+12
+b0000000000000111011011010000000000 4
+b0000000000000111011011010000000000 6
+17
+b0000000000000111011011010000000000 8
+b0001101110000111000100100000000000 9
+b0000000000000111011011000000000000 :
+b0001101110000111000100100000000000 ;
+1<
+b0001101110000111000100100000000000 =
+1C
+1J
+#1906500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1907000000
+1"
+b0001101110001110011111100000000000 *
+1-
+12
+b0000000000000111011011100000000000 4
+b0000000000000111011011100000000000 6
+17
+b0000000000000111011011100000000000 8
+b0001101110001110011111100000000000 9
+b0000000000000111011011010000000000 :
+b0001101110001110011111100000000000 ;
+1<
+b0001101110001110011111100000000000 =
+1C
+1J
+#1907500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1908000000
+1"
+b0001101110010101111010110000000000 *
+1-
+12
+b0000000000000111011011110000000000 4
+b0000000000000111011011110000000000 6
+17
+b0000000000000111011011110000000000 8
+b0001101110010101111010110000000000 9
+b0000000000000111011011100000000000 :
+b0001101110010101111010110000000000 ;
+1<
+b0001101110010101111010110000000000 =
+1C
+1J
+#1908500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1909000000
+1"
+b0001101110011101010110010000000000 *
+1-
+12
+b0000000000000111011100000000000000 4
+b0000000000000111011100000000000000 6
+17
+b0000000000000111011100000000000000 8
+b0001101110011101010110010000000000 9
+b0000000000000111011011110000000000 :
+b0001101110011101010110010000000000 ;
+1<
+b0001101110011101010110010000000000 =
+1C
+1J
+#1909500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1910000000
+1"
+b0001101110100100110010000000000000 *
+1-
+12
+b0000000000000111011100010000000000 4
+b0000000000000111011100010000000000 6
+17
+b0000000000000111011100010000000000 8
+b0001101110100100110010000000000000 9
+b0000000000000111011100000000000000 :
+b0001101110100100110010000000000000 ;
+1<
+b0001101110100100110010000000000000 =
+1C
+1J
+#1910500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1911000000
+1"
+b0001101110101100001110000000000000 *
+1-
+12
+b0000000000000111011100100000000000 4
+b0000000000000111011100100000000000 6
+17
+b0000000000000111011100100000000000 8
+b0001101110101100001110000000000000 9
+b0000000000000111011100010000000000 :
+b0001101110101100001110000000000000 ;
+1<
+b0001101110101100001110000000000000 =
+1C
+1J
+#1911500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1912000000
+1"
+b0001101110110011101010010000000000 *
+1-
+12
+b0000000000000111011100110000000000 4
+b0000000000000111011100110000000000 6
+17
+b0000000000000111011100110000000000 8
+b0001101110110011101010010000000000 9
+b0000000000000111011100100000000000 :
+b0001101110110011101010010000000000 ;
+1<
+b0001101110110011101010010000000000 =
+1C
+1J
+#1912500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1913000000
+1"
+b0001101110111011000110110000000000 *
+1-
+12
+b0000000000000111011101000000000000 4
+b0000000000000111011101000000000000 6
+17
+b0000000000000111011101000000000000 8
+b0001101110111011000110110000000000 9
+b0000000000000111011100110000000000 :
+b0001101110111011000110110000000000 ;
+1<
+b0001101110111011000110110000000000 =
+1C
+1J
+#1913500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1914000000
+1"
+b0001101111000010100011100000000000 *
+1-
+12
+b0000000000000111011101010000000000 4
+b0000000000000111011101010000000000 6
+17
+b0000000000000111011101010000000000 8
+b0001101111000010100011100000000000 9
+b0000000000000111011101000000000000 :
+b0001101111000010100011100000000000 ;
+1<
+b0001101111000010100011100000000000 =
+1C
+1J
+#1914500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1915000000
+1"
+b0001101111001010000000100000000000 *
+1-
+12
+b0000000000000111011101100000000000 4
+b0000000000000111011101100000000000 6
+17
+b0000000000000111011101100000000000 8
+b0001101111001010000000100000000000 9
+b0000000000000111011101010000000000 :
+b0001101111001010000000100000000000 ;
+1<
+b0001101111001010000000100000000000 =
+1C
+1J
+#1915500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1916000000
+1"
+b0001101111010001011101110000000000 *
+1-
+12
+b0000000000000111011101110000000000 4
+b0000000000000111011101110000000000 6
+17
+b0000000000000111011101110000000000 8
+b0001101111010001011101110000000000 9
+b0000000000000111011101100000000000 :
+b0001101111010001011101110000000000 ;
+1<
+b0001101111010001011101110000000000 =
+1C
+1J
+#1916500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1917000000
+1"
+b0001101111011000111011010000000000 *
+1-
+12
+b0000000000000111011110000000000000 4
+b0000000000000111011110000000000000 6
+17
+b0000000000000111011110000000000000 8
+b0001101111011000111011010000000000 9
+b0000000000000111011101110000000000 :
+b0001101111011000111011010000000000 ;
+1<
+b0001101111011000111011010000000000 =
+1C
+1J
+#1917500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1918000000
+1"
+b0001101111100000011001000000000000 *
+1-
+12
+b0000000000000111011110010000000000 4
+b0000000000000111011110010000000000 6
+17
+b0000000000000111011110010000000000 8
+b0001101111100000011001000000000000 9
+b0000000000000111011110000000000000 :
+b0001101111100000011001000000000000 ;
+1<
+b0001101111100000011001000000000000 =
+1C
+1J
+#1918500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1919000000
+1"
+b0001101111100111110111000000000000 *
+1-
+12
+b0000000000000111011110100000000000 4
+b0000000000000111011110100000000000 6
+17
+b0000000000000111011110100000000000 8
+b0001101111100111110111000000000000 9
+b0000000000000111011110010000000000 :
+b0001101111100111110111000000000000 ;
+1<
+b0001101111100111110111000000000000 =
+1C
+1J
+#1919500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1920000000
+1"
+b0001101111101111010101010000000000 *
+1-
+12
+b0000000000000111011110110000000000 4
+b0000000000000111011110110000000000 6
+17
+b0000000000000111011110110000000000 8
+b0001101111101111010101010000000000 9
+b0000000000000111011110100000000000 :
+b0001101111101111010101010000000000 ;
+1<
+b0001101111101111010101010000000000 =
+1C
+1J
+#1920500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1921000000
+1"
+1'
+b0001101111110110110011110000000000 *
+1+
+1-
+12
+b0000000000000111011111000000000000 4
+b0000000000000111011111000000000000 6
+17
+b0000000000000111011111000000000000 8
+b0001101111110110110011110000000000 9
+b0000000000000111011110110000000000 :
+b0001101111110110110011110000000000 ;
+1<
+b0001101111110110110011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1921500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1922000000
+1"
+0'
+b0001101111111110010010100000000000 *
+0+
+1-
+12
+b0000000000000111011111010000000000 4
+b0000000000000111011111010000000000 6
+17
+b0000000000000111011111010000000000 8
+b0001101111111110010010100000000000 9
+b0000000000000111011111000000000000 :
+b0001101111111110010010100000000000 ;
+1<
+b0001101111111110010010100000000000 =
+b0000000111010110101000000000000000 >
+0?
+b0001101111110110110011110000000000 A
+b0000000111010110101000000000000000 B
+1C
+b0000000111010110101000000000000000 D
+0F
+b0000000111000110101000000000000000 H
+1J
+0M
+#1922500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1923000000
+1"
+b0001110000000101110001100000000000 *
+1-
+12
+b0000000000000111011111100000000000 4
+b0000000000000111011111100000000000 6
+17
+b0000000000000111011111100000000000 8
+b0001110000000101110001100000000000 9
+b0000000000000111011111010000000000 :
+b0001110000000101110001100000000000 ;
+1<
+b0001110000000101110001100000000000 =
+1C
+1J
+#1923500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1924000000
+1"
+b0001110000001101010000110000000000 *
+1-
+12
+b0000000000000111011111110000000000 4
+b0000000000000111011111110000000000 6
+17
+b0000000000000111011111110000000000 8
+b0001110000001101010000110000000000 9
+b0000000000000111011111100000000000 :
+b0001110000001101010000110000000000 ;
+1<
+b0001110000001101010000110000000000 =
+1C
+1J
+#1924500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1925000000
+1"
+b0001110000010100110000010000000000 *
+1-
+12
+b0000000000000111100000000000000000 4
+b0000000000000111100000000000000000 6
+17
+b0000000000000111100000000000000000 8
+b0001110000010100110000010000000000 9
+b0000000000000111011111110000000000 :
+b0001110000010100110000010000000000 ;
+1<
+b0001110000010100110000010000000000 =
+1C
+1J
+#1925500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1926000000
+1"
+b0001110000011100010000000000000000 *
+1-
+12
+b0000000000000111100000010000000000 4
+b0000000000000111100000010000000000 6
+17
+b0000000000000111100000010000000000 8
+b0001110000011100010000000000000000 9
+b0000000000000111100000000000000000 :
+b0001110000011100010000000000000000 ;
+1<
+b0001110000011100010000000000000000 =
+1C
+1J
+#1926500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1927000000
+1"
+b0001110000100011110000000000000000 *
+1-
+12
+b0000000000000111100000100000000000 4
+b0000000000000111100000100000000000 6
+17
+b0000000000000111100000100000000000 8
+b0001110000100011110000000000000000 9
+b0000000000000111100000010000000000 :
+b0001110000100011110000000000000000 ;
+1<
+b0001110000100011110000000000000000 =
+1C
+1J
+#1927500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1928000000
+1"
+b0001110000101011010000010000000000 *
+1-
+12
+b0000000000000111100000110000000000 4
+b0000000000000111100000110000000000 6
+17
+b0000000000000111100000110000000000 8
+b0001110000101011010000010000000000 9
+b0000000000000111100000100000000000 :
+b0001110000101011010000010000000000 ;
+1<
+b0001110000101011010000010000000000 =
+1C
+1J
+#1928500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1929000000
+1"
+b0001110000110010110000110000000000 *
+1-
+12
+b0000000000000111100001000000000000 4
+b0000000000000111100001000000000000 6
+17
+b0000000000000111100001000000000000 8
+b0001110000110010110000110000000000 9
+b0000000000000111100000110000000000 :
+b0001110000110010110000110000000000 ;
+1<
+b0001110000110010110000110000000000 =
+1C
+1J
+#1929500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1930000000
+1"
+b0001110000111010010001100000000000 *
+1-
+12
+b0000000000000111100001010000000000 4
+b0000000000000111100001010000000000 6
+17
+b0000000000000111100001010000000000 8
+b0001110000111010010001100000000000 9
+b0000000000000111100001000000000000 :
+b0001110000111010010001100000000000 ;
+1<
+b0001110000111010010001100000000000 =
+1C
+1J
+#1930500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1931000000
+1"
+b0001110001000001110010100000000000 *
+1-
+12
+b0000000000000111100001100000000000 4
+b0000000000000111100001100000000000 6
+17
+b0000000000000111100001100000000000 8
+b0001110001000001110010100000000000 9
+b0000000000000111100001010000000000 :
+b0001110001000001110010100000000000 ;
+1<
+b0001110001000001110010100000000000 =
+1C
+1J
+#1931500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1932000000
+1"
+b0001110001001001010011110000000000 *
+1-
+12
+b0000000000000111100001110000000000 4
+b0000000000000111100001110000000000 6
+17
+b0000000000000111100001110000000000 8
+b0001110001001001010011110000000000 9
+b0000000000000111100001100000000000 :
+b0001110001001001010011110000000000 ;
+1<
+b0001110001001001010011110000000000 =
+1C
+1J
+#1932500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1933000000
+1"
+b0001110001010000110101010000000000 *
+1-
+12
+b0000000000000111100010000000000000 4
+b0000000000000111100010000000000000 6
+17
+b0000000000000111100010000000000000 8
+b0001110001010000110101010000000000 9
+b0000000000000111100001110000000000 :
+b0001110001010000110101010000000000 ;
+1<
+b0001110001010000110101010000000000 =
+1C
+1J
+#1933500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1934000000
+1"
+b0001110001011000010111000000000000 *
+1-
+12
+b0000000000000111100010010000000000 4
+b0000000000000111100010010000000000 6
+17
+b0000000000000111100010010000000000 8
+b0001110001011000010111000000000000 9
+b0000000000000111100010000000000000 :
+b0001110001011000010111000000000000 ;
+1<
+b0001110001011000010111000000000000 =
+1C
+1J
+#1934500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1935000000
+1"
+b0001110001011111111001000000000000 *
+1-
+12
+b0000000000000111100010100000000000 4
+b0000000000000111100010100000000000 6
+17
+b0000000000000111100010100000000000 8
+b0001110001011111111001000000000000 9
+b0000000000000111100010010000000000 :
+b0001110001011111111001000000000000 ;
+1<
+b0001110001011111111001000000000000 =
+1C
+1J
+#1935500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1936000000
+1"
+b0001110001100111011011010000000000 *
+1-
+12
+b0000000000000111100010110000000000 4
+b0000000000000111100010110000000000 6
+17
+b0000000000000111100010110000000000 8
+b0001110001100111011011010000000000 9
+b0000000000000111100010100000000000 :
+b0001110001100111011011010000000000 ;
+1<
+b0001110001100111011011010000000000 =
+1C
+1J
+#1936500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1937000000
+1"
+b0001110001101110111101110000000000 *
+1-
+12
+b0000000000000111100011000000000000 4
+b0000000000000111100011000000000000 6
+17
+b0000000000000111100011000000000000 8
+b0001110001101110111101110000000000 9
+b0000000000000111100010110000000000 :
+b0001110001101110111101110000000000 ;
+1<
+b0001110001101110111101110000000000 =
+1C
+1J
+#1937500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1938000000
+1"
+b0001110001110110100000100000000000 *
+1-
+12
+b0000000000000111100011010000000000 4
+b0000000000000111100011010000000000 6
+17
+b0000000000000111100011010000000000 8
+b0001110001110110100000100000000000 9
+b0000000000000111100011000000000000 :
+b0001110001110110100000100000000000 ;
+1<
+b0001110001110110100000100000000000 =
+1C
+1J
+#1938500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1939000000
+1"
+b0001110001111110000011100000000000 *
+1-
+12
+b0000000000000111100011100000000000 4
+b0000000000000111100011100000000000 6
+17
+b0000000000000111100011100000000000 8
+b0001110001111110000011100000000000 9
+b0000000000000111100011010000000000 :
+b0001110001111110000011100000000000 ;
+1<
+b0001110001111110000011100000000000 =
+1C
+1J
+#1939500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1940000000
+1"
+b0001110010000101100110110000000000 *
+1-
+12
+b0000000000000111100011110000000000 4
+b0000000000000111100011110000000000 6
+17
+b0000000000000111100011110000000000 8
+b0001110010000101100110110000000000 9
+b0000000000000111100011100000000000 :
+b0001110010000101100110110000000000 ;
+1<
+b0001110010000101100110110000000000 =
+1C
+1J
+#1940500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1941000000
+1"
+b0001110010001101001010010000000000 *
+1-
+12
+b0000000000000111100100000000000000 4
+b0000000000000111100100000000000000 6
+17
+b0000000000000111100100000000000000 8
+b0001110010001101001010010000000000 9
+b0000000000000111100011110000000000 :
+b0001110010001101001010010000000000 ;
+1<
+b0001110010001101001010010000000000 =
+1C
+1J
+#1941500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1942000000
+1"
+b0001110010010100101110000000000000 *
+1-
+12
+b0000000000000111100100010000000000 4
+b0000000000000111100100010000000000 6
+17
+b0000000000000111100100010000000000 8
+b0001110010010100101110000000000000 9
+b0000000000000111100100000000000000 :
+b0001110010010100101110000000000000 ;
+1<
+b0001110010010100101110000000000000 =
+1C
+1J
+#1942500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1943000000
+1"
+b0001110010011100010010000000000000 *
+1-
+12
+b0000000000000111100100100000000000 4
+b0000000000000111100100100000000000 6
+17
+b0000000000000111100100100000000000 8
+b0001110010011100010010000000000000 9
+b0000000000000111100100010000000000 :
+b0001110010011100010010000000000000 ;
+1<
+b0001110010011100010010000000000000 =
+1C
+1J
+#1943500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1944000000
+1"
+b0001110010100011110110010000000000 *
+1-
+12
+b0000000000000111100100110000000000 4
+b0000000000000111100100110000000000 6
+17
+b0000000000000111100100110000000000 8
+b0001110010100011110110010000000000 9
+b0000000000000111100100100000000000 :
+b0001110010100011110110010000000000 ;
+1<
+b0001110010100011110110010000000000 =
+1C
+1J
+#1944500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1945000000
+1"
+b0001110010101011011010110000000000 *
+1-
+12
+b0000000000000111100101000000000000 4
+b0000000000000111100101000000000000 6
+17
+b0000000000000111100101000000000000 8
+b0001110010101011011010110000000000 9
+b0000000000000111100100110000000000 :
+b0001110010101011011010110000000000 ;
+1<
+b0001110010101011011010110000000000 =
+1C
+1J
+#1945500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1946000000
+1"
+b0001110010110010111111100000000000 *
+1-
+12
+b0000000000000111100101010000000000 4
+b0000000000000111100101010000000000 6
+17
+b0000000000000111100101010000000000 8
+b0001110010110010111111100000000000 9
+b0000000000000111100101000000000000 :
+b0001110010110010111111100000000000 ;
+1<
+b0001110010110010111111100000000000 =
+1C
+1J
+#1946500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1947000000
+1"
+b0001110010111010100100100000000000 *
+1-
+12
+b0000000000000111100101100000000000 4
+b0000000000000111100101100000000000 6
+17
+b0000000000000111100101100000000000 8
+b0001110010111010100100100000000000 9
+b0000000000000111100101010000000000 :
+b0001110010111010100100100000000000 ;
+1<
+b0001110010111010100100100000000000 =
+1C
+1J
+#1947500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1948000000
+1"
+b0001110011000010001001110000000000 *
+1-
+12
+b0000000000000111100101110000000000 4
+b0000000000000111100101110000000000 6
+17
+b0000000000000111100101110000000000 8
+b0001110011000010001001110000000000 9
+b0000000000000111100101100000000000 :
+b0001110011000010001001110000000000 ;
+1<
+b0001110011000010001001110000000000 =
+1C
+1J
+#1948500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1949000000
+1"
+b0001110011001001101111010000000000 *
+1-
+12
+b0000000000000111100110000000000000 4
+b0000000000000111100110000000000000 6
+17
+b0000000000000111100110000000000000 8
+b0001110011001001101111010000000000 9
+b0000000000000111100101110000000000 :
+b0001110011001001101111010000000000 ;
+1<
+b0001110011001001101111010000000000 =
+1C
+1J
+#1949500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1950000000
+1"
+b0001110011010001010101000000000000 *
+1-
+12
+b0000000000000111100110010000000000 4
+b0000000000000111100110010000000000 6
+17
+b0000000000000111100110010000000000 8
+b0001110011010001010101000000000000 9
+b0000000000000111100110000000000000 :
+b0001110011010001010101000000000000 ;
+1<
+b0001110011010001010101000000000000 =
+1C
+1J
+#1950500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1951000000
+1"
+b0001110011011000111011000000000000 *
+1-
+12
+b0000000000000111100110100000000000 4
+b0000000000000111100110100000000000 6
+17
+b0000000000000111100110100000000000 8
+b0001110011011000111011000000000000 9
+b0000000000000111100110010000000000 :
+b0001110011011000111011000000000000 ;
+1<
+b0001110011011000111011000000000000 =
+1C
+1J
+#1951500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1952000000
+1"
+b0001110011100000100001010000000000 *
+1-
+12
+b0000000000000111100110110000000000 4
+b0000000000000111100110110000000000 6
+17
+b0000000000000111100110110000000000 8
+b0001110011100000100001010000000000 9
+b0000000000000111100110100000000000 :
+b0001110011100000100001010000000000 ;
+1<
+b0001110011100000100001010000000000 =
+1C
+1J
+#1952500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1953000000
+1"
+b0001110011101000000111110000000000 *
+1-
+12
+b0000000000000111100111000000000000 4
+b0000000000000111100111000000000000 6
+17
+b0000000000000111100111000000000000 8
+b0001110011101000000111110000000000 9
+b0000000000000111100110110000000000 :
+b0001110011101000000111110000000000 ;
+1<
+b0001110011101000000111110000000000 =
+1C
+1J
+#1953500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1954000000
+1"
+b0001110011101111101110100000000000 *
+1-
+12
+b0000000000000111100111010000000000 4
+b0000000000000111100111010000000000 6
+17
+b0000000000000111100111010000000000 8
+b0001110011101111101110100000000000 9
+b0000000000000111100111000000000000 :
+b0001110011101111101110100000000000 ;
+1<
+b0001110011101111101110100000000000 =
+1C
+1J
+#1954500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1955000000
+1"
+b0001110011110111010101100000000000 *
+1-
+12
+b0000000000000111100111100000000000 4
+b0000000000000111100111100000000000 6
+17
+b0000000000000111100111100000000000 8
+b0001110011110111010101100000000000 9
+b0000000000000111100111010000000000 :
+b0001110011110111010101100000000000 ;
+1<
+b0001110011110111010101100000000000 =
+1C
+1J
+#1955500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1956000000
+1"
+b0001110011111110111100110000000000 *
+1-
+12
+b0000000000000111100111110000000000 4
+b0000000000000111100111110000000000 6
+17
+b0000000000000111100111110000000000 8
+b0001110011111110111100110000000000 9
+b0000000000000111100111100000000000 :
+b0001110011111110111100110000000000 ;
+1<
+b0001110011111110111100110000000000 =
+1C
+1J
+#1956500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1957000000
+1"
+b0001110100000110100100010000000000 *
+1-
+12
+b0000000000000111101000000000000000 4
+b0000000000000111101000000000000000 6
+17
+b0000000000000111101000000000000000 8
+b0001110100000110100100010000000000 9
+b0000000000000111100111110000000000 :
+b0001110100000110100100010000000000 ;
+1<
+b0001110100000110100100010000000000 =
+1C
+1J
+#1957500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1958000000
+1"
+b0001110100001110001100000000000000 *
+1-
+12
+b0000000000000111101000010000000000 4
+b0000000000000111101000010000000000 6
+17
+b0000000000000111101000010000000000 8
+b0001110100001110001100000000000000 9
+b0000000000000111101000000000000000 :
+b0001110100001110001100000000000000 ;
+1<
+b0001110100001110001100000000000000 =
+1C
+1J
+#1958500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1959000000
+1"
+b0001110100010101110100000000000000 *
+1-
+12
+b0000000000000111101000100000000000 4
+b0000000000000111101000100000000000 6
+17
+b0000000000000111101000100000000000 8
+b0001110100010101110100000000000000 9
+b0000000000000111101000010000000000 :
+b0001110100010101110100000000000000 ;
+1<
+b0001110100010101110100000000000000 =
+1C
+1J
+#1959500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1960000000
+1"
+b0001110100011101011100010000000000 *
+1-
+12
+b0000000000000111101000110000000000 4
+b0000000000000111101000110000000000 6
+17
+b0000000000000111101000110000000000 8
+b0001110100011101011100010000000000 9
+b0000000000000111101000100000000000 :
+b0001110100011101011100010000000000 ;
+1<
+b0001110100011101011100010000000000 =
+1C
+1J
+#1960500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1961000000
+1"
+b0001110100100101000100110000000000 *
+1-
+12
+b0000000000000111101001000000000000 4
+b0000000000000111101001000000000000 6
+17
+b0000000000000111101001000000000000 8
+b0001110100100101000100110000000000 9
+b0000000000000111101000110000000000 :
+b0001110100100101000100110000000000 ;
+1<
+b0001110100100101000100110000000000 =
+1C
+1J
+#1961500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1962000000
+1"
+b0001110100101100101101100000000000 *
+1-
+12
+b0000000000000111101001010000000000 4
+b0000000000000111101001010000000000 6
+17
+b0000000000000111101001010000000000 8
+b0001110100101100101101100000000000 9
+b0000000000000111101001000000000000 :
+b0001110100101100101101100000000000 ;
+1<
+b0001110100101100101101100000000000 =
+1C
+1J
+#1962500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1963000000
+1"
+b0001110100110100010110100000000000 *
+1-
+12
+b0000000000000111101001100000000000 4
+b0000000000000111101001100000000000 6
+17
+b0000000000000111101001100000000000 8
+b0001110100110100010110100000000000 9
+b0000000000000111101001010000000000 :
+b0001110100110100010110100000000000 ;
+1<
+b0001110100110100010110100000000000 =
+1C
+1J
+#1963500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1964000000
+1"
+b0001110100111011111111110000000000 *
+1-
+12
+b0000000000000111101001110000000000 4
+b0000000000000111101001110000000000 6
+17
+b0000000000000111101001110000000000 8
+b0001110100111011111111110000000000 9
+b0000000000000111101001100000000000 :
+b0001110100111011111111110000000000 ;
+1<
+b0001110100111011111111110000000000 =
+1C
+1J
+#1964500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1965000000
+1"
+b0001110101000011101001010000000000 *
+1-
+12
+b0000000000000111101010000000000000 4
+b0000000000000111101010000000000000 6
+17
+b0000000000000111101010000000000000 8
+b0001110101000011101001010000000000 9
+b0000000000000111101001110000000000 :
+b0001110101000011101001010000000000 ;
+1<
+b0001110101000011101001010000000000 =
+1C
+1J
+#1965500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1966000000
+1"
+b0001110101001011010011000000000000 *
+1-
+12
+b0000000000000111101010010000000000 4
+b0000000000000111101010010000000000 6
+17
+b0000000000000111101010010000000000 8
+b0001110101001011010011000000000000 9
+b0000000000000111101010000000000000 :
+b0001110101001011010011000000000000 ;
+1<
+b0001110101001011010011000000000000 =
+1C
+1J
+#1966500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1967000000
+1"
+b0001110101010010111101000000000000 *
+1-
+12
+b0000000000000111101010100000000000 4
+b0000000000000111101010100000000000 6
+17
+b0000000000000111101010100000000000 8
+b0001110101010010111101000000000000 9
+b0000000000000111101010010000000000 :
+b0001110101010010111101000000000000 ;
+1<
+b0001110101010010111101000000000000 =
+1C
+1J
+#1967500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1968000000
+1"
+b0001110101011010100111010000000000 *
+1-
+12
+b0000000000000111101010110000000000 4
+b0000000000000111101010110000000000 6
+17
+b0000000000000111101010110000000000 8
+b0001110101011010100111010000000000 9
+b0000000000000111101010100000000000 :
+b0001110101011010100111010000000000 ;
+1<
+b0001110101011010100111010000000000 =
+1C
+1J
+#1968500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1969000000
+1"
+b0001110101100010010001110000000000 *
+1-
+12
+b0000000000000111101011000000000000 4
+b0000000000000111101011000000000000 6
+17
+b0000000000000111101011000000000000 8
+b0001110101100010010001110000000000 9
+b0000000000000111101010110000000000 :
+b0001110101100010010001110000000000 ;
+1<
+b0001110101100010010001110000000000 =
+1C
+1J
+#1969500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1970000000
+1"
+b0001110101101001111100100000000000 *
+1-
+12
+b0000000000000111101011010000000000 4
+b0000000000000111101011010000000000 6
+17
+b0000000000000111101011010000000000 8
+b0001110101101001111100100000000000 9
+b0000000000000111101011000000000000 :
+b0001110101101001111100100000000000 ;
+1<
+b0001110101101001111100100000000000 =
+1C
+1J
+#1970500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1971000000
+1"
+b0001110101110001100111100000000000 *
+1-
+12
+b0000000000000111101011100000000000 4
+b0000000000000111101011100000000000 6
+17
+b0000000000000111101011100000000000 8
+b0001110101110001100111100000000000 9
+b0000000000000111101011010000000000 :
+b0001110101110001100111100000000000 ;
+1<
+b0001110101110001100111100000000000 =
+1C
+1J
+#1971500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1972000000
+1"
+b0001110101111001010010110000000000 *
+1-
+12
+b0000000000000111101011110000000000 4
+b0000000000000111101011110000000000 6
+17
+b0000000000000111101011110000000000 8
+b0001110101111001010010110000000000 9
+b0000000000000111101011100000000000 :
+b0001110101111001010010110000000000 ;
+1<
+b0001110101111001010010110000000000 =
+1C
+1J
+#1972500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1973000000
+1"
+b0001110110000000111110010000000000 *
+1-
+12
+b0000000000000111101100000000000000 4
+b0000000000000111101100000000000000 6
+17
+b0000000000000111101100000000000000 8
+b0001110110000000111110010000000000 9
+b0000000000000111101011110000000000 :
+b0001110110000000111110010000000000 ;
+1<
+b0001110110000000111110010000000000 =
+1C
+1J
+#1973500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1974000000
+1"
+b0001110110001000101010000000000000 *
+1-
+12
+b0000000000000111101100010000000000 4
+b0000000000000111101100010000000000 6
+17
+b0000000000000111101100010000000000 8
+b0001110110001000101010000000000000 9
+b0000000000000111101100000000000000 :
+b0001110110001000101010000000000000 ;
+1<
+b0001110110001000101010000000000000 =
+1C
+1J
+#1974500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1975000000
+1"
+b0001110110010000010110000000000000 *
+1-
+12
+b0000000000000111101100100000000000 4
+b0000000000000111101100100000000000 6
+17
+b0000000000000111101100100000000000 8
+b0001110110010000010110000000000000 9
+b0000000000000111101100010000000000 :
+b0001110110010000010110000000000000 ;
+1<
+b0001110110010000010110000000000000 =
+1C
+1J
+#1975500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1976000000
+1"
+b0001110110011000000010010000000000 *
+1-
+12
+b0000000000000111101100110000000000 4
+b0000000000000111101100110000000000 6
+17
+b0000000000000111101100110000000000 8
+b0001110110011000000010010000000000 9
+b0000000000000111101100100000000000 :
+b0001110110011000000010010000000000 ;
+1<
+b0001110110011000000010010000000000 =
+1C
+1J
+#1976500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1977000000
+1"
+b0001110110011111101110110000000000 *
+1-
+12
+b0000000000000111101101000000000000 4
+b0000000000000111101101000000000000 6
+17
+b0000000000000111101101000000000000 8
+b0001110110011111101110110000000000 9
+b0000000000000111101100110000000000 :
+b0001110110011111101110110000000000 ;
+1<
+b0001110110011111101110110000000000 =
+1C
+1J
+#1977500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1978000000
+1"
+b0001110110100111011011100000000000 *
+1-
+12
+b0000000000000111101101010000000000 4
+b0000000000000111101101010000000000 6
+17
+b0000000000000111101101010000000000 8
+b0001110110100111011011100000000000 9
+b0000000000000111101101000000000000 :
+b0001110110100111011011100000000000 ;
+1<
+b0001110110100111011011100000000000 =
+1C
+1J
+#1978500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1979000000
+1"
+b0001110110101111001000100000000000 *
+1-
+12
+b0000000000000111101101100000000000 4
+b0000000000000111101101100000000000 6
+17
+b0000000000000111101101100000000000 8
+b0001110110101111001000100000000000 9
+b0000000000000111101101010000000000 :
+b0001110110101111001000100000000000 ;
+1<
+b0001110110101111001000100000000000 =
+1C
+1J
+#1979500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1980000000
+1"
+b0001110110110110110101110000000000 *
+1-
+12
+b0000000000000111101101110000000000 4
+b0000000000000111101101110000000000 6
+17
+b0000000000000111101101110000000000 8
+b0001110110110110110101110000000000 9
+b0000000000000111101101100000000000 :
+b0001110110110110110101110000000000 ;
+1<
+b0001110110110110110101110000000000 =
+1C
+1J
+#1980500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1981000000
+1"
+b0001110110111110100011010000000000 *
+1-
+12
+b0000000000000111101110000000000000 4
+b0000000000000111101110000000000000 6
+17
+b0000000000000111101110000000000000 8
+b0001110110111110100011010000000000 9
+b0000000000000111101101110000000000 :
+b0001110110111110100011010000000000 ;
+1<
+b0001110110111110100011010000000000 =
+1C
+1J
+#1981500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1982000000
+1"
+b0001110111000110010001000000000000 *
+1-
+12
+b0000000000000111101110010000000000 4
+b0000000000000111101110010000000000 6
+17
+b0000000000000111101110010000000000 8
+b0001110111000110010001000000000000 9
+b0000000000000111101110000000000000 :
+b0001110111000110010001000000000000 ;
+1<
+b0001110111000110010001000000000000 =
+1C
+1J
+#1982500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1983000000
+1"
+b0001110111001101111111000000000000 *
+1-
+12
+b0000000000000111101110100000000000 4
+b0000000000000111101110100000000000 6
+17
+b0000000000000111101110100000000000 8
+b0001110111001101111111000000000000 9
+b0000000000000111101110010000000000 :
+b0001110111001101111111000000000000 ;
+1<
+b0001110111001101111111000000000000 =
+1C
+1J
+#1983500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1984000000
+1"
+b0001110111010101101101010000000000 *
+1-
+12
+b0000000000000111101110110000000000 4
+b0000000000000111101110110000000000 6
+17
+b0000000000000111101110110000000000 8
+b0001110111010101101101010000000000 9
+b0000000000000111101110100000000000 :
+b0001110111010101101101010000000000 ;
+1<
+b0001110111010101101101010000000000 =
+1C
+1J
+#1984500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1985000000
+1"
+1'
+b0001110111011101011011110000000000 *
+1+
+1-
+12
+b0000000000000111101111000000000000 4
+b0000000000000111101111000000000000 6
+17
+b0000000000000111101111000000000000 8
+b0001110111011101011011110000000000 9
+b0000000000000111101110110000000000 :
+b0001110111011101011011110000000000 ;
+1<
+b0001110111011101011011110000000000 =
+1?
+1C
+1F
+1J
+1M
+#1985500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1986000000
+1"
+0'
+b0001110111100101001010100000000000 *
+0+
+1-
+12
+b0000000000000111101111010000000000 4
+b0000000000000111101111010000000000 6
+17
+b0000000000000111101111010000000000 8
+b0001110111100101001010100000000000 9
+b0000000000000111101111000000000000 :
+b0001110111100101001010100000000000 ;
+1<
+b0001110111100101001010100000000000 =
+b0000000111100110101000000000000000 >
+0?
+b0001110111011101011011110000000000 A
+b0000000111100110101000000000000000 B
+1C
+b0000000111100110101000000000000000 D
+0F
+b0000000111010110101000000000000000 H
+1J
+0M
+#1986500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1987000000
+1"
+b0001110111101100111001100000000000 *
+1-
+12
+b0000000000000111101111100000000000 4
+b0000000000000111101111100000000000 6
+17
+b0000000000000111101111100000000000 8
+b0001110111101100111001100000000000 9
+b0000000000000111101111010000000000 :
+b0001110111101100111001100000000000 ;
+1<
+b0001110111101100111001100000000000 =
+1C
+1J
+#1987500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1988000000
+1"
+b0001110111110100101000110000000000 *
+1-
+12
+b0000000000000111101111110000000000 4
+b0000000000000111101111110000000000 6
+17
+b0000000000000111101111110000000000 8
+b0001110111110100101000110000000000 9
+b0000000000000111101111100000000000 :
+b0001110111110100101000110000000000 ;
+1<
+b0001110111110100101000110000000000 =
+1C
+1J
+#1988500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1989000000
+1"
+b0001110111111100011000010000000000 *
+1-
+12
+b0000000000000111110000000000000000 4
+b0000000000000111110000000000000000 6
+17
+b0000000000000111110000000000000000 8
+b0001110111111100011000010000000000 9
+b0000000000000111101111110000000000 :
+b0001110111111100011000010000000000 ;
+1<
+b0001110111111100011000010000000000 =
+1C
+1J
+#1989500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1990000000
+1"
+b0001111000000100001000000000000000 *
+1-
+12
+b0000000000000111110000010000000000 4
+b0000000000000111110000010000000000 6
+17
+b0000000000000111110000010000000000 8
+b0001111000000100001000000000000000 9
+b0000000000000111110000000000000000 :
+b0001111000000100001000000000000000 ;
+1<
+b0001111000000100001000000000000000 =
+1C
+1J
+#1990500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1991000000
+1"
+b0001111000001011111000000000000000 *
+1-
+12
+b0000000000000111110000100000000000 4
+b0000000000000111110000100000000000 6
+17
+b0000000000000111110000100000000000 8
+b0001111000001011111000000000000000 9
+b0000000000000111110000010000000000 :
+b0001111000001011111000000000000000 ;
+1<
+b0001111000001011111000000000000000 =
+1C
+1J
+#1991500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1992000000
+1"
+b0001111000010011101000010000000000 *
+1-
+12
+b0000000000000111110000110000000000 4
+b0000000000000111110000110000000000 6
+17
+b0000000000000111110000110000000000 8
+b0001111000010011101000010000000000 9
+b0000000000000111110000100000000000 :
+b0001111000010011101000010000000000 ;
+1<
+b0001111000010011101000010000000000 =
+1C
+1J
+#1992500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1993000000
+1"
+b0001111000011011011000110000000000 *
+1-
+12
+b0000000000000111110001000000000000 4
+b0000000000000111110001000000000000 6
+17
+b0000000000000111110001000000000000 8
+b0001111000011011011000110000000000 9
+b0000000000000111110000110000000000 :
+b0001111000011011011000110000000000 ;
+1<
+b0001111000011011011000110000000000 =
+1C
+1J
+#1993500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1994000000
+1"
+b0001111000100011001001100000000000 *
+1-
+12
+b0000000000000111110001010000000000 4
+b0000000000000111110001010000000000 6
+17
+b0000000000000111110001010000000000 8
+b0001111000100011001001100000000000 9
+b0000000000000111110001000000000000 :
+b0001111000100011001001100000000000 ;
+1<
+b0001111000100011001001100000000000 =
+1C
+1J
+#1994500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1995000000
+1"
+b0001111000101010111010100000000000 *
+1-
+12
+b0000000000000111110001100000000000 4
+b0000000000000111110001100000000000 6
+17
+b0000000000000111110001100000000000 8
+b0001111000101010111010100000000000 9
+b0000000000000111110001010000000000 :
+b0001111000101010111010100000000000 ;
+1<
+b0001111000101010111010100000000000 =
+1C
+1J
+#1995500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1996000000
+1"
+b0001111000110010101011110000000000 *
+1-
+12
+b0000000000000111110001110000000000 4
+b0000000000000111110001110000000000 6
+17
+b0000000000000111110001110000000000 8
+b0001111000110010101011110000000000 9
+b0000000000000111110001100000000000 :
+b0001111000110010101011110000000000 ;
+1<
+b0001111000110010101011110000000000 =
+1C
+1J
+#1996500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1997000000
+1"
+b0001111000111010011101010000000000 *
+1-
+12
+b0000000000000111110010000000000000 4
+b0000000000000111110010000000000000 6
+17
+b0000000000000111110010000000000000 8
+b0001111000111010011101010000000000 9
+b0000000000000111110001110000000000 :
+b0001111000111010011101010000000000 ;
+1<
+b0001111000111010011101010000000000 =
+1C
+1J
+#1997500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1998000000
+1"
+b0001111001000010001111000000000000 *
+1-
+12
+b0000000000000111110010010000000000 4
+b0000000000000111110010010000000000 6
+17
+b0000000000000111110010010000000000 8
+b0001111001000010001111000000000000 9
+b0000000000000111110010000000000000 :
+b0001111001000010001111000000000000 ;
+1<
+b0001111001000010001111000000000000 =
+1C
+1J
+#1998500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#1999000000
+1"
+b0001111001001010000001000000000000 *
+1-
+12
+b0000000000000111110010100000000000 4
+b0000000000000111110010100000000000 6
+17
+b0000000000000111110010100000000000 8
+b0001111001001010000001000000000000 9
+b0000000000000111110010010000000000 :
+b0001111001001010000001000000000000 ;
+1<
+b0001111001001010000001000000000000 =
+1C
+1J
+#1999500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2000000000
+1"
+b0001111001010001110011010000000000 *
+1-
+12
+b0000000000000111110010110000000000 4
+b0000000000000111110010110000000000 6
+17
+b0000000000000111110010110000000000 8
+b0001111001010001110011010000000000 9
+b0000000000000111110010100000000000 :
+b0001111001010001110011010000000000 ;
+1<
+b0001111001010001110011010000000000 =
+1C
+1J
+#2000500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2001000000
+1"
+b0001111001011001100101110000000000 *
+1-
+12
+b0000000000000111110011000000000000 4
+b0000000000000111110011000000000000 6
+17
+b0000000000000111110011000000000000 8
+b0001111001011001100101110000000000 9
+b0000000000000111110010110000000000 :
+b0001111001011001100101110000000000 ;
+1<
+b0001111001011001100101110000000000 =
+1C
+1J
+#2001500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2002000000
+1"
+b0001111001100001011000100000000000 *
+1-
+12
+b0000000000000111110011010000000000 4
+b0000000000000111110011010000000000 6
+17
+b0000000000000111110011010000000000 8
+b0001111001100001011000100000000000 9
+b0000000000000111110011000000000000 :
+b0001111001100001011000100000000000 ;
+1<
+b0001111001100001011000100000000000 =
+1C
+1J
+#2002500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2003000000
+1"
+b0001111001101001001011100000000000 *
+1-
+12
+b0000000000000111110011100000000000 4
+b0000000000000111110011100000000000 6
+17
+b0000000000000111110011100000000000 8
+b0001111001101001001011100000000000 9
+b0000000000000111110011010000000000 :
+b0001111001101001001011100000000000 ;
+1<
+b0001111001101001001011100000000000 =
+1C
+1J
+#2003500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2004000000
+1"
+b0001111001110000111110110000000000 *
+1-
+12
+b0000000000000111110011110000000000 4
+b0000000000000111110011110000000000 6
+17
+b0000000000000111110011110000000000 8
+b0001111001110000111110110000000000 9
+b0000000000000111110011100000000000 :
+b0001111001110000111110110000000000 ;
+1<
+b0001111001110000111110110000000000 =
+1C
+1J
+#2004500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2005000000
+1"
+b0001111001111000110010010000000000 *
+1-
+12
+b0000000000000111110100000000000000 4
+b0000000000000111110100000000000000 6
+17
+b0000000000000111110100000000000000 8
+b0001111001111000110010010000000000 9
+b0000000000000111110011110000000000 :
+b0001111001111000110010010000000000 ;
+1<
+b0001111001111000110010010000000000 =
+1C
+1J
+#2005500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2006000000
+1"
+b0001111010000000100110000000000000 *
+1-
+12
+b0000000000000111110100010000000000 4
+b0000000000000111110100010000000000 6
+17
+b0000000000000111110100010000000000 8
+b0001111010000000100110000000000000 9
+b0000000000000111110100000000000000 :
+b0001111010000000100110000000000000 ;
+1<
+b0001111010000000100110000000000000 =
+1C
+1J
+#2006500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2007000000
+1"
+b0001111010001000011010000000000000 *
+1-
+12
+b0000000000000111110100100000000000 4
+b0000000000000111110100100000000000 6
+17
+b0000000000000111110100100000000000 8
+b0001111010001000011010000000000000 9
+b0000000000000111110100010000000000 :
+b0001111010001000011010000000000000 ;
+1<
+b0001111010001000011010000000000000 =
+1C
+1J
+#2007500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2008000000
+1"
+b0001111010010000001110010000000000 *
+1-
+12
+b0000000000000111110100110000000000 4
+b0000000000000111110100110000000000 6
+17
+b0000000000000111110100110000000000 8
+b0001111010010000001110010000000000 9
+b0000000000000111110100100000000000 :
+b0001111010010000001110010000000000 ;
+1<
+b0001111010010000001110010000000000 =
+1C
+1J
+#2008500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2009000000
+1"
+b0001111010011000000010110000000000 *
+1-
+12
+b0000000000000111110101000000000000 4
+b0000000000000111110101000000000000 6
+17
+b0000000000000111110101000000000000 8
+b0001111010011000000010110000000000 9
+b0000000000000111110100110000000000 :
+b0001111010011000000010110000000000 ;
+1<
+b0001111010011000000010110000000000 =
+1C
+1J
+#2009500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2010000000
+1"
+b0001111010011111110111100000000000 *
+1-
+12
+b0000000000000111110101010000000000 4
+b0000000000000111110101010000000000 6
+17
+b0000000000000111110101010000000000 8
+b0001111010011111110111100000000000 9
+b0000000000000111110101000000000000 :
+b0001111010011111110111100000000000 ;
+1<
+b0001111010011111110111100000000000 =
+1C
+1J
+#2010500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2011000000
+1"
+b0001111010100111101100100000000000 *
+1-
+12
+b0000000000000111110101100000000000 4
+b0000000000000111110101100000000000 6
+17
+b0000000000000111110101100000000000 8
+b0001111010100111101100100000000000 9
+b0000000000000111110101010000000000 :
+b0001111010100111101100100000000000 ;
+1<
+b0001111010100111101100100000000000 =
+1C
+1J
+#2011500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2012000000
+1"
+b0001111010101111100001110000000000 *
+1-
+12
+b0000000000000111110101110000000000 4
+b0000000000000111110101110000000000 6
+17
+b0000000000000111110101110000000000 8
+b0001111010101111100001110000000000 9
+b0000000000000111110101100000000000 :
+b0001111010101111100001110000000000 ;
+1<
+b0001111010101111100001110000000000 =
+1C
+1J
+#2012500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2013000000
+1"
+b0001111010110111010111010000000000 *
+1-
+12
+b0000000000000111110110000000000000 4
+b0000000000000111110110000000000000 6
+17
+b0000000000000111110110000000000000 8
+b0001111010110111010111010000000000 9
+b0000000000000111110101110000000000 :
+b0001111010110111010111010000000000 ;
+1<
+b0001111010110111010111010000000000 =
+1C
+1J
+#2013500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2014000000
+1"
+b0001111010111111001101000000000000 *
+1-
+12
+b0000000000000111110110010000000000 4
+b0000000000000111110110010000000000 6
+17
+b0000000000000111110110010000000000 8
+b0001111010111111001101000000000000 9
+b0000000000000111110110000000000000 :
+b0001111010111111001101000000000000 ;
+1<
+b0001111010111111001101000000000000 =
+1C
+1J
+#2014500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2015000000
+1"
+b0001111011000111000011000000000000 *
+1-
+12
+b0000000000000111110110100000000000 4
+b0000000000000111110110100000000000 6
+17
+b0000000000000111110110100000000000 8
+b0001111011000111000011000000000000 9
+b0000000000000111110110010000000000 :
+b0001111011000111000011000000000000 ;
+1<
+b0001111011000111000011000000000000 =
+1C
+1J
+#2015500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2016000000
+1"
+b0001111011001110111001010000000000 *
+1-
+12
+b0000000000000111110110110000000000 4
+b0000000000000111110110110000000000 6
+17
+b0000000000000111110110110000000000 8
+b0001111011001110111001010000000000 9
+b0000000000000111110110100000000000 :
+b0001111011001110111001010000000000 ;
+1<
+b0001111011001110111001010000000000 =
+1C
+1J
+#2016500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2017000000
+1"
+b0001111011010110101111110000000000 *
+1-
+12
+b0000000000000111110111000000000000 4
+b0000000000000111110111000000000000 6
+17
+b0000000000000111110111000000000000 8
+b0001111011010110101111110000000000 9
+b0000000000000111110110110000000000 :
+b0001111011010110101111110000000000 ;
+1<
+b0001111011010110101111110000000000 =
+1C
+1J
+#2017500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2018000000
+1"
+b0001111011011110100110100000000000 *
+1-
+12
+b0000000000000111110111010000000000 4
+b0000000000000111110111010000000000 6
+17
+b0000000000000111110111010000000000 8
+b0001111011011110100110100000000000 9
+b0000000000000111110111000000000000 :
+b0001111011011110100110100000000000 ;
+1<
+b0001111011011110100110100000000000 =
+1C
+1J
+#2018500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2019000000
+1"
+b0001111011100110011101100000000000 *
+1-
+12
+b0000000000000111110111100000000000 4
+b0000000000000111110111100000000000 6
+17
+b0000000000000111110111100000000000 8
+b0001111011100110011101100000000000 9
+b0000000000000111110111010000000000 :
+b0001111011100110011101100000000000 ;
+1<
+b0001111011100110011101100000000000 =
+1C
+1J
+#2019500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2020000000
+1"
+b0001111011101110010100110000000000 *
+1-
+12
+b0000000000000111110111110000000000 4
+b0000000000000111110111110000000000 6
+17
+b0000000000000111110111110000000000 8
+b0001111011101110010100110000000000 9
+b0000000000000111110111100000000000 :
+b0001111011101110010100110000000000 ;
+1<
+b0001111011101110010100110000000000 =
+1C
+1J
+#2020500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2021000000
+1"
+b0001111011110110001100010000000000 *
+1-
+12
+b0000000000000111111000000000000000 4
+b0000000000000111111000000000000000 6
+17
+b0000000000000111111000000000000000 8
+b0001111011110110001100010000000000 9
+b0000000000000111110111110000000000 :
+b0001111011110110001100010000000000 ;
+1<
+b0001111011110110001100010000000000 =
+1C
+1J
+#2021500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2022000000
+1"
+b0001111011111110000100000000000000 *
+1-
+12
+b0000000000000111111000010000000000 4
+b0000000000000111111000010000000000 6
+17
+b0000000000000111111000010000000000 8
+b0001111011111110000100000000000000 9
+b0000000000000111111000000000000000 :
+b0001111011111110000100000000000000 ;
+1<
+b0001111011111110000100000000000000 =
+1C
+1J
+#2022500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2023000000
+1"
+b0001111100000101111100000000000000 *
+1-
+12
+b0000000000000111111000100000000000 4
+b0000000000000111111000100000000000 6
+17
+b0000000000000111111000100000000000 8
+b0001111100000101111100000000000000 9
+b0000000000000111111000010000000000 :
+b0001111100000101111100000000000000 ;
+1<
+b0001111100000101111100000000000000 =
+1C
+1J
+#2023500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2024000000
+1"
+b0001111100001101110100010000000000 *
+1-
+12
+b0000000000000111111000110000000000 4
+b0000000000000111111000110000000000 6
+17
+b0000000000000111111000110000000000 8
+b0001111100001101110100010000000000 9
+b0000000000000111111000100000000000 :
+b0001111100001101110100010000000000 ;
+1<
+b0001111100001101110100010000000000 =
+1C
+1J
+#2024500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2025000000
+1"
+b0001111100010101101100110000000000 *
+1-
+12
+b0000000000000111111001000000000000 4
+b0000000000000111111001000000000000 6
+17
+b0000000000000111111001000000000000 8
+b0001111100010101101100110000000000 9
+b0000000000000111111000110000000000 :
+b0001111100010101101100110000000000 ;
+1<
+b0001111100010101101100110000000000 =
+1C
+1J
+#2025500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2026000000
+1"
+b0001111100011101100101100000000000 *
+1-
+12
+b0000000000000111111001010000000000 4
+b0000000000000111111001010000000000 6
+17
+b0000000000000111111001010000000000 8
+b0001111100011101100101100000000000 9
+b0000000000000111111001000000000000 :
+b0001111100011101100101100000000000 ;
+1<
+b0001111100011101100101100000000000 =
+1C
+1J
+#2026500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2027000000
+1"
+b0001111100100101011110100000000000 *
+1-
+12
+b0000000000000111111001100000000000 4
+b0000000000000111111001100000000000 6
+17
+b0000000000000111111001100000000000 8
+b0001111100100101011110100000000000 9
+b0000000000000111111001010000000000 :
+b0001111100100101011110100000000000 ;
+1<
+b0001111100100101011110100000000000 =
+1C
+1J
+#2027500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2028000000
+1"
+b0001111100101101010111110000000000 *
+1-
+12
+b0000000000000111111001110000000000 4
+b0000000000000111111001110000000000 6
+17
+b0000000000000111111001110000000000 8
+b0001111100101101010111110000000000 9
+b0000000000000111111001100000000000 :
+b0001111100101101010111110000000000 ;
+1<
+b0001111100101101010111110000000000 =
+1C
+1J
+#2028500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2029000000
+1"
+b0001111100110101010001010000000000 *
+1-
+12
+b0000000000000111111010000000000000 4
+b0000000000000111111010000000000000 6
+17
+b0000000000000111111010000000000000 8
+b0001111100110101010001010000000000 9
+b0000000000000111111001110000000000 :
+b0001111100110101010001010000000000 ;
+1<
+b0001111100110101010001010000000000 =
+1C
+1J
+#2029500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2030000000
+1"
+b0001111100111101001011000000000000 *
+1-
+12
+b0000000000000111111010010000000000 4
+b0000000000000111111010010000000000 6
+17
+b0000000000000111111010010000000000 8
+b0001111100111101001011000000000000 9
+b0000000000000111111010000000000000 :
+b0001111100111101001011000000000000 ;
+1<
+b0001111100111101001011000000000000 =
+1C
+1J
+#2030500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2031000000
+1"
+b0001111101000101000101000000000000 *
+1-
+12
+b0000000000000111111010100000000000 4
+b0000000000000111111010100000000000 6
+17
+b0000000000000111111010100000000000 8
+b0001111101000101000101000000000000 9
+b0000000000000111111010010000000000 :
+b0001111101000101000101000000000000 ;
+1<
+b0001111101000101000101000000000000 =
+1C
+1J
+#2031500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2032000000
+1"
+b0001111101001100111111010000000000 *
+1-
+12
+b0000000000000111111010110000000000 4
+b0000000000000111111010110000000000 6
+17
+b0000000000000111111010110000000000 8
+b0001111101001100111111010000000000 9
+b0000000000000111111010100000000000 :
+b0001111101001100111111010000000000 ;
+1<
+b0001111101001100111111010000000000 =
+1C
+1J
+#2032500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2033000000
+1"
+b0001111101010100111001110000000000 *
+1-
+12
+b0000000000000111111011000000000000 4
+b0000000000000111111011000000000000 6
+17
+b0000000000000111111011000000000000 8
+b0001111101010100111001110000000000 9
+b0000000000000111111010110000000000 :
+b0001111101010100111001110000000000 ;
+1<
+b0001111101010100111001110000000000 =
+1C
+1J
+#2033500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2034000000
+1"
+b0001111101011100110100100000000000 *
+1-
+12
+b0000000000000111111011010000000000 4
+b0000000000000111111011010000000000 6
+17
+b0000000000000111111011010000000000 8
+b0001111101011100110100100000000000 9
+b0000000000000111111011000000000000 :
+b0001111101011100110100100000000000 ;
+1<
+b0001111101011100110100100000000000 =
+1C
+1J
+#2034500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2035000000
+1"
+b0001111101100100101111100000000000 *
+1-
+12
+b0000000000000111111011100000000000 4
+b0000000000000111111011100000000000 6
+17
+b0000000000000111111011100000000000 8
+b0001111101100100101111100000000000 9
+b0000000000000111111011010000000000 :
+b0001111101100100101111100000000000 ;
+1<
+b0001111101100100101111100000000000 =
+1C
+1J
+#2035500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2036000000
+1"
+b0001111101101100101010110000000000 *
+1-
+12
+b0000000000000111111011110000000000 4
+b0000000000000111111011110000000000 6
+17
+b0000000000000111111011110000000000 8
+b0001111101101100101010110000000000 9
+b0000000000000111111011100000000000 :
+b0001111101101100101010110000000000 ;
+1<
+b0001111101101100101010110000000000 =
+1C
+1J
+#2036500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2037000000
+1"
+b0001111101110100100110010000000000 *
+1-
+12
+b0000000000000111111100000000000000 4
+b0000000000000111111100000000000000 6
+17
+b0000000000000111111100000000000000 8
+b0001111101110100100110010000000000 9
+b0000000000000111111011110000000000 :
+b0001111101110100100110010000000000 ;
+1<
+b0001111101110100100110010000000000 =
+1C
+1J
+#2037500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2038000000
+1"
+b0001111101111100100010000000000000 *
+1-
+12
+b0000000000000111111100010000000000 4
+b0000000000000111111100010000000000 6
+17
+b0000000000000111111100010000000000 8
+b0001111101111100100010000000000000 9
+b0000000000000111111100000000000000 :
+b0001111101111100100010000000000000 ;
+1<
+b0001111101111100100010000000000000 =
+1C
+1J
+#2038500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2039000000
+1"
+b0001111110000100011110000000000000 *
+1-
+12
+b0000000000000111111100100000000000 4
+b0000000000000111111100100000000000 6
+17
+b0000000000000111111100100000000000 8
+b0001111110000100011110000000000000 9
+b0000000000000111111100010000000000 :
+b0001111110000100011110000000000000 ;
+1<
+b0001111110000100011110000000000000 =
+1C
+1J
+#2039500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2040000000
+1"
+b0001111110001100011010010000000000 *
+1-
+12
+b0000000000000111111100110000000000 4
+b0000000000000111111100110000000000 6
+17
+b0000000000000111111100110000000000 8
+b0001111110001100011010010000000000 9
+b0000000000000111111100100000000000 :
+b0001111110001100011010010000000000 ;
+1<
+b0001111110001100011010010000000000 =
+1C
+1J
+#2040500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2041000000
+1"
+b0001111110010100010110110000000000 *
+1-
+12
+b0000000000000111111101000000000000 4
+b0000000000000111111101000000000000 6
+17
+b0000000000000111111101000000000000 8
+b0001111110010100010110110000000000 9
+b0000000000000111111100110000000000 :
+b0001111110010100010110110000000000 ;
+1<
+b0001111110010100010110110000000000 =
+1C
+1J
+#2041500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2042000000
+1"
+b0001111110011100010011100000000000 *
+1-
+12
+b0000000000000111111101010000000000 4
+b0000000000000111111101010000000000 6
+17
+b0000000000000111111101010000000000 8
+b0001111110011100010011100000000000 9
+b0000000000000111111101000000000000 :
+b0001111110011100010011100000000000 ;
+1<
+b0001111110011100010011100000000000 =
+1C
+1J
+#2042500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2043000000
+1"
+b0001111110100100010000100000000000 *
+1-
+12
+b0000000000000111111101100000000000 4
+b0000000000000111111101100000000000 6
+17
+b0000000000000111111101100000000000 8
+b0001111110100100010000100000000000 9
+b0000000000000111111101010000000000 :
+b0001111110100100010000100000000000 ;
+1<
+b0001111110100100010000100000000000 =
+1C
+1J
+#2043500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2044000000
+1"
+b0001111110101100001101110000000000 *
+1-
+12
+b0000000000000111111101110000000000 4
+b0000000000000111111101110000000000 6
+17
+b0000000000000111111101110000000000 8
+b0001111110101100001101110000000000 9
+b0000000000000111111101100000000000 :
+b0001111110101100001101110000000000 ;
+1<
+b0001111110101100001101110000000000 =
+1C
+1J
+#2044500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2045000000
+1"
+b0001111110110100001011010000000000 *
+1-
+12
+b0000000000000111111110000000000000 4
+b0000000000000111111110000000000000 6
+17
+b0000000000000111111110000000000000 8
+b0001111110110100001011010000000000 9
+b0000000000000111111101110000000000 :
+b0001111110110100001011010000000000 ;
+1<
+b0001111110110100001011010000000000 =
+1C
+1J
+#2045500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2046000000
+1"
+b0001111110111100001001000000000000 *
+1-
+12
+b0000000000000111111110010000000000 4
+b0000000000000111111110010000000000 6
+17
+b0000000000000111111110010000000000 8
+b0001111110111100001001000000000000 9
+b0000000000000111111110000000000000 :
+b0001111110111100001001000000000000 ;
+1<
+b0001111110111100001001000000000000 =
+1C
+1J
+#2046500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2047000000
+1"
+b0001111111000100000111000000000000 *
+1-
+12
+b0000000000000111111110100000000000 4
+b0000000000000111111110100000000000 6
+17
+b0000000000000111111110100000000000 8
+b0001111111000100000111000000000000 9
+b0000000000000111111110010000000000 :
+b0001111111000100000111000000000000 ;
+1<
+b0001111111000100000111000000000000 =
+1C
+1J
+#2047500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2048000000
+1"
+b0001111111001100000101010000000000 *
+1-
+12
+b0000000000000111111110110000000000 4
+b0000000000000111111110110000000000 6
+17
+b0000000000000111111110110000000000 8
+b0001111111001100000101010000000000 9
+b0000000000000111111110100000000000 :
+b0001111111001100000101010000000000 ;
+1<
+b0001111111001100000101010000000000 =
+1C
+1J
+#2048500000
+0"
+0-
+02
+07
+0<
+0C
+0J
+#2049000000
+1"
+1'
+b0001111111010100000011110000000000 *
+1+
+1-
+12
+b0000000000000111111111000000000000 4
+b0000000000000111111111000000000000 6
+17
+b0000000000000111111111000000000000 8
+b0001111111010100000011110000000000 9
+b0000000000000111111110110000000000 :
+b0001111111010100000011110000000000 ;
+1<
+b0001111111010100000011110000000000 =
+1?
+1C
+1F
+1J
+1M
diff --git a/cicReal/simulation/test/sim_build/results.xml b/cicReal/simulation/test/sim_build/results.xml
new file mode 100644
index 0000000..b83913a
--- /dev/null
+++ b/cicReal/simulation/test/sim_build/results.xml
@@ -0,0 +1,6 @@
+
+
+
+
+
+
diff --git a/cicReal/simulation/test/sim_build/top-obj93.cf b/cicReal/simulation/test/sim_build/top-obj93.cf
new file mode 100644
index 0000000..5d07c88
--- /dev/null
+++ b/cicReal/simulation/test/sim_build/top-obj93.cf
@@ -0,0 +1,13 @@
+v 4
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/hdl/integrate.vhd" "0ecf640ab62e5f666acff8a5c9193f99f57079c1" "20240412094320.712":
+ entity integrator at 6( 280) + 0 on 13;
+ architecture a of integrator at 21( 554) + 0 on 14;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/hdl/comb.vhd" "98a4589fefda85a45854df3d9cb8ba35717cfd54" "20240412094320.712":
+ entity comb at 6( 280) + 0 on 15;
+ architecture a of comb at 22( 570) + 0 on 16;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/hdl/common.vhd" "83919e801588b825b7c5cb1c6cf464ce47aebd62" "20240412094320.712":
+ package common at 6( 280) + 0 on 11 body;
+ package body common at 26( 1093) + 0 on 12;
+file / "/home/benny/projets/projets_fe/region_2023/dev/oscimpDigital/fpga_ip/cicReal/hdl/cicReal_top.vhd" "1bbaabbd09880fb5aeb98a115c1017701731e9ac" "20240412094320.712":
+ entity cicreal_top at 6( 280) + 0 on 17;
+ architecture rtl of cicreal_top at 38( 1062) + 0 on 18;
diff --git a/cicReal/simulation/top_enable.gtkw b/cicReal/simulation/top_enable.gtkw
new file mode 100644
index 0000000..d1df889
--- /dev/null
+++ b/cicReal/simulation/top_enable.gtkw
@@ -0,0 +1,199 @@
+[*]
+[*] GTKWave Analyzer v3.3.87 (w)1999-2017 BSI
+[*] Fri May 25 10:28:52 2018
+[*]
+[dumpfile] "/home/gwe/projets/ggm_fpga_ip/firReal/simulation/simu/top_enable_tb.ghw"
+[dumpfile_mtime] "Fri May 25 10:28:52 2018"
+[dumpfile_size] 2752637
+[savefile] "/home/gwe/projets/ggm_fpga_ip/firReal/simulation/top_enable.gtkw"
+[timestart] 10308900000
+[size] 1364 746
+[pos] -1 -1
+*-24.000000 10330000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] top.
+[treeopen] top.top_enable_tb.
+[treeopen] top.top_enable_tb.fir16.[0].logic_inst.
+[treeopen] top.top_enable_tb.fir16.[11].logic_inst.
+[treeopen] top.top_enable_tb.fir16.[12].
+[treeopen] top.top_enable_tb.fir16.[12].logic_inst.
+[treeopen] top.top_enable_tb.fir16.[1].logic_inst.
+[treeopen] top.top_enable_tb.fir16.[2].logic_inst.
+[sst_width] 276
+[signals_width] 251
+[sst_expanded] 1
+[sst_vpaned_height] 373
+@28
+top.top_enable_tb.clk
+top.top_enable_tb.reset
+top.top_enable_tb.start_prod_s
+@420
+top.top_enable_tb.fir16.cpt_s
+@28
+top.top_enable_tb.tick_s
+@420
+top.top_enable_tb.res_cpt_s
+@28
+top.top_enable_tb.end_simu_s
+top.top_enable_tb.data_out_en_s
+@420
+#{top.top_enable_tb.data_out_s[31:0]} top.top_enable_tb.data_out_s[31] top.top_enable_tb.data_out_s[30] top.top_enable_tb.data_out_s[29] top.top_enable_tb.data_out_s[28] top.top_enable_tb.data_out_s[27] top.top_enable_tb.data_out_s[26] top.top_enable_tb.data_out_s[25] top.top_enable_tb.data_out_s[24] top.top_enable_tb.data_out_s[23] top.top_enable_tb.data_out_s[22] top.top_enable_tb.data_out_s[21] top.top_enable_tb.data_out_s[20] top.top_enable_tb.data_out_s[19] top.top_enable_tb.data_out_s[18] top.top_enable_tb.data_out_s[17] top.top_enable_tb.data_out_s[16] top.top_enable_tb.data_out_s[15] top.top_enable_tb.data_out_s[14] top.top_enable_tb.data_out_s[13] top.top_enable_tb.data_out_s[12] top.top_enable_tb.data_out_s[11] top.top_enable_tb.data_out_s[10] top.top_enable_tb.data_out_s[9] top.top_enable_tb.data_out_s[8] top.top_enable_tb.data_out_s[7] top.top_enable_tb.data_out_s[6] top.top_enable_tb.data_out_s[5] top.top_enable_tb.data_out_s[4] top.top_enable_tb.data_out_s[3] top.top_enable_tb.data_out_s[2] top.top_enable_tb.data_out_s[1] top.top_enable_tb.data_out_s[0]
+@200
+-coeff_conf
+@28
+top.top_enable_tb.start_read_coeff
+top.top_enable_tb.coeff_en_s
+@24
+#{top.top_enable_tb.coeff_addr_next_s[9:0]} top.top_enable_tb.coeff_addr_next_s[6] top.top_enable_tb.coeff_addr_next_s[5] top.top_enable_tb.coeff_addr_next_s[4] top.top_enable_tb.coeff_addr_next_s[3] top.top_enable_tb.coeff_addr_next_s[2] top.top_enable_tb.coeff_addr_next_s[1] top.top_enable_tb.coeff_addr_next_s[0]
+#{top.top_enable_tb.coeff_addr_s[9:0]} top.top_enable_tb.coeff_addr_s[6] top.top_enable_tb.coeff_addr_s[5] top.top_enable_tb.coeff_addr_s[4] top.top_enable_tb.coeff_addr_s[3] top.top_enable_tb.coeff_addr_s[2] top.top_enable_tb.coeff_addr_s[1] top.top_enable_tb.coeff_addr_s[0]
+#{top.top_enable_tb.coeff_val_s[15:0]} top.top_enable_tb.coeff_val_s[15] top.top_enable_tb.coeff_val_s[14] top.top_enable_tb.coeff_val_s[13] top.top_enable_tb.coeff_val_s[12] top.top_enable_tb.coeff_val_s[11] top.top_enable_tb.coeff_val_s[10] top.top_enable_tb.coeff_val_s[9] top.top_enable_tb.coeff_val_s[8] top.top_enable_tb.coeff_val_s[7] top.top_enable_tb.coeff_val_s[6] top.top_enable_tb.coeff_val_s[5] top.top_enable_tb.coeff_val_s[4] top.top_enable_tb.coeff_val_s[3] top.top_enable_tb.coeff_val_s[2] top.top_enable_tb.coeff_val_s[1] top.top_enable_tb.coeff_val_s[0]
+@28
+top.top_enable_tb.end_read_coeff
+top.top_enable_tb.data_out_en_s
+@420
+#{top.top_enable_tb.data_out_s[31:0]} top.top_enable_tb.data_out_s[31] top.top_enable_tb.data_out_s[30] top.top_enable_tb.data_out_s[29] top.top_enable_tb.data_out_s[28] top.top_enable_tb.data_out_s[27] top.top_enable_tb.data_out_s[26] top.top_enable_tb.data_out_s[25] top.top_enable_tb.data_out_s[24] top.top_enable_tb.data_out_s[23] top.top_enable_tb.data_out_s[22] top.top_enable_tb.data_out_s[21] top.top_enable_tb.data_out_s[20] top.top_enable_tb.data_out_s[19] top.top_enable_tb.data_out_s[18] top.top_enable_tb.data_out_s[17] top.top_enable_tb.data_out_s[16] top.top_enable_tb.data_out_s[15] top.top_enable_tb.data_out_s[14] top.top_enable_tb.data_out_s[13] top.top_enable_tb.data_out_s[12] top.top_enable_tb.data_out_s[11] top.top_enable_tb.data_out_s[10] top.top_enable_tb.data_out_s[9] top.top_enable_tb.data_out_s[8] top.top_enable_tb.data_out_s[7] top.top_enable_tb.data_out_s[6] top.top_enable_tb.data_out_s[5] top.top_enable_tb.data_out_s[4] top.top_enable_tb.data_out_s[3] top.top_enable_tb.data_out_s[2] top.top_enable_tb.data_out_s[1] top.top_enable_tb.data_out_s[0]
+@200
+-data_read
+@28
+top.top_enable_tb.start_read_s
+top.top_enable_tb.read_data_en_s
+@24
+#{top.top_enable_tb.read_data_addr_s[10:0]} top.top_enable_tb.read_data_addr_s[9] top.top_enable_tb.read_data_addr_s[8] top.top_enable_tb.read_data_addr_s[7] top.top_enable_tb.read_data_addr_s[6] top.top_enable_tb.read_data_addr_s[5] top.top_enable_tb.read_data_addr_s[4] top.top_enable_tb.read_data_addr_s[3] top.top_enable_tb.read_data_addr_s[2] top.top_enable_tb.read_data_addr_s[1] top.top_enable_tb.read_data_addr_s[0]
+@420
+#{top.top_enable_tb.read_data_val_s[15:0]} top.top_enable_tb.read_data_val_s[15] top.top_enable_tb.read_data_val_s[14] top.top_enable_tb.read_data_val_s[13] top.top_enable_tb.read_data_val_s[12] top.top_enable_tb.read_data_val_s[11] top.top_enable_tb.read_data_val_s[10] top.top_enable_tb.read_data_val_s[9] top.top_enable_tb.read_data_val_s[8] top.top_enable_tb.read_data_val_s[7] top.top_enable_tb.read_data_val_s[6] top.top_enable_tb.read_data_val_s[5] top.top_enable_tb.read_data_val_s[4] top.top_enable_tb.read_data_val_s[3] top.top_enable_tb.read_data_val_s[2] top.top_enable_tb.read_data_val_s[1] top.top_enable_tb.read_data_val_s[0]
+@28
+top.top_enable_tb.end_read2_s
+@200
+-data_generation
+@28
+top.top_enable_tb.data_en_s
+@c00420
+#{top.top_enable_tb.data_s[15:0]} top.top_enable_tb.data_s[15] top.top_enable_tb.data_s[14] top.top_enable_tb.data_s[13] top.top_enable_tb.data_s[12] top.top_enable_tb.data_s[11] top.top_enable_tb.data_s[10] top.top_enable_tb.data_s[9] top.top_enable_tb.data_s[8] top.top_enable_tb.data_s[7] top.top_enable_tb.data_s[6] top.top_enable_tb.data_s[5] top.top_enable_tb.data_s[4] top.top_enable_tb.data_s[3] top.top_enable_tb.data_s[2] top.top_enable_tb.data_s[1] top.top_enable_tb.data_s[0]
+@28
+top.top_enable_tb.data_s[15]
+top.top_enable_tb.data_s[14]
+top.top_enable_tb.data_s[13]
+top.top_enable_tb.data_s[12]
+top.top_enable_tb.data_s[11]
+top.top_enable_tb.data_s[10]
+top.top_enable_tb.data_s[9]
+top.top_enable_tb.data_s[8]
+top.top_enable_tb.data_s[7]
+top.top_enable_tb.data_s[6]
+top.top_enable_tb.data_s[5]
+top.top_enable_tb.data_s[4]
+top.top_enable_tb.data_s[3]
+top.top_enable_tb.data_s[2]
+top.top_enable_tb.data_s[1]
+top.top_enable_tb.data_s[0]
+@1401200
+-group_end
+@24
+#{top.top_enable_tb.prop_data_addr_s[10:0]} top.top_enable_tb.prop_data_addr_s[9] top.top_enable_tb.prop_data_addr_s[8] top.top_enable_tb.prop_data_addr_s[7] top.top_enable_tb.prop_data_addr_s[6] top.top_enable_tb.prop_data_addr_s[5] top.top_enable_tb.prop_data_addr_s[4] top.top_enable_tb.prop_data_addr_s[3] top.top_enable_tb.prop_data_addr_s[2] top.top_enable_tb.prop_data_addr_s[1] top.top_enable_tb.prop_data_addr_s[0]
+@200
+-global
+@28
+top.top_enable_tb.fir16.clk
+@420
+top.top_enable_tb.fir16.cpt_s
+@28
+top.top_enable_tb.fir16.tick_s
+top.top_enable_tb.fir16.data_en_i
+@22
+#{top.top_enable_tb.fir16.end_s[129:0]} top.top_enable_tb.fir16.end_s[12] top.top_enable_tb.fir16.end_s[11] top.top_enable_tb.fir16.end_s[10] top.top_enable_tb.fir16.end_s[9] top.top_enable_tb.fir16.end_s[8] top.top_enable_tb.fir16.end_s[7] top.top_enable_tb.fir16.end_s[6] top.top_enable_tb.fir16.end_s[5] top.top_enable_tb.fir16.end_s[4] top.top_enable_tb.fir16.end_s[3] top.top_enable_tb.fir16.end_s[2] top.top_enable_tb.fir16.end_s[1] top.top_enable_tb.fir16.end_s[0]
+#{top.top_enable_tb.fir16.end_next_s[129:0]} top.top_enable_tb.fir16.end_next_s[12] top.top_enable_tb.fir16.end_next_s[11] top.top_enable_tb.fir16.end_next_s[10] top.top_enable_tb.fir16.end_next_s[9] top.top_enable_tb.fir16.end_next_s[8] top.top_enable_tb.fir16.end_next_s[7] top.top_enable_tb.fir16.end_next_s[6] top.top_enable_tb.fir16.end_next_s[5] top.top_enable_tb.fir16.end_next_s[4] top.top_enable_tb.fir16.end_next_s[3] top.top_enable_tb.fir16.end_next_s[2] top.top_enable_tb.fir16.end_next_s[1] top.top_enable_tb.fir16.end_next_s[0]
+@200
+-inst0
+@28
+top.top_enable_tb.fir16[0].logic_inst.data_en_i
+@29
+top.top_enable_tb.fir16[0].logic_inst.ready_i
+@28
+top.top_enable_tb.fir16[0].logic_inst.end_i
+@420
+#{top.top_enable_tb.fir16[0].logic_inst.data_i[15:0]} top.top_enable_tb.fir16[0].logic_inst.data_i[15] top.top_enable_tb.fir16[0].logic_inst.data_i[14] top.top_enable_tb.fir16[0].logic_inst.data_i[13] top.top_enable_tb.fir16[0].logic_inst.data_i[12] top.top_enable_tb.fir16[0].logic_inst.data_i[11] top.top_enable_tb.fir16[0].logic_inst.data_i[10] top.top_enable_tb.fir16[0].logic_inst.data_i[9] top.top_enable_tb.fir16[0].logic_inst.data_i[8] top.top_enable_tb.fir16[0].logic_inst.data_i[7] top.top_enable_tb.fir16[0].logic_inst.data_i[6] top.top_enable_tb.fir16[0].logic_inst.data_i[5] top.top_enable_tb.fir16[0].logic_inst.data_i[4] top.top_enable_tb.fir16[0].logic_inst.data_i[3] top.top_enable_tb.fir16[0].logic_inst.data_i[2] top.top_enable_tb.fir16[0].logic_inst.data_i[1] top.top_enable_tb.fir16[0].logic_inst.data_i[0]
+#{top.top_enable_tb.fir16[0].logic_inst.coeff_i[15:0]} top.top_enable_tb.fir16[0].logic_inst.coeff_i[15] top.top_enable_tb.fir16[0].logic_inst.coeff_i[14] top.top_enable_tb.fir16[0].logic_inst.coeff_i[13] top.top_enable_tb.fir16[0].logic_inst.coeff_i[12] top.top_enable_tb.fir16[0].logic_inst.coeff_i[11] top.top_enable_tb.fir16[0].logic_inst.coeff_i[10] top.top_enable_tb.fir16[0].logic_inst.coeff_i[9] top.top_enable_tb.fir16[0].logic_inst.coeff_i[8] top.top_enable_tb.fir16[0].logic_inst.coeff_i[7] top.top_enable_tb.fir16[0].logic_inst.coeff_i[6] top.top_enable_tb.fir16[0].logic_inst.coeff_i[5] top.top_enable_tb.fir16[0].logic_inst.coeff_i[4] top.top_enable_tb.fir16[0].logic_inst.coeff_i[3] top.top_enable_tb.fir16[0].logic_inst.coeff_i[2] top.top_enable_tb.fir16[0].logic_inst.coeff_i[1] top.top_enable_tb.fir16[0].logic_inst.coeff_i[0]
+@28
+top.top_enable_tb.fir16[0].logic_inst.ready_s
+@420
+#{top.top_enable_tb.fir16[0].logic_inst.data_s[15:0]} top.top_enable_tb.fir16[0].logic_inst.data_s[15] top.top_enable_tb.fir16[0].logic_inst.data_s[14] top.top_enable_tb.fir16[0].logic_inst.data_s[13] top.top_enable_tb.fir16[0].logic_inst.data_s[12] top.top_enable_tb.fir16[0].logic_inst.data_s[11] top.top_enable_tb.fir16[0].logic_inst.data_s[10] top.top_enable_tb.fir16[0].logic_inst.data_s[9] top.top_enable_tb.fir16[0].logic_inst.data_s[8] top.top_enable_tb.fir16[0].logic_inst.data_s[7] top.top_enable_tb.fir16[0].logic_inst.data_s[6] top.top_enable_tb.fir16[0].logic_inst.data_s[5] top.top_enable_tb.fir16[0].logic_inst.data_s[4] top.top_enable_tb.fir16[0].logic_inst.data_s[3] top.top_enable_tb.fir16[0].logic_inst.data_s[2] top.top_enable_tb.fir16[0].logic_inst.data_s[1] top.top_enable_tb.fir16[0].logic_inst.data_s[0]
+#{top.top_enable_tb.fir16[0].logic_inst.mult_res[31:0]} top.top_enable_tb.fir16[0].logic_inst.mult_res[31] top.top_enable_tb.fir16[0].logic_inst.mult_res[30] top.top_enable_tb.fir16[0].logic_inst.mult_res[29] top.top_enable_tb.fir16[0].logic_inst.mult_res[28] top.top_enable_tb.fir16[0].logic_inst.mult_res[27] top.top_enable_tb.fir16[0].logic_inst.mult_res[26] top.top_enable_tb.fir16[0].logic_inst.mult_res[25] top.top_enable_tb.fir16[0].logic_inst.mult_res[24] top.top_enable_tb.fir16[0].logic_inst.mult_res[23] top.top_enable_tb.fir16[0].logic_inst.mult_res[22] top.top_enable_tb.fir16[0].logic_inst.mult_res[21] top.top_enable_tb.fir16[0].logic_inst.mult_res[20] top.top_enable_tb.fir16[0].logic_inst.mult_res[19] top.top_enable_tb.fir16[0].logic_inst.mult_res[18] top.top_enable_tb.fir16[0].logic_inst.mult_res[17] top.top_enable_tb.fir16[0].logic_inst.mult_res[16] top.top_enable_tb.fir16[0].logic_inst.mult_res[15] top.top_enable_tb.fir16[0].logic_inst.mult_res[14] top.top_enable_tb.fir16[0].logic_inst.mult_res[13] top.top_enable_tb.fir16[0].logic_inst.mult_res[12] top.top_enable_tb.fir16[0].logic_inst.mult_res[11] top.top_enable_tb.fir16[0].logic_inst.mult_res[10] top.top_enable_tb.fir16[0].logic_inst.mult_res[9] top.top_enable_tb.fir16[0].logic_inst.mult_res[8] top.top_enable_tb.fir16[0].logic_inst.mult_res[7] top.top_enable_tb.fir16[0].logic_inst.mult_res[6] top.top_enable_tb.fir16[0].logic_inst.mult_res[5] top.top_enable_tb.fir16[0].logic_inst.mult_res[4] top.top_enable_tb.fir16[0].logic_inst.mult_res[3] top.top_enable_tb.fir16[0].logic_inst.mult_res[2] top.top_enable_tb.fir16[0].logic_inst.mult_res[1] top.top_enable_tb.fir16[0].logic_inst.mult_res[0]
+#{top.top_enable_tb.fir16[0].logic_inst.res_next_s[31:0]} top.top_enable_tb.fir16[0].logic_inst.res_next_s[31] top.top_enable_tb.fir16[0].logic_inst.res_next_s[30] top.top_enable_tb.fir16[0].logic_inst.res_next_s[29] top.top_enable_tb.fir16[0].logic_inst.res_next_s[28] top.top_enable_tb.fir16[0].logic_inst.res_next_s[27] top.top_enable_tb.fir16[0].logic_inst.res_next_s[26] top.top_enable_tb.fir16[0].logic_inst.res_next_s[25] top.top_enable_tb.fir16[0].logic_inst.res_next_s[24] top.top_enable_tb.fir16[0].logic_inst.res_next_s[23] top.top_enable_tb.fir16[0].logic_inst.res_next_s[22] top.top_enable_tb.fir16[0].logic_inst.res_next_s[21] top.top_enable_tb.fir16[0].logic_inst.res_next_s[20] top.top_enable_tb.fir16[0].logic_inst.res_next_s[19] top.top_enable_tb.fir16[0].logic_inst.res_next_s[18] top.top_enable_tb.fir16[0].logic_inst.res_next_s[17] top.top_enable_tb.fir16[0].logic_inst.res_next_s[16] top.top_enable_tb.fir16[0].logic_inst.res_next_s[15] top.top_enable_tb.fir16[0].logic_inst.res_next_s[14] top.top_enable_tb.fir16[0].logic_inst.res_next_s[13] top.top_enable_tb.fir16[0].logic_inst.res_next_s[12] top.top_enable_tb.fir16[0].logic_inst.res_next_s[11] top.top_enable_tb.fir16[0].logic_inst.res_next_s[10] top.top_enable_tb.fir16[0].logic_inst.res_next_s[9] top.top_enable_tb.fir16[0].logic_inst.res_next_s[8] top.top_enable_tb.fir16[0].logic_inst.res_next_s[7] top.top_enable_tb.fir16[0].logic_inst.res_next_s[6] top.top_enable_tb.fir16[0].logic_inst.res_next_s[5] top.top_enable_tb.fir16[0].logic_inst.res_next_s[4] top.top_enable_tb.fir16[0].logic_inst.res_next_s[3] top.top_enable_tb.fir16[0].logic_inst.res_next_s[2] top.top_enable_tb.fir16[0].logic_inst.res_next_s[1] top.top_enable_tb.fir16[0].logic_inst.res_next_s[0]
+#{top.top_enable_tb.fir16[0].logic_inst.res_s[31:0]} top.top_enable_tb.fir16[0].logic_inst.res_s[31] top.top_enable_tb.fir16[0].logic_inst.res_s[30] top.top_enable_tb.fir16[0].logic_inst.res_s[29] top.top_enable_tb.fir16[0].logic_inst.res_s[28] top.top_enable_tb.fir16[0].logic_inst.res_s[27] top.top_enable_tb.fir16[0].logic_inst.res_s[26] top.top_enable_tb.fir16[0].logic_inst.res_s[25] top.top_enable_tb.fir16[0].logic_inst.res_s[24] top.top_enable_tb.fir16[0].logic_inst.res_s[23] top.top_enable_tb.fir16[0].logic_inst.res_s[22] top.top_enable_tb.fir16[0].logic_inst.res_s[21] top.top_enable_tb.fir16[0].logic_inst.res_s[20] top.top_enable_tb.fir16[0].logic_inst.res_s[19] top.top_enable_tb.fir16[0].logic_inst.res_s[18] top.top_enable_tb.fir16[0].logic_inst.res_s[17] top.top_enable_tb.fir16[0].logic_inst.res_s[16] top.top_enable_tb.fir16[0].logic_inst.res_s[15] top.top_enable_tb.fir16[0].logic_inst.res_s[14] top.top_enable_tb.fir16[0].logic_inst.res_s[13] top.top_enable_tb.fir16[0].logic_inst.res_s[12] top.top_enable_tb.fir16[0].logic_inst.res_s[11] top.top_enable_tb.fir16[0].logic_inst.res_s[10] top.top_enable_tb.fir16[0].logic_inst.res_s[9] top.top_enable_tb.fir16[0].logic_inst.res_s[8] top.top_enable_tb.fir16[0].logic_inst.res_s[7] top.top_enable_tb.fir16[0].logic_inst.res_s[6] top.top_enable_tb.fir16[0].logic_inst.res_s[5] top.top_enable_tb.fir16[0].logic_inst.res_s[4] top.top_enable_tb.fir16[0].logic_inst.res_s[3] top.top_enable_tb.fir16[0].logic_inst.res_s[2] top.top_enable_tb.fir16[0].logic_inst.res_s[1] top.top_enable_tb.fir16[0].logic_inst.res_s[0]
+@28
+top.top_enable_tb.fir16[0].logic_inst.data_en_o
+@420
+#{top.top_enable_tb.fir16[0].logic_inst.final_res_s[31:0]} top.top_enable_tb.fir16[0].logic_inst.final_res_s[31] top.top_enable_tb.fir16[0].logic_inst.final_res_s[30] top.top_enable_tb.fir16[0].logic_inst.final_res_s[29] top.top_enable_tb.fir16[0].logic_inst.final_res_s[28] top.top_enable_tb.fir16[0].logic_inst.final_res_s[27] top.top_enable_tb.fir16[0].logic_inst.final_res_s[26] top.top_enable_tb.fir16[0].logic_inst.final_res_s[25] top.top_enable_tb.fir16[0].logic_inst.final_res_s[24] top.top_enable_tb.fir16[0].logic_inst.final_res_s[23] top.top_enable_tb.fir16[0].logic_inst.final_res_s[22] top.top_enable_tb.fir16[0].logic_inst.final_res_s[21] top.top_enable_tb.fir16[0].logic_inst.final_res_s[20] top.top_enable_tb.fir16[0].logic_inst.final_res_s[19] top.top_enable_tb.fir16[0].logic_inst.final_res_s[18] top.top_enable_tb.fir16[0].logic_inst.final_res_s[17] top.top_enable_tb.fir16[0].logic_inst.final_res_s[16] top.top_enable_tb.fir16[0].logic_inst.final_res_s[15] top.top_enable_tb.fir16[0].logic_inst.final_res_s[14] top.top_enable_tb.fir16[0].logic_inst.final_res_s[13] top.top_enable_tb.fir16[0].logic_inst.final_res_s[12] top.top_enable_tb.fir16[0].logic_inst.final_res_s[11] top.top_enable_tb.fir16[0].logic_inst.final_res_s[10] top.top_enable_tb.fir16[0].logic_inst.final_res_s[9] top.top_enable_tb.fir16[0].logic_inst.final_res_s[8] top.top_enable_tb.fir16[0].logic_inst.final_res_s[7] top.top_enable_tb.fir16[0].logic_inst.final_res_s[6] top.top_enable_tb.fir16[0].logic_inst.final_res_s[5] top.top_enable_tb.fir16[0].logic_inst.final_res_s[4] top.top_enable_tb.fir16[0].logic_inst.final_res_s[3] top.top_enable_tb.fir16[0].logic_inst.final_res_s[2] top.top_enable_tb.fir16[0].logic_inst.final_res_s[1] top.top_enable_tb.fir16[0].logic_inst.final_res_s[0]
+@200
+-inst1
+@28
+top.top_enable_tb.fir16[1].logic_inst.data_en_i
+top.top_enable_tb.fir16[1].logic_inst.ready_i
+top.top_enable_tb.fir16[1].logic_inst.end_i
+@420
+#{top.top_enable_tb.fir16[1].logic_inst.data_i[15:0]} top.top_enable_tb.fir16[1].logic_inst.data_i[15] top.top_enable_tb.fir16[1].logic_inst.data_i[14] top.top_enable_tb.fir16[1].logic_inst.data_i[13] top.top_enable_tb.fir16[1].logic_inst.data_i[12] top.top_enable_tb.fir16[1].logic_inst.data_i[11] top.top_enable_tb.fir16[1].logic_inst.data_i[10] top.top_enable_tb.fir16[1].logic_inst.data_i[9] top.top_enable_tb.fir16[1].logic_inst.data_i[8] top.top_enable_tb.fir16[1].logic_inst.data_i[7] top.top_enable_tb.fir16[1].logic_inst.data_i[6] top.top_enable_tb.fir16[1].logic_inst.data_i[5] top.top_enable_tb.fir16[1].logic_inst.data_i[4] top.top_enable_tb.fir16[1].logic_inst.data_i[3] top.top_enable_tb.fir16[1].logic_inst.data_i[2] top.top_enable_tb.fir16[1].logic_inst.data_i[1] top.top_enable_tb.fir16[1].logic_inst.data_i[0]
+#{top.top_enable_tb.fir16[1].logic_inst.coeff_i[15:0]} top.top_enable_tb.fir16[1].logic_inst.coeff_i[15] top.top_enable_tb.fir16[1].logic_inst.coeff_i[14] top.top_enable_tb.fir16[1].logic_inst.coeff_i[13] top.top_enable_tb.fir16[1].logic_inst.coeff_i[12] top.top_enable_tb.fir16[1].logic_inst.coeff_i[11] top.top_enable_tb.fir16[1].logic_inst.coeff_i[10] top.top_enable_tb.fir16[1].logic_inst.coeff_i[9] top.top_enable_tb.fir16[1].logic_inst.coeff_i[8] top.top_enable_tb.fir16[1].logic_inst.coeff_i[7] top.top_enable_tb.fir16[1].logic_inst.coeff_i[6] top.top_enable_tb.fir16[1].logic_inst.coeff_i[5] top.top_enable_tb.fir16[1].logic_inst.coeff_i[4] top.top_enable_tb.fir16[1].logic_inst.coeff_i[3] top.top_enable_tb.fir16[1].logic_inst.coeff_i[2] top.top_enable_tb.fir16[1].logic_inst.coeff_i[1] top.top_enable_tb.fir16[1].logic_inst.coeff_i[0]
+@28
+top.top_enable_tb.fir16[1].logic_inst.ready_s
+@420
+#{top.top_enable_tb.fir16[1].logic_inst.data_s[15:0]} top.top_enable_tb.fir16[1].logic_inst.data_s[15] top.top_enable_tb.fir16[1].logic_inst.data_s[14] top.top_enable_tb.fir16[1].logic_inst.data_s[13] top.top_enable_tb.fir16[1].logic_inst.data_s[12] top.top_enable_tb.fir16[1].logic_inst.data_s[11] top.top_enable_tb.fir16[1].logic_inst.data_s[10] top.top_enable_tb.fir16[1].logic_inst.data_s[9] top.top_enable_tb.fir16[1].logic_inst.data_s[8] top.top_enable_tb.fir16[1].logic_inst.data_s[7] top.top_enable_tb.fir16[1].logic_inst.data_s[6] top.top_enable_tb.fir16[1].logic_inst.data_s[5] top.top_enable_tb.fir16[1].logic_inst.data_s[4] top.top_enable_tb.fir16[1].logic_inst.data_s[3] top.top_enable_tb.fir16[1].logic_inst.data_s[2] top.top_enable_tb.fir16[1].logic_inst.data_s[1] top.top_enable_tb.fir16[1].logic_inst.data_s[0]
+#{top.top_enable_tb.fir16[1].logic_inst.mult_res[31:0]} top.top_enable_tb.fir16[1].logic_inst.mult_res[31] top.top_enable_tb.fir16[1].logic_inst.mult_res[30] top.top_enable_tb.fir16[1].logic_inst.mult_res[29] top.top_enable_tb.fir16[1].logic_inst.mult_res[28] top.top_enable_tb.fir16[1].logic_inst.mult_res[27] top.top_enable_tb.fir16[1].logic_inst.mult_res[26] top.top_enable_tb.fir16[1].logic_inst.mult_res[25] top.top_enable_tb.fir16[1].logic_inst.mult_res[24] top.top_enable_tb.fir16[1].logic_inst.mult_res[23] top.top_enable_tb.fir16[1].logic_inst.mult_res[22] top.top_enable_tb.fir16[1].logic_inst.mult_res[21] top.top_enable_tb.fir16[1].logic_inst.mult_res[20] top.top_enable_tb.fir16[1].logic_inst.mult_res[19] top.top_enable_tb.fir16[1].logic_inst.mult_res[18] top.top_enable_tb.fir16[1].logic_inst.mult_res[17] top.top_enable_tb.fir16[1].logic_inst.mult_res[16] top.top_enable_tb.fir16[1].logic_inst.mult_res[15] top.top_enable_tb.fir16[1].logic_inst.mult_res[14] top.top_enable_tb.fir16[1].logic_inst.mult_res[13] top.top_enable_tb.fir16[1].logic_inst.mult_res[12] top.top_enable_tb.fir16[1].logic_inst.mult_res[11] top.top_enable_tb.fir16[1].logic_inst.mult_res[10] top.top_enable_tb.fir16[1].logic_inst.mult_res[9] top.top_enable_tb.fir16[1].logic_inst.mult_res[8] top.top_enable_tb.fir16[1].logic_inst.mult_res[7] top.top_enable_tb.fir16[1].logic_inst.mult_res[6] top.top_enable_tb.fir16[1].logic_inst.mult_res[5] top.top_enable_tb.fir16[1].logic_inst.mult_res[4] top.top_enable_tb.fir16[1].logic_inst.mult_res[3] top.top_enable_tb.fir16[1].logic_inst.mult_res[2] top.top_enable_tb.fir16[1].logic_inst.mult_res[1] top.top_enable_tb.fir16[1].logic_inst.mult_res[0]
+#{top.top_enable_tb.fir16[1].logic_inst.res_next_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.res_next_s[31] top.top_enable_tb.fir16[1].logic_inst.res_next_s[30] top.top_enable_tb.fir16[1].logic_inst.res_next_s[29] top.top_enable_tb.fir16[1].logic_inst.res_next_s[28] top.top_enable_tb.fir16[1].logic_inst.res_next_s[27] top.top_enable_tb.fir16[1].logic_inst.res_next_s[26] top.top_enable_tb.fir16[1].logic_inst.res_next_s[25] top.top_enable_tb.fir16[1].logic_inst.res_next_s[24] top.top_enable_tb.fir16[1].logic_inst.res_next_s[23] top.top_enable_tb.fir16[1].logic_inst.res_next_s[22] top.top_enable_tb.fir16[1].logic_inst.res_next_s[21] top.top_enable_tb.fir16[1].logic_inst.res_next_s[20] top.top_enable_tb.fir16[1].logic_inst.res_next_s[19] top.top_enable_tb.fir16[1].logic_inst.res_next_s[18] top.top_enable_tb.fir16[1].logic_inst.res_next_s[17] top.top_enable_tb.fir16[1].logic_inst.res_next_s[16] top.top_enable_tb.fir16[1].logic_inst.res_next_s[15] top.top_enable_tb.fir16[1].logic_inst.res_next_s[14] top.top_enable_tb.fir16[1].logic_inst.res_next_s[13] top.top_enable_tb.fir16[1].logic_inst.res_next_s[12] top.top_enable_tb.fir16[1].logic_inst.res_next_s[11] top.top_enable_tb.fir16[1].logic_inst.res_next_s[10] top.top_enable_tb.fir16[1].logic_inst.res_next_s[9] top.top_enable_tb.fir16[1].logic_inst.res_next_s[8] top.top_enable_tb.fir16[1].logic_inst.res_next_s[7] top.top_enable_tb.fir16[1].logic_inst.res_next_s[6] top.top_enable_tb.fir16[1].logic_inst.res_next_s[5] top.top_enable_tb.fir16[1].logic_inst.res_next_s[4] top.top_enable_tb.fir16[1].logic_inst.res_next_s[3] top.top_enable_tb.fir16[1].logic_inst.res_next_s[2] top.top_enable_tb.fir16[1].logic_inst.res_next_s[1] top.top_enable_tb.fir16[1].logic_inst.res_next_s[0]
+#{top.top_enable_tb.fir16[1].logic_inst.res_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.res_s[31] top.top_enable_tb.fir16[1].logic_inst.res_s[30] top.top_enable_tb.fir16[1].logic_inst.res_s[29] top.top_enable_tb.fir16[1].logic_inst.res_s[28] top.top_enable_tb.fir16[1].logic_inst.res_s[27] top.top_enable_tb.fir16[1].logic_inst.res_s[26] top.top_enable_tb.fir16[1].logic_inst.res_s[25] top.top_enable_tb.fir16[1].logic_inst.res_s[24] top.top_enable_tb.fir16[1].logic_inst.res_s[23] top.top_enable_tb.fir16[1].logic_inst.res_s[22] top.top_enable_tb.fir16[1].logic_inst.res_s[21] top.top_enable_tb.fir16[1].logic_inst.res_s[20] top.top_enable_tb.fir16[1].logic_inst.res_s[19] top.top_enable_tb.fir16[1].logic_inst.res_s[18] top.top_enable_tb.fir16[1].logic_inst.res_s[17] top.top_enable_tb.fir16[1].logic_inst.res_s[16] top.top_enable_tb.fir16[1].logic_inst.res_s[15] top.top_enable_tb.fir16[1].logic_inst.res_s[14] top.top_enable_tb.fir16[1].logic_inst.res_s[13] top.top_enable_tb.fir16[1].logic_inst.res_s[12] top.top_enable_tb.fir16[1].logic_inst.res_s[11] top.top_enable_tb.fir16[1].logic_inst.res_s[10] top.top_enable_tb.fir16[1].logic_inst.res_s[9] top.top_enable_tb.fir16[1].logic_inst.res_s[8] top.top_enable_tb.fir16[1].logic_inst.res_s[7] top.top_enable_tb.fir16[1].logic_inst.res_s[6] top.top_enable_tb.fir16[1].logic_inst.res_s[5] top.top_enable_tb.fir16[1].logic_inst.res_s[4] top.top_enable_tb.fir16[1].logic_inst.res_s[3] top.top_enable_tb.fir16[1].logic_inst.res_s[2] top.top_enable_tb.fir16[1].logic_inst.res_s[1] top.top_enable_tb.fir16[1].logic_inst.res_s[0]
+#{top.top_enable_tb.fir16[1].logic_inst.final_res_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.final_res_s[31] top.top_enable_tb.fir16[1].logic_inst.final_res_s[30] top.top_enable_tb.fir16[1].logic_inst.final_res_s[29] top.top_enable_tb.fir16[1].logic_inst.final_res_s[28] top.top_enable_tb.fir16[1].logic_inst.final_res_s[27] top.top_enable_tb.fir16[1].logic_inst.final_res_s[26] top.top_enable_tb.fir16[1].logic_inst.final_res_s[25] top.top_enable_tb.fir16[1].logic_inst.final_res_s[24] top.top_enable_tb.fir16[1].logic_inst.final_res_s[23] top.top_enable_tb.fir16[1].logic_inst.final_res_s[22] top.top_enable_tb.fir16[1].logic_inst.final_res_s[21] top.top_enable_tb.fir16[1].logic_inst.final_res_s[20] top.top_enable_tb.fir16[1].logic_inst.final_res_s[19] top.top_enable_tb.fir16[1].logic_inst.final_res_s[18] top.top_enable_tb.fir16[1].logic_inst.final_res_s[17] top.top_enable_tb.fir16[1].logic_inst.final_res_s[16] top.top_enable_tb.fir16[1].logic_inst.final_res_s[15] top.top_enable_tb.fir16[1].logic_inst.final_res_s[14] top.top_enable_tb.fir16[1].logic_inst.final_res_s[13] top.top_enable_tb.fir16[1].logic_inst.final_res_s[12] top.top_enable_tb.fir16[1].logic_inst.final_res_s[11] top.top_enable_tb.fir16[1].logic_inst.final_res_s[10] top.top_enable_tb.fir16[1].logic_inst.final_res_s[9] top.top_enable_tb.fir16[1].logic_inst.final_res_s[8] top.top_enable_tb.fir16[1].logic_inst.final_res_s[7] top.top_enable_tb.fir16[1].logic_inst.final_res_s[6] top.top_enable_tb.fir16[1].logic_inst.final_res_s[5] top.top_enable_tb.fir16[1].logic_inst.final_res_s[4] top.top_enable_tb.fir16[1].logic_inst.final_res_s[3] top.top_enable_tb.fir16[1].logic_inst.final_res_s[2] top.top_enable_tb.fir16[1].logic_inst.final_res_s[1] top.top_enable_tb.fir16[1].logic_inst.final_res_s[0]
+@28
+top.top_enable_tb.fir16[1].logic_inst.data_en_o
+@420
+#{top.top_enable_tb.fir16[1].logic_inst.data_o[31:0]} top.top_enable_tb.fir16[1].logic_inst.data_o[31] top.top_enable_tb.fir16[1].logic_inst.data_o[30] top.top_enable_tb.fir16[1].logic_inst.data_o[29] top.top_enable_tb.fir16[1].logic_inst.data_o[28] top.top_enable_tb.fir16[1].logic_inst.data_o[27] top.top_enable_tb.fir16[1].logic_inst.data_o[26] top.top_enable_tb.fir16[1].logic_inst.data_o[25] top.top_enable_tb.fir16[1].logic_inst.data_o[24] top.top_enable_tb.fir16[1].logic_inst.data_o[23] top.top_enable_tb.fir16[1].logic_inst.data_o[22] top.top_enable_tb.fir16[1].logic_inst.data_o[21] top.top_enable_tb.fir16[1].logic_inst.data_o[20] top.top_enable_tb.fir16[1].logic_inst.data_o[19] top.top_enable_tb.fir16[1].logic_inst.data_o[18] top.top_enable_tb.fir16[1].logic_inst.data_o[17] top.top_enable_tb.fir16[1].logic_inst.data_o[16] top.top_enable_tb.fir16[1].logic_inst.data_o[15] top.top_enable_tb.fir16[1].logic_inst.data_o[14] top.top_enable_tb.fir16[1].logic_inst.data_o[13] top.top_enable_tb.fir16[1].logic_inst.data_o[12] top.top_enable_tb.fir16[1].logic_inst.data_o[11] top.top_enable_tb.fir16[1].logic_inst.data_o[10] top.top_enable_tb.fir16[1].logic_inst.data_o[9] top.top_enable_tb.fir16[1].logic_inst.data_o[8] top.top_enable_tb.fir16[1].logic_inst.data_o[7] top.top_enable_tb.fir16[1].logic_inst.data_o[6] top.top_enable_tb.fir16[1].logic_inst.data_o[5] top.top_enable_tb.fir16[1].logic_inst.data_o[4] top.top_enable_tb.fir16[1].logic_inst.data_o[3] top.top_enable_tb.fir16[1].logic_inst.data_o[2] top.top_enable_tb.fir16[1].logic_inst.data_o[1] top.top_enable_tb.fir16[1].logic_inst.data_o[0]
+@200
+-inst2
+@28
+top.top_enable_tb.fir16[2].logic_inst.data_en_i
+top.top_enable_tb.fir16[2].logic_inst.ready_i
+top.top_enable_tb.fir16[2].logic_inst.end_i
+@420
+#{top.top_enable_tb.fir16[2].logic_inst.data_i[15:0]} top.top_enable_tb.fir16[2].logic_inst.data_i[15] top.top_enable_tb.fir16[2].logic_inst.data_i[14] top.top_enable_tb.fir16[2].logic_inst.data_i[13] top.top_enable_tb.fir16[2].logic_inst.data_i[12] top.top_enable_tb.fir16[2].logic_inst.data_i[11] top.top_enable_tb.fir16[2].logic_inst.data_i[10] top.top_enable_tb.fir16[2].logic_inst.data_i[9] top.top_enable_tb.fir16[2].logic_inst.data_i[8] top.top_enable_tb.fir16[2].logic_inst.data_i[7] top.top_enable_tb.fir16[2].logic_inst.data_i[6] top.top_enable_tb.fir16[2].logic_inst.data_i[5] top.top_enable_tb.fir16[2].logic_inst.data_i[4] top.top_enable_tb.fir16[2].logic_inst.data_i[3] top.top_enable_tb.fir16[2].logic_inst.data_i[2] top.top_enable_tb.fir16[2].logic_inst.data_i[1] top.top_enable_tb.fir16[2].logic_inst.data_i[0]
+#{top.top_enable_tb.fir16[2].logic_inst.coeff_i[15:0]} top.top_enable_tb.fir16[2].logic_inst.coeff_i[15] top.top_enable_tb.fir16[2].logic_inst.coeff_i[14] top.top_enable_tb.fir16[2].logic_inst.coeff_i[13] top.top_enable_tb.fir16[2].logic_inst.coeff_i[12] top.top_enable_tb.fir16[2].logic_inst.coeff_i[11] top.top_enable_tb.fir16[2].logic_inst.coeff_i[10] top.top_enable_tb.fir16[2].logic_inst.coeff_i[9] top.top_enable_tb.fir16[2].logic_inst.coeff_i[8] top.top_enable_tb.fir16[2].logic_inst.coeff_i[7] top.top_enable_tb.fir16[2].logic_inst.coeff_i[6] top.top_enable_tb.fir16[2].logic_inst.coeff_i[5] top.top_enable_tb.fir16[2].logic_inst.coeff_i[4] top.top_enable_tb.fir16[2].logic_inst.coeff_i[3] top.top_enable_tb.fir16[2].logic_inst.coeff_i[2] top.top_enable_tb.fir16[2].logic_inst.coeff_i[1] top.top_enable_tb.fir16[2].logic_inst.coeff_i[0]
+top.top_enable_tb.fir16[2].logic_inst.ready_s
+#{top.top_enable_tb.fir16[2].logic_inst.mult_res[31:0]} top.top_enable_tb.fir16[2].logic_inst.mult_res[31] top.top_enable_tb.fir16[2].logic_inst.mult_res[30] top.top_enable_tb.fir16[2].logic_inst.mult_res[29] top.top_enable_tb.fir16[2].logic_inst.mult_res[28] top.top_enable_tb.fir16[2].logic_inst.mult_res[27] top.top_enable_tb.fir16[2].logic_inst.mult_res[26] top.top_enable_tb.fir16[2].logic_inst.mult_res[25] top.top_enable_tb.fir16[2].logic_inst.mult_res[24] top.top_enable_tb.fir16[2].logic_inst.mult_res[23] top.top_enable_tb.fir16[2].logic_inst.mult_res[22] top.top_enable_tb.fir16[2].logic_inst.mult_res[21] top.top_enable_tb.fir16[2].logic_inst.mult_res[20] top.top_enable_tb.fir16[2].logic_inst.mult_res[19] top.top_enable_tb.fir16[2].logic_inst.mult_res[18] top.top_enable_tb.fir16[2].logic_inst.mult_res[17] top.top_enable_tb.fir16[2].logic_inst.mult_res[16] top.top_enable_tb.fir16[2].logic_inst.mult_res[15] top.top_enable_tb.fir16[2].logic_inst.mult_res[14] top.top_enable_tb.fir16[2].logic_inst.mult_res[13] top.top_enable_tb.fir16[2].logic_inst.mult_res[12] top.top_enable_tb.fir16[2].logic_inst.mult_res[11] top.top_enable_tb.fir16[2].logic_inst.mult_res[10] top.top_enable_tb.fir16[2].logic_inst.mult_res[9] top.top_enable_tb.fir16[2].logic_inst.mult_res[8] top.top_enable_tb.fir16[2].logic_inst.mult_res[7] top.top_enable_tb.fir16[2].logic_inst.mult_res[6] top.top_enable_tb.fir16[2].logic_inst.mult_res[5] top.top_enable_tb.fir16[2].logic_inst.mult_res[4] top.top_enable_tb.fir16[2].logic_inst.mult_res[3] top.top_enable_tb.fir16[2].logic_inst.mult_res[2] top.top_enable_tb.fir16[2].logic_inst.mult_res[1] top.top_enable_tb.fir16[2].logic_inst.mult_res[0]
+#{top.top_enable_tb.fir16[2].logic_inst.res_next_s[31:0]} top.top_enable_tb.fir16[2].logic_inst.res_next_s[31] top.top_enable_tb.fir16[2].logic_inst.res_next_s[30] top.top_enable_tb.fir16[2].logic_inst.res_next_s[29] top.top_enable_tb.fir16[2].logic_inst.res_next_s[28] top.top_enable_tb.fir16[2].logic_inst.res_next_s[27] top.top_enable_tb.fir16[2].logic_inst.res_next_s[26] top.top_enable_tb.fir16[2].logic_inst.res_next_s[25] top.top_enable_tb.fir16[2].logic_inst.res_next_s[24] top.top_enable_tb.fir16[2].logic_inst.res_next_s[23] top.top_enable_tb.fir16[2].logic_inst.res_next_s[22] top.top_enable_tb.fir16[2].logic_inst.res_next_s[21] top.top_enable_tb.fir16[2].logic_inst.res_next_s[20] top.top_enable_tb.fir16[2].logic_inst.res_next_s[19] top.top_enable_tb.fir16[2].logic_inst.res_next_s[18] top.top_enable_tb.fir16[2].logic_inst.res_next_s[17] top.top_enable_tb.fir16[2].logic_inst.res_next_s[16] top.top_enable_tb.fir16[2].logic_inst.res_next_s[15] top.top_enable_tb.fir16[2].logic_inst.res_next_s[14] top.top_enable_tb.fir16[2].logic_inst.res_next_s[13] top.top_enable_tb.fir16[2].logic_inst.res_next_s[12] top.top_enable_tb.fir16[2].logic_inst.res_next_s[11] top.top_enable_tb.fir16[2].logic_inst.res_next_s[10] top.top_enable_tb.fir16[2].logic_inst.res_next_s[9] top.top_enable_tb.fir16[2].logic_inst.res_next_s[8] top.top_enable_tb.fir16[2].logic_inst.res_next_s[7] top.top_enable_tb.fir16[2].logic_inst.res_next_s[6] top.top_enable_tb.fir16[2].logic_inst.res_next_s[5] top.top_enable_tb.fir16[2].logic_inst.res_next_s[4] top.top_enable_tb.fir16[2].logic_inst.res_next_s[3] top.top_enable_tb.fir16[2].logic_inst.res_next_s[2] top.top_enable_tb.fir16[2].logic_inst.res_next_s[1] top.top_enable_tb.fir16[2].logic_inst.res_next_s[0]
+#{top.top_enable_tb.fir16[2].logic_inst.res_s[31:0]} top.top_enable_tb.fir16[2].logic_inst.res_s[31] top.top_enable_tb.fir16[2].logic_inst.res_s[30] top.top_enable_tb.fir16[2].logic_inst.res_s[29] top.top_enable_tb.fir16[2].logic_inst.res_s[28] top.top_enable_tb.fir16[2].logic_inst.res_s[27] top.top_enable_tb.fir16[2].logic_inst.res_s[26] top.top_enable_tb.fir16[2].logic_inst.res_s[25] top.top_enable_tb.fir16[2].logic_inst.res_s[24] top.top_enable_tb.fir16[2].logic_inst.res_s[23] top.top_enable_tb.fir16[2].logic_inst.res_s[22] top.top_enable_tb.fir16[2].logic_inst.res_s[21] top.top_enable_tb.fir16[2].logic_inst.res_s[20] top.top_enable_tb.fir16[2].logic_inst.res_s[19] top.top_enable_tb.fir16[2].logic_inst.res_s[18] top.top_enable_tb.fir16[2].logic_inst.res_s[17] top.top_enable_tb.fir16[2].logic_inst.res_s[16] top.top_enable_tb.fir16[2].logic_inst.res_s[15] top.top_enable_tb.fir16[2].logic_inst.res_s[14] top.top_enable_tb.fir16[2].logic_inst.res_s[13] top.top_enable_tb.fir16[2].logic_inst.res_s[12] top.top_enable_tb.fir16[2].logic_inst.res_s[11] top.top_enable_tb.fir16[2].logic_inst.res_s[10] top.top_enable_tb.fir16[2].logic_inst.res_s[9] top.top_enable_tb.fir16[2].logic_inst.res_s[8] top.top_enable_tb.fir16[2].logic_inst.res_s[7] top.top_enable_tb.fir16[2].logic_inst.res_s[6] top.top_enable_tb.fir16[2].logic_inst.res_s[5] top.top_enable_tb.fir16[2].logic_inst.res_s[4] top.top_enable_tb.fir16[2].logic_inst.res_s[3] top.top_enable_tb.fir16[2].logic_inst.res_s[2] top.top_enable_tb.fir16[2].logic_inst.res_s[1] top.top_enable_tb.fir16[2].logic_inst.res_s[0]
+#{top.top_enable_tb.fir16[2].logic_inst.final_res_s[31:0]} top.top_enable_tb.fir16[2].logic_inst.final_res_s[31] top.top_enable_tb.fir16[2].logic_inst.final_res_s[30] top.top_enable_tb.fir16[2].logic_inst.final_res_s[29] top.top_enable_tb.fir16[2].logic_inst.final_res_s[28] top.top_enable_tb.fir16[2].logic_inst.final_res_s[27] top.top_enable_tb.fir16[2].logic_inst.final_res_s[26] top.top_enable_tb.fir16[2].logic_inst.final_res_s[25] top.top_enable_tb.fir16[2].logic_inst.final_res_s[24] top.top_enable_tb.fir16[2].logic_inst.final_res_s[23] top.top_enable_tb.fir16[2].logic_inst.final_res_s[22] top.top_enable_tb.fir16[2].logic_inst.final_res_s[21] top.top_enable_tb.fir16[2].logic_inst.final_res_s[20] top.top_enable_tb.fir16[2].logic_inst.final_res_s[19] top.top_enable_tb.fir16[2].logic_inst.final_res_s[18] top.top_enable_tb.fir16[2].logic_inst.final_res_s[17] top.top_enable_tb.fir16[2].logic_inst.final_res_s[16] top.top_enable_tb.fir16[2].logic_inst.final_res_s[15] top.top_enable_tb.fir16[2].logic_inst.final_res_s[14] top.top_enable_tb.fir16[2].logic_inst.final_res_s[13] top.top_enable_tb.fir16[2].logic_inst.final_res_s[12] top.top_enable_tb.fir16[2].logic_inst.final_res_s[11] top.top_enable_tb.fir16[2].logic_inst.final_res_s[10] top.top_enable_tb.fir16[2].logic_inst.final_res_s[9] top.top_enable_tb.fir16[2].logic_inst.final_res_s[8] top.top_enable_tb.fir16[2].logic_inst.final_res_s[7] top.top_enable_tb.fir16[2].logic_inst.final_res_s[6] top.top_enable_tb.fir16[2].logic_inst.final_res_s[5] top.top_enable_tb.fir16[2].logic_inst.final_res_s[4] top.top_enable_tb.fir16[2].logic_inst.final_res_s[3] top.top_enable_tb.fir16[2].logic_inst.final_res_s[2] top.top_enable_tb.fir16[2].logic_inst.final_res_s[1] top.top_enable_tb.fir16[2].logic_inst.final_res_s[0]
+top.top_enable_tb.fir16[2].logic_inst.data_en_o
+#{top.top_enable_tb.fir16[2].logic_inst.data_o[31:0]} top.top_enable_tb.fir16[2].logic_inst.data_o[31] top.top_enable_tb.fir16[2].logic_inst.data_o[30] top.top_enable_tb.fir16[2].logic_inst.data_o[29] top.top_enable_tb.fir16[2].logic_inst.data_o[28] top.top_enable_tb.fir16[2].logic_inst.data_o[27] top.top_enable_tb.fir16[2].logic_inst.data_o[26] top.top_enable_tb.fir16[2].logic_inst.data_o[25] top.top_enable_tb.fir16[2].logic_inst.data_o[24] top.top_enable_tb.fir16[2].logic_inst.data_o[23] top.top_enable_tb.fir16[2].logic_inst.data_o[22] top.top_enable_tb.fir16[2].logic_inst.data_o[21] top.top_enable_tb.fir16[2].logic_inst.data_o[20] top.top_enable_tb.fir16[2].logic_inst.data_o[19] top.top_enable_tb.fir16[2].logic_inst.data_o[18] top.top_enable_tb.fir16[2].logic_inst.data_o[17] top.top_enable_tb.fir16[2].logic_inst.data_o[16] top.top_enable_tb.fir16[2].logic_inst.data_o[15] top.top_enable_tb.fir16[2].logic_inst.data_o[14] top.top_enable_tb.fir16[2].logic_inst.data_o[13] top.top_enable_tb.fir16[2].logic_inst.data_o[12] top.top_enable_tb.fir16[2].logic_inst.data_o[11] top.top_enable_tb.fir16[2].logic_inst.data_o[10] top.top_enable_tb.fir16[2].logic_inst.data_o[9] top.top_enable_tb.fir16[2].logic_inst.data_o[8] top.top_enable_tb.fir16[2].logic_inst.data_o[7] top.top_enable_tb.fir16[2].logic_inst.data_o[6] top.top_enable_tb.fir16[2].logic_inst.data_o[5] top.top_enable_tb.fir16[2].logic_inst.data_o[4] top.top_enable_tb.fir16[2].logic_inst.data_o[3] top.top_enable_tb.fir16[2].logic_inst.data_o[2] top.top_enable_tb.fir16[2].logic_inst.data_o[1] top.top_enable_tb.fir16[2].logic_inst.data_o[0]
+@200
+-inst11
+@28
+top.top_enable_tb.fir16[11].logic_inst.data_en_i
+top.top_enable_tb.fir16[11].logic_inst.ready_i
+top.top_enable_tb.fir16[11].logic_inst.end_i
+@420
+#{top.top_enable_tb.fir16[11].logic_inst.data_i[15:0]} top.top_enable_tb.fir16[11].logic_inst.data_i[15] top.top_enable_tb.fir16[11].logic_inst.data_i[14] top.top_enable_tb.fir16[11].logic_inst.data_i[13] top.top_enable_tb.fir16[11].logic_inst.data_i[12] top.top_enable_tb.fir16[11].logic_inst.data_i[11] top.top_enable_tb.fir16[11].logic_inst.data_i[10] top.top_enable_tb.fir16[11].logic_inst.data_i[9] top.top_enable_tb.fir16[11].logic_inst.data_i[8] top.top_enable_tb.fir16[11].logic_inst.data_i[7] top.top_enable_tb.fir16[11].logic_inst.data_i[6] top.top_enable_tb.fir16[11].logic_inst.data_i[5] top.top_enable_tb.fir16[11].logic_inst.data_i[4] top.top_enable_tb.fir16[11].logic_inst.data_i[3] top.top_enable_tb.fir16[11].logic_inst.data_i[2] top.top_enable_tb.fir16[11].logic_inst.data_i[1] top.top_enable_tb.fir16[11].logic_inst.data_i[0]
+#{top.top_enable_tb.fir16[1].logic_inst.coeff_i[15:0]} top.top_enable_tb.fir16[1].logic_inst.coeff_i[15] top.top_enable_tb.fir16[1].logic_inst.coeff_i[14] top.top_enable_tb.fir16[1].logic_inst.coeff_i[13] top.top_enable_tb.fir16[1].logic_inst.coeff_i[12] top.top_enable_tb.fir16[1].logic_inst.coeff_i[11] top.top_enable_tb.fir16[1].logic_inst.coeff_i[10] top.top_enable_tb.fir16[1].logic_inst.coeff_i[9] top.top_enable_tb.fir16[1].logic_inst.coeff_i[8] top.top_enable_tb.fir16[1].logic_inst.coeff_i[7] top.top_enable_tb.fir16[1].logic_inst.coeff_i[6] top.top_enable_tb.fir16[1].logic_inst.coeff_i[5] top.top_enable_tb.fir16[1].logic_inst.coeff_i[4] top.top_enable_tb.fir16[1].logic_inst.coeff_i[3] top.top_enable_tb.fir16[1].logic_inst.coeff_i[2] top.top_enable_tb.fir16[1].logic_inst.coeff_i[1] top.top_enable_tb.fir16[1].logic_inst.coeff_i[0]
+top.top_enable_tb.fir16[1].logic_inst.ready_s
+@22
+#{top.top_enable_tb.fir16[11].logic_inst.data_s[15:0]} top.top_enable_tb.fir16[11].logic_inst.data_s[15] top.top_enable_tb.fir16[11].logic_inst.data_s[14] top.top_enable_tb.fir16[11].logic_inst.data_s[13] top.top_enable_tb.fir16[11].logic_inst.data_s[12] top.top_enable_tb.fir16[11].logic_inst.data_s[11] top.top_enable_tb.fir16[11].logic_inst.data_s[10] top.top_enable_tb.fir16[11].logic_inst.data_s[9] top.top_enable_tb.fir16[11].logic_inst.data_s[8] top.top_enable_tb.fir16[11].logic_inst.data_s[7] top.top_enable_tb.fir16[11].logic_inst.data_s[6] top.top_enable_tb.fir16[11].logic_inst.data_s[5] top.top_enable_tb.fir16[11].logic_inst.data_s[4] top.top_enable_tb.fir16[11].logic_inst.data_s[3] top.top_enable_tb.fir16[11].logic_inst.data_s[2] top.top_enable_tb.fir16[11].logic_inst.data_s[1] top.top_enable_tb.fir16[11].logic_inst.data_s[0]
+@420
+#{top.top_enable_tb.fir16[1].logic_inst.mult_res[31:0]} top.top_enable_tb.fir16[1].logic_inst.mult_res[31] top.top_enable_tb.fir16[1].logic_inst.mult_res[30] top.top_enable_tb.fir16[1].logic_inst.mult_res[29] top.top_enable_tb.fir16[1].logic_inst.mult_res[28] top.top_enable_tb.fir16[1].logic_inst.mult_res[27] top.top_enable_tb.fir16[1].logic_inst.mult_res[26] top.top_enable_tb.fir16[1].logic_inst.mult_res[25] top.top_enable_tb.fir16[1].logic_inst.mult_res[24] top.top_enable_tb.fir16[1].logic_inst.mult_res[23] top.top_enable_tb.fir16[1].logic_inst.mult_res[22] top.top_enable_tb.fir16[1].logic_inst.mult_res[21] top.top_enable_tb.fir16[1].logic_inst.mult_res[20] top.top_enable_tb.fir16[1].logic_inst.mult_res[19] top.top_enable_tb.fir16[1].logic_inst.mult_res[18] top.top_enable_tb.fir16[1].logic_inst.mult_res[17] top.top_enable_tb.fir16[1].logic_inst.mult_res[16] top.top_enable_tb.fir16[1].logic_inst.mult_res[15] top.top_enable_tb.fir16[1].logic_inst.mult_res[14] top.top_enable_tb.fir16[1].logic_inst.mult_res[13] top.top_enable_tb.fir16[1].logic_inst.mult_res[12] top.top_enable_tb.fir16[1].logic_inst.mult_res[11] top.top_enable_tb.fir16[1].logic_inst.mult_res[10] top.top_enable_tb.fir16[1].logic_inst.mult_res[9] top.top_enable_tb.fir16[1].logic_inst.mult_res[8] top.top_enable_tb.fir16[1].logic_inst.mult_res[7] top.top_enable_tb.fir16[1].logic_inst.mult_res[6] top.top_enable_tb.fir16[1].logic_inst.mult_res[5] top.top_enable_tb.fir16[1].logic_inst.mult_res[4] top.top_enable_tb.fir16[1].logic_inst.mult_res[3] top.top_enable_tb.fir16[1].logic_inst.mult_res[2] top.top_enable_tb.fir16[1].logic_inst.mult_res[1] top.top_enable_tb.fir16[1].logic_inst.mult_res[0]
+#{top.top_enable_tb.fir16[1].logic_inst.res_next_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.res_next_s[31] top.top_enable_tb.fir16[1].logic_inst.res_next_s[30] top.top_enable_tb.fir16[1].logic_inst.res_next_s[29] top.top_enable_tb.fir16[1].logic_inst.res_next_s[28] top.top_enable_tb.fir16[1].logic_inst.res_next_s[27] top.top_enable_tb.fir16[1].logic_inst.res_next_s[26] top.top_enable_tb.fir16[1].logic_inst.res_next_s[25] top.top_enable_tb.fir16[1].logic_inst.res_next_s[24] top.top_enable_tb.fir16[1].logic_inst.res_next_s[23] top.top_enable_tb.fir16[1].logic_inst.res_next_s[22] top.top_enable_tb.fir16[1].logic_inst.res_next_s[21] top.top_enable_tb.fir16[1].logic_inst.res_next_s[20] top.top_enable_tb.fir16[1].logic_inst.res_next_s[19] top.top_enable_tb.fir16[1].logic_inst.res_next_s[18] top.top_enable_tb.fir16[1].logic_inst.res_next_s[17] top.top_enable_tb.fir16[1].logic_inst.res_next_s[16] top.top_enable_tb.fir16[1].logic_inst.res_next_s[15] top.top_enable_tb.fir16[1].logic_inst.res_next_s[14] top.top_enable_tb.fir16[1].logic_inst.res_next_s[13] top.top_enable_tb.fir16[1].logic_inst.res_next_s[12] top.top_enable_tb.fir16[1].logic_inst.res_next_s[11] top.top_enable_tb.fir16[1].logic_inst.res_next_s[10] top.top_enable_tb.fir16[1].logic_inst.res_next_s[9] top.top_enable_tb.fir16[1].logic_inst.res_next_s[8] top.top_enable_tb.fir16[1].logic_inst.res_next_s[7] top.top_enable_tb.fir16[1].logic_inst.res_next_s[6] top.top_enable_tb.fir16[1].logic_inst.res_next_s[5] top.top_enable_tb.fir16[1].logic_inst.res_next_s[4] top.top_enable_tb.fir16[1].logic_inst.res_next_s[3] top.top_enable_tb.fir16[1].logic_inst.res_next_s[2] top.top_enable_tb.fir16[1].logic_inst.res_next_s[1] top.top_enable_tb.fir16[1].logic_inst.res_next_s[0]
+#{top.top_enable_tb.fir16[1].logic_inst.res_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.res_s[31] top.top_enable_tb.fir16[1].logic_inst.res_s[30] top.top_enable_tb.fir16[1].logic_inst.res_s[29] top.top_enable_tb.fir16[1].logic_inst.res_s[28] top.top_enable_tb.fir16[1].logic_inst.res_s[27] top.top_enable_tb.fir16[1].logic_inst.res_s[26] top.top_enable_tb.fir16[1].logic_inst.res_s[25] top.top_enable_tb.fir16[1].logic_inst.res_s[24] top.top_enable_tb.fir16[1].logic_inst.res_s[23] top.top_enable_tb.fir16[1].logic_inst.res_s[22] top.top_enable_tb.fir16[1].logic_inst.res_s[21] top.top_enable_tb.fir16[1].logic_inst.res_s[20] top.top_enable_tb.fir16[1].logic_inst.res_s[19] top.top_enable_tb.fir16[1].logic_inst.res_s[18] top.top_enable_tb.fir16[1].logic_inst.res_s[17] top.top_enable_tb.fir16[1].logic_inst.res_s[16] top.top_enable_tb.fir16[1].logic_inst.res_s[15] top.top_enable_tb.fir16[1].logic_inst.res_s[14] top.top_enable_tb.fir16[1].logic_inst.res_s[13] top.top_enable_tb.fir16[1].logic_inst.res_s[12] top.top_enable_tb.fir16[1].logic_inst.res_s[11] top.top_enable_tb.fir16[1].logic_inst.res_s[10] top.top_enable_tb.fir16[1].logic_inst.res_s[9] top.top_enable_tb.fir16[1].logic_inst.res_s[8] top.top_enable_tb.fir16[1].logic_inst.res_s[7] top.top_enable_tb.fir16[1].logic_inst.res_s[6] top.top_enable_tb.fir16[1].logic_inst.res_s[5] top.top_enable_tb.fir16[1].logic_inst.res_s[4] top.top_enable_tb.fir16[1].logic_inst.res_s[3] top.top_enable_tb.fir16[1].logic_inst.res_s[2] top.top_enable_tb.fir16[1].logic_inst.res_s[1] top.top_enable_tb.fir16[1].logic_inst.res_s[0]
+#{top.top_enable_tb.fir16[1].logic_inst.final_res_s[31:0]} top.top_enable_tb.fir16[1].logic_inst.final_res_s[31] top.top_enable_tb.fir16[1].logic_inst.final_res_s[30] top.top_enable_tb.fir16[1].logic_inst.final_res_s[29] top.top_enable_tb.fir16[1].logic_inst.final_res_s[28] top.top_enable_tb.fir16[1].logic_inst.final_res_s[27] top.top_enable_tb.fir16[1].logic_inst.final_res_s[26] top.top_enable_tb.fir16[1].logic_inst.final_res_s[25] top.top_enable_tb.fir16[1].logic_inst.final_res_s[24] top.top_enable_tb.fir16[1].logic_inst.final_res_s[23] top.top_enable_tb.fir16[1].logic_inst.final_res_s[22] top.top_enable_tb.fir16[1].logic_inst.final_res_s[21] top.top_enable_tb.fir16[1].logic_inst.final_res_s[20] top.top_enable_tb.fir16[1].logic_inst.final_res_s[19] top.top_enable_tb.fir16[1].logic_inst.final_res_s[18] top.top_enable_tb.fir16[1].logic_inst.final_res_s[17] top.top_enable_tb.fir16[1].logic_inst.final_res_s[16] top.top_enable_tb.fir16[1].logic_inst.final_res_s[15] top.top_enable_tb.fir16[1].logic_inst.final_res_s[14] top.top_enable_tb.fir16[1].logic_inst.final_res_s[13] top.top_enable_tb.fir16[1].logic_inst.final_res_s[12] top.top_enable_tb.fir16[1].logic_inst.final_res_s[11] top.top_enable_tb.fir16[1].logic_inst.final_res_s[10] top.top_enable_tb.fir16[1].logic_inst.final_res_s[9] top.top_enable_tb.fir16[1].logic_inst.final_res_s[8] top.top_enable_tb.fir16[1].logic_inst.final_res_s[7] top.top_enable_tb.fir16[1].logic_inst.final_res_s[6] top.top_enable_tb.fir16[1].logic_inst.final_res_s[5] top.top_enable_tb.fir16[1].logic_inst.final_res_s[4] top.top_enable_tb.fir16[1].logic_inst.final_res_s[3] top.top_enable_tb.fir16[1].logic_inst.final_res_s[2] top.top_enable_tb.fir16[1].logic_inst.final_res_s[1] top.top_enable_tb.fir16[1].logic_inst.final_res_s[0]
+top.top_enable_tb.fir16[1].logic_inst.data_en_o
+#{top.top_enable_tb.fir16[1].logic_inst.data_o[31:0]} top.top_enable_tb.fir16[1].logic_inst.data_o[31] top.top_enable_tb.fir16[1].logic_inst.data_o[30] top.top_enable_tb.fir16[1].logic_inst.data_o[29] top.top_enable_tb.fir16[1].logic_inst.data_o[28] top.top_enable_tb.fir16[1].logic_inst.data_o[27] top.top_enable_tb.fir16[1].logic_inst.data_o[26] top.top_enable_tb.fir16[1].logic_inst.data_o[25] top.top_enable_tb.fir16[1].logic_inst.data_o[24] top.top_enable_tb.fir16[1].logic_inst.data_o[23] top.top_enable_tb.fir16[1].logic_inst.data_o[22] top.top_enable_tb.fir16[1].logic_inst.data_o[21] top.top_enable_tb.fir16[1].logic_inst.data_o[20] top.top_enable_tb.fir16[1].logic_inst.data_o[19] top.top_enable_tb.fir16[1].logic_inst.data_o[18] top.top_enable_tb.fir16[1].logic_inst.data_o[17] top.top_enable_tb.fir16[1].logic_inst.data_o[16] top.top_enable_tb.fir16[1].logic_inst.data_o[15] top.top_enable_tb.fir16[1].logic_inst.data_o[14] top.top_enable_tb.fir16[1].logic_inst.data_o[13] top.top_enable_tb.fir16[1].logic_inst.data_o[12] top.top_enable_tb.fir16[1].logic_inst.data_o[11] top.top_enable_tb.fir16[1].logic_inst.data_o[10] top.top_enable_tb.fir16[1].logic_inst.data_o[9] top.top_enable_tb.fir16[1].logic_inst.data_o[8] top.top_enable_tb.fir16[1].logic_inst.data_o[7] top.top_enable_tb.fir16[1].logic_inst.data_o[6] top.top_enable_tb.fir16[1].logic_inst.data_o[5] top.top_enable_tb.fir16[1].logic_inst.data_o[4] top.top_enable_tb.fir16[1].logic_inst.data_o[3] top.top_enable_tb.fir16[1].logic_inst.data_o[2] top.top_enable_tb.fir16[1].logic_inst.data_o[1] top.top_enable_tb.fir16[1].logic_inst.data_o[0]
+@200
+-inst12
+@420
+top.top_enable_tb.fir16[12].logic_inst.data_en_i
+top.top_enable_tb.fir16[12].logic_inst.ready_i
+top.top_enable_tb.fir16[12].logic_inst.end_i
+#{top.top_enable_tb.fir16[12].logic_inst.data_i[15:0]} top.top_enable_tb.fir16[12].logic_inst.data_i[15] top.top_enable_tb.fir16[12].logic_inst.data_i[14] top.top_enable_tb.fir16[12].logic_inst.data_i[13] top.top_enable_tb.fir16[12].logic_inst.data_i[12] top.top_enable_tb.fir16[12].logic_inst.data_i[11] top.top_enable_tb.fir16[12].logic_inst.data_i[10] top.top_enable_tb.fir16[12].logic_inst.data_i[9] top.top_enable_tb.fir16[12].logic_inst.data_i[8] top.top_enable_tb.fir16[12].logic_inst.data_i[7] top.top_enable_tb.fir16[12].logic_inst.data_i[6] top.top_enable_tb.fir16[12].logic_inst.data_i[5] top.top_enable_tb.fir16[12].logic_inst.data_i[4] top.top_enable_tb.fir16[12].logic_inst.data_i[3] top.top_enable_tb.fir16[12].logic_inst.data_i[2] top.top_enable_tb.fir16[12].logic_inst.data_i[1] top.top_enable_tb.fir16[12].logic_inst.data_i[0]
+#{top.top_enable_tb.fir16[12].logic_inst.coeff_i[15:0]} top.top_enable_tb.fir16[12].logic_inst.coeff_i[15] top.top_enable_tb.fir16[12].logic_inst.coeff_i[14] top.top_enable_tb.fir16[12].logic_inst.coeff_i[13] top.top_enable_tb.fir16[12].logic_inst.coeff_i[12] top.top_enable_tb.fir16[12].logic_inst.coeff_i[11] top.top_enable_tb.fir16[12].logic_inst.coeff_i[10] top.top_enable_tb.fir16[12].logic_inst.coeff_i[9] top.top_enable_tb.fir16[12].logic_inst.coeff_i[8] top.top_enable_tb.fir16[12].logic_inst.coeff_i[7] top.top_enable_tb.fir16[12].logic_inst.coeff_i[6] top.top_enable_tb.fir16[12].logic_inst.coeff_i[5] top.top_enable_tb.fir16[12].logic_inst.coeff_i[4] top.top_enable_tb.fir16[12].logic_inst.coeff_i[3] top.top_enable_tb.fir16[12].logic_inst.coeff_i[2] top.top_enable_tb.fir16[12].logic_inst.coeff_i[1] top.top_enable_tb.fir16[12].logic_inst.coeff_i[0]
+top.top_enable_tb.fir16[12].logic_inst.ready_s
+#{top.top_enable_tb.fir16[12].logic_inst.data_s[15:0]} top.top_enable_tb.fir16[12].logic_inst.data_s[15] top.top_enable_tb.fir16[12].logic_inst.data_s[14] top.top_enable_tb.fir16[12].logic_inst.data_s[13] top.top_enable_tb.fir16[12].logic_inst.data_s[12] top.top_enable_tb.fir16[12].logic_inst.data_s[11] top.top_enable_tb.fir16[12].logic_inst.data_s[10] top.top_enable_tb.fir16[12].logic_inst.data_s[9] top.top_enable_tb.fir16[12].logic_inst.data_s[8] top.top_enable_tb.fir16[12].logic_inst.data_s[7] top.top_enable_tb.fir16[12].logic_inst.data_s[6] top.top_enable_tb.fir16[12].logic_inst.data_s[5] top.top_enable_tb.fir16[12].logic_inst.data_s[4] top.top_enable_tb.fir16[12].logic_inst.data_s[3] top.top_enable_tb.fir16[12].logic_inst.data_s[2] top.top_enable_tb.fir16[12].logic_inst.data_s[1] top.top_enable_tb.fir16[12].logic_inst.data_s[0]
+#{top.top_enable_tb.fir16[12].logic_inst.mult_res[31:0]} top.top_enable_tb.fir16[12].logic_inst.mult_res[31] top.top_enable_tb.fir16[12].logic_inst.mult_res[30] top.top_enable_tb.fir16[12].logic_inst.mult_res[29] top.top_enable_tb.fir16[12].logic_inst.mult_res[28] top.top_enable_tb.fir16[12].logic_inst.mult_res[27] top.top_enable_tb.fir16[12].logic_inst.mult_res[26] top.top_enable_tb.fir16[12].logic_inst.mult_res[25] top.top_enable_tb.fir16[12].logic_inst.mult_res[24] top.top_enable_tb.fir16[12].logic_inst.mult_res[23] top.top_enable_tb.fir16[12].logic_inst.mult_res[22] top.top_enable_tb.fir16[12].logic_inst.mult_res[21] top.top_enable_tb.fir16[12].logic_inst.mult_res[20] top.top_enable_tb.fir16[12].logic_inst.mult_res[19] top.top_enable_tb.fir16[12].logic_inst.mult_res[18] top.top_enable_tb.fir16[12].logic_inst.mult_res[17] top.top_enable_tb.fir16[12].logic_inst.mult_res[16] top.top_enable_tb.fir16[12].logic_inst.mult_res[15] top.top_enable_tb.fir16[12].logic_inst.mult_res[14] top.top_enable_tb.fir16[12].logic_inst.mult_res[13] top.top_enable_tb.fir16[12].logic_inst.mult_res[12] top.top_enable_tb.fir16[12].logic_inst.mult_res[11] top.top_enable_tb.fir16[12].logic_inst.mult_res[10] top.top_enable_tb.fir16[12].logic_inst.mult_res[9] top.top_enable_tb.fir16[12].logic_inst.mult_res[8] top.top_enable_tb.fir16[12].logic_inst.mult_res[7] top.top_enable_tb.fir16[12].logic_inst.mult_res[6] top.top_enable_tb.fir16[12].logic_inst.mult_res[5] top.top_enable_tb.fir16[12].logic_inst.mult_res[4] top.top_enable_tb.fir16[12].logic_inst.mult_res[3] top.top_enable_tb.fir16[12].logic_inst.mult_res[2] top.top_enable_tb.fir16[12].logic_inst.mult_res[1] top.top_enable_tb.fir16[12].logic_inst.mult_res[0]
+#{top.top_enable_tb.fir16[12].logic_inst.res_next_s[31:0]} top.top_enable_tb.fir16[12].logic_inst.res_next_s[31] top.top_enable_tb.fir16[12].logic_inst.res_next_s[30] top.top_enable_tb.fir16[12].logic_inst.res_next_s[29] top.top_enable_tb.fir16[12].logic_inst.res_next_s[28] top.top_enable_tb.fir16[12].logic_inst.res_next_s[27] top.top_enable_tb.fir16[12].logic_inst.res_next_s[26] top.top_enable_tb.fir16[12].logic_inst.res_next_s[25] top.top_enable_tb.fir16[12].logic_inst.res_next_s[24] top.top_enable_tb.fir16[12].logic_inst.res_next_s[23] top.top_enable_tb.fir16[12].logic_inst.res_next_s[22] top.top_enable_tb.fir16[12].logic_inst.res_next_s[21] top.top_enable_tb.fir16[12].logic_inst.res_next_s[20] top.top_enable_tb.fir16[12].logic_inst.res_next_s[19] top.top_enable_tb.fir16[12].logic_inst.res_next_s[18] top.top_enable_tb.fir16[12].logic_inst.res_next_s[17] top.top_enable_tb.fir16[12].logic_inst.res_next_s[16] top.top_enable_tb.fir16[12].logic_inst.res_next_s[15] top.top_enable_tb.fir16[12].logic_inst.res_next_s[14] top.top_enable_tb.fir16[12].logic_inst.res_next_s[13] top.top_enable_tb.fir16[12].logic_inst.res_next_s[12] top.top_enable_tb.fir16[12].logic_inst.res_next_s[11] top.top_enable_tb.fir16[12].logic_inst.res_next_s[10] top.top_enable_tb.fir16[12].logic_inst.res_next_s[9] top.top_enable_tb.fir16[12].logic_inst.res_next_s[8] top.top_enable_tb.fir16[12].logic_inst.res_next_s[7] top.top_enable_tb.fir16[12].logic_inst.res_next_s[6] top.top_enable_tb.fir16[12].logic_inst.res_next_s[5] top.top_enable_tb.fir16[12].logic_inst.res_next_s[4] top.top_enable_tb.fir16[12].logic_inst.res_next_s[3] top.top_enable_tb.fir16[12].logic_inst.res_next_s[2] top.top_enable_tb.fir16[12].logic_inst.res_next_s[1] top.top_enable_tb.fir16[12].logic_inst.res_next_s[0]
+#{top.top_enable_tb.fir16[12].logic_inst.res_s[31:0]} top.top_enable_tb.fir16[12].logic_inst.res_s[31] top.top_enable_tb.fir16[12].logic_inst.res_s[30] top.top_enable_tb.fir16[12].logic_inst.res_s[29] top.top_enable_tb.fir16[12].logic_inst.res_s[28] top.top_enable_tb.fir16[12].logic_inst.res_s[27] top.top_enable_tb.fir16[12].logic_inst.res_s[26] top.top_enable_tb.fir16[12].logic_inst.res_s[25] top.top_enable_tb.fir16[12].logic_inst.res_s[24] top.top_enable_tb.fir16[12].logic_inst.res_s[23] top.top_enable_tb.fir16[12].logic_inst.res_s[22] top.top_enable_tb.fir16[12].logic_inst.res_s[21] top.top_enable_tb.fir16[12].logic_inst.res_s[20] top.top_enable_tb.fir16[12].logic_inst.res_s[19] top.top_enable_tb.fir16[12].logic_inst.res_s[18] top.top_enable_tb.fir16[12].logic_inst.res_s[17] top.top_enable_tb.fir16[12].logic_inst.res_s[16] top.top_enable_tb.fir16[12].logic_inst.res_s[15] top.top_enable_tb.fir16[12].logic_inst.res_s[14] top.top_enable_tb.fir16[12].logic_inst.res_s[13] top.top_enable_tb.fir16[12].logic_inst.res_s[12] top.top_enable_tb.fir16[12].logic_inst.res_s[11] top.top_enable_tb.fir16[12].logic_inst.res_s[10] top.top_enable_tb.fir16[12].logic_inst.res_s[9] top.top_enable_tb.fir16[12].logic_inst.res_s[8] top.top_enable_tb.fir16[12].logic_inst.res_s[7] top.top_enable_tb.fir16[12].logic_inst.res_s[6] top.top_enable_tb.fir16[12].logic_inst.res_s[5] top.top_enable_tb.fir16[12].logic_inst.res_s[4] top.top_enable_tb.fir16[12].logic_inst.res_s[3] top.top_enable_tb.fir16[12].logic_inst.res_s[2] top.top_enable_tb.fir16[12].logic_inst.res_s[1] top.top_enable_tb.fir16[12].logic_inst.res_s[0]
+#{top.top_enable_tb.fir16[12].logic_inst.final_res_s[31:0]} top.top_enable_tb.fir16[12].logic_inst.final_res_s[31] top.top_enable_tb.fir16[12].logic_inst.final_res_s[30] top.top_enable_tb.fir16[12].logic_inst.final_res_s[29] top.top_enable_tb.fir16[12].logic_inst.final_res_s[28] top.top_enable_tb.fir16[12].logic_inst.final_res_s[27] top.top_enable_tb.fir16[12].logic_inst.final_res_s[26] top.top_enable_tb.fir16[12].logic_inst.final_res_s[25] top.top_enable_tb.fir16[12].logic_inst.final_res_s[24] top.top_enable_tb.fir16[12].logic_inst.final_res_s[23] top.top_enable_tb.fir16[12].logic_inst.final_res_s[22] top.top_enable_tb.fir16[12].logic_inst.final_res_s[21] top.top_enable_tb.fir16[12].logic_inst.final_res_s[20] top.top_enable_tb.fir16[12].logic_inst.final_res_s[19] top.top_enable_tb.fir16[12].logic_inst.final_res_s[18] top.top_enable_tb.fir16[12].logic_inst.final_res_s[17] top.top_enable_tb.fir16[12].logic_inst.final_res_s[16] top.top_enable_tb.fir16[12].logic_inst.final_res_s[15] top.top_enable_tb.fir16[12].logic_inst.final_res_s[14] top.top_enable_tb.fir16[12].logic_inst.final_res_s[13] top.top_enable_tb.fir16[12].logic_inst.final_res_s[12] top.top_enable_tb.fir16[12].logic_inst.final_res_s[11] top.top_enable_tb.fir16[12].logic_inst.final_res_s[10] top.top_enable_tb.fir16[12].logic_inst.final_res_s[9] top.top_enable_tb.fir16[12].logic_inst.final_res_s[8] top.top_enable_tb.fir16[12].logic_inst.final_res_s[7] top.top_enable_tb.fir16[12].logic_inst.final_res_s[6] top.top_enable_tb.fir16[12].logic_inst.final_res_s[5] top.top_enable_tb.fir16[12].logic_inst.final_res_s[4] top.top_enable_tb.fir16[12].logic_inst.final_res_s[3] top.top_enable_tb.fir16[12].logic_inst.final_res_s[2] top.top_enable_tb.fir16[12].logic_inst.final_res_s[1] top.top_enable_tb.fir16[12].logic_inst.final_res_s[0]
+top.top_enable_tb.fir16[12].logic_inst.data_en_o
+#{top.top_enable_tb.fir16[12].logic_inst.data_o[31:0]} top.top_enable_tb.fir16[12].logic_inst.data_o[31] top.top_enable_tb.fir16[12].logic_inst.data_o[30] top.top_enable_tb.fir16[12].logic_inst.data_o[29] top.top_enable_tb.fir16[12].logic_inst.data_o[28] top.top_enable_tb.fir16[12].logic_inst.data_o[27] top.top_enable_tb.fir16[12].logic_inst.data_o[26] top.top_enable_tb.fir16[12].logic_inst.data_o[25] top.top_enable_tb.fir16[12].logic_inst.data_o[24] top.top_enable_tb.fir16[12].logic_inst.data_o[23] top.top_enable_tb.fir16[12].logic_inst.data_o[22] top.top_enable_tb.fir16[12].logic_inst.data_o[21] top.top_enable_tb.fir16[12].logic_inst.data_o[20] top.top_enable_tb.fir16[12].logic_inst.data_o[19] top.top_enable_tb.fir16[12].logic_inst.data_o[18] top.top_enable_tb.fir16[12].logic_inst.data_o[17] top.top_enable_tb.fir16[12].logic_inst.data_o[16] top.top_enable_tb.fir16[12].logic_inst.data_o[15] top.top_enable_tb.fir16[12].logic_inst.data_o[14] top.top_enable_tb.fir16[12].logic_inst.data_o[13] top.top_enable_tb.fir16[12].logic_inst.data_o[12] top.top_enable_tb.fir16[12].logic_inst.data_o[11] top.top_enable_tb.fir16[12].logic_inst.data_o[10] top.top_enable_tb.fir16[12].logic_inst.data_o[9] top.top_enable_tb.fir16[12].logic_inst.data_o[8] top.top_enable_tb.fir16[12].logic_inst.data_o[7] top.top_enable_tb.fir16[12].logic_inst.data_o[6] top.top_enable_tb.fir16[12].logic_inst.data_o[5] top.top_enable_tb.fir16[12].logic_inst.data_o[4] top.top_enable_tb.fir16[12].logic_inst.data_o[3] top.top_enable_tb.fir16[12].logic_inst.data_o[2] top.top_enable_tb.fir16[12].logic_inst.data_o[1] top.top_enable_tb.fir16[12].logic_inst.data_o[0]
+[pattern_trace] 1
+[pattern_trace] 0
diff --git a/cicReal/simulation/top_enable_tb.vhd b/cicReal/simulation/top_enable_tb.vhd
new file mode 100644
index 0000000..0b76d56
--- /dev/null
+++ b/cicReal/simulation/top_enable_tb.vhd
@@ -0,0 +1,378 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.all;
+use IEEE.math_real.all;
+USE std.textio.ALL;
+
+entity top_enable_tb is
+end entity top_enable_tb;
+
+architecture RTL of top_enable_tb is
+ function to_string(sv: Std_Logic_Vector) return string is
+ use Std.TextIO.all;
+ variable bv: bit_vector(sv'range) := to_bitvector(sv);
+ variable lp: line;
+ begin
+ write(lp, bv);
+ return lp.all;
+ end;
+ file final_result_file: text open write_mode is "./result.txt";
+
+ signal reset : std_logic;
+ CONSTANT HALF_PERIODE : time := 5.0 ns; -- Half clock period
+ signal clk : std_logic;
+ --signal adc_clk : std_logic;
+ --CONSTANT ADC_PERIOD : time := 2.5 ns; -- Half clock period
+ constant DECIMATE_FACTOR : natural := 10;
+ constant NB_COEFF : natural := 128;
+ signal tick_s : std_logic;
+ constant MACHIN1 : natural := natural(ceil(real(real(128)/real(10))));
+ constant MACHIN2 : natural := natural(ceil(real(real(128)/real(1))));
+ constant MACHIN3 : natural := natural(ceil(real(real(128)/real(128))));
+ constant MACHIN4 : natural := natural(ceil(real(real(128)/real(3))));
+ signal truc1 : std_logic_vector(MACHIN1-1 downto 0);
+ signal truc2 : std_logic_vector(MACHIN2-1 downto 0);
+ signal truc3 : std_logic_vector(MACHIN3 downto 0);
+ signal truc4 : std_logic_vector(MACHIN4-1 downto 0);
+
+ constant DATA_SIZE : natural := 16;
+ constant DATA_OUT_SIZE : natural := 32;
+ constant ADDR_SIZE : natural := 10;
+
+ -- new
+ constant COEFF_SIZE : natural := 16;
+ constant COEFF_ADDR_SZ : natural := natural(ceil(log2(real(NB_COEFF))));
+ -- coeff configuration
+ signal start_read_coeff, end_read_coeff : std_logic;
+ signal coeff_addr_s : std_logic_vector(COEFF_ADDR_SZ-1 downto 0);
+ signal coeff_addr_next_s : unsigned(COEFF_ADDR_SZ-1 downto 0);
+ signal coeff_val_s : std_logic_vector(COEFF_SIZE-1 downto 0);
+ signal coeff_data_s : unsigned(COEFF_SIZE-1 downto 0);
+ signal coeff_en_s : std_logic;
+ -- read data
+ signal read_data_val_s : std_logic_vector(DATA_SIZE-1 downto 0);
+ signal read_data_addr_s : std_logic_vector(ADDR_SIZE-1 downto 0);
+ signal read_data_en_s, end_read2_s : std_logic;
+ signal start_read_s : std_logic;
+ -- gen data
+ signal prop_data_addr_s : std_logic_vector(ADDR_SIZE-1 downto 0);
+ signal prop_data_addr_nat_s: natural range 0 to 2**ADDR_SIZE-1;
+ signal data_s : std_logic_vector(DATA_SIZE-1 downto 0);
+ signal data_en_s : std_logic;
+
+ -- data gen
+ signal start_prod_s : std_logic;
+
+
+ -- output data
+ signal data_out_en_s : std_logic;
+ signal data_out_s : std_logic_vector(DATA_OUT_SIZE-1 downto 0);
+
+ -- res cpt
+ constant MAX_RES_CPT : natural := 193;
+ signal res_cpt_s : natural range 0 to MAX_RES_CPT-1;
+ signal end_simu_s : std_logic;
+begin
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ tick_s <= '0';
+ else
+ if (start_prod_s = '1') then
+ tick_s <= not tick_s;
+ --tick_s <= '1';
+ else
+ tick_s <= '0';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ fir16 : Entity work.firReal_top
+ generic map (
+ --NB_THREAD => NB_FIR,
+ DECIMATE_FACTOR => DECIMATE_FACTOR,
+ NB_COEFF => NB_COEFF,
+ --NB_FIR => NB_FIR,
+ --DATA_IN_SIZE => 16,
+ COEFF_SIZE => COEFF_SIZE,
+ COEFF_ADDR_SZ => COEFF_ADDR_SZ,
+ DATA_OUT_SIZE => DATA_OUT_SIZE
+ )
+ port map
+ (
+ reset => reset,
+ clk => clk,
+ clk_axi => clk,
+ -- coeff conf
+ wr_coeff_en_i => coeff_en_s,
+ wr_coeff_addr_i => coeff_addr_s,
+ wr_coeff_val_i => coeff_val_s,
+ -- input data
+ data_i => data_s,
+ data_en_i => data_en_s,
+ -- for the next component
+ data_o => data_out_s,
+ data_en_o => data_out_en_s
+ );
+
+ process(clk) begin
+ if rising_edge(clk) then
+ end_read_coeff <= '0';
+ coeff_en_s <= '0';
+ if reset = '1' then
+ coeff_addr_next_s <= (others => '0');
+ coeff_addr_s <= (others => '0');
+ coeff_data_s <= to_unsigned(128, COEFF_SIZE);
+ coeff_val_s <= (others => '0');
+ else
+ coeff_addr_next_s <= coeff_addr_next_s;
+ coeff_addr_s <= coeff_addr_s;
+ coeff_val_s <= coeff_val_s;
+ if start_read_coeff = '1' then
+ if unsigned(coeff_addr_s) = 127 then
+ end_read_coeff <= '1';
+ else
+ coeff_en_s <= '1';
+ coeff_addr_s <= std_logic_vector(coeff_addr_next_s);
+ coeff_addr_next_s <= coeff_addr_next_s + 1;
+ coeff_val_s <= std_logic_vector(coeff_data_s);
+ coeff_data_s <= coeff_data_s + 1;
+ end if;
+ end if;
+ end if;
+ end if;
+ end process;
+
+ --show_result : process(clk, reset)
+ -- variable lp: line;
+ -- variable pv: Std_Logic;
+ --begin
+ -- if reset = '1' then
+ -- elsif rising_edge(clk) then
+ -- if result_en_s = '1' then
+ -- write(lp, string'("Value of i: "));
+ -- write(lp, to_integer(unsigned(result_s)));
+ -- writeline(Output, lp);
+ -- --report "plop : "&integer'image(to_integer(unsigned(result_i_s))) severity note;
+ -- end if;
+ -- end if;
+ --end process;
+
+ store_result : process(clk, reset)
+ variable lp: line;
+ variable pv: Std_Logic;
+ begin
+ if (reset = '1') then
+ elsif rising_edge(clk) then
+ --if (end_read_s and result_en_s) = '1' then
+ if (data_out_en_s) = '1' then
+ write(lp, integer'image(to_integer(signed(data_out_s))));
+ writeline(final_result_file, lp);
+ end if;
+ end if;
+ end process;
+
+-- process(adc_clk, reset)
+-- begin
+-- if reset = '1' then
+-- tick_s <= '0';
+-- cpt_delay_s <= 0;
+-- elsif rising_edge(adc_clk) then
+-- tick_s <= '0';
+-- cpt_delay_s <= cpt_delay_s;
+-- if end_read_s = '1' then
+-- if cpt_delay_s < MAX_CNT -1 then
+-- cpt_delay_s <= cpt_delay_s + 1;
+-- else
+-- cpt_delay_s <= 0;
+-- tick_s <= '1';
+-- end if;
+-- else
+-- cpt_delay_s <= 0;
+-- end if;
+-- end if;
+-- end process;
+
+
+ -- read data from a file and store this into a ram
+ -- TBD : must be read I and Q
+ read_data : entity work.readFromFile
+ generic map(
+ DATA_SIZE => DATA_SIZE,
+ ADDR_SIZE => ADDR_SIZE,
+ filename =>
+ "./data2q.dat"
+ )
+ port map (
+ reset => reset,
+ clk => clk,
+ sl_clk_i => '1', --sl_clk_s,
+ --fichier => datas,
+ start_read_i => start_read_s,
+ data_o => read_data_val_s,
+ addr_o => read_data_addr_s,
+ data_en_o => read_data_en_s,
+ end_of_read_o => end_read2_s
+ );
+
+ ram_i : entity work.ram_storage16
+ generic map(
+ DATA => 16,
+ ADDR => ADDR_SIZE
+ )
+ port map (
+ clk_a => clk,
+ clk_b => clk,
+ reset => reset,
+ -- input datas
+ we_a => read_data_en_s,
+ din_a => read_data_val_s,
+ addr_a => read_data_addr_s,
+ dout_a => open,
+ -- output
+ we_b => '0',
+ addr_b => prop_data_addr_s,
+ din_b => (15 downto 0 => '0'),
+ dout_b => data_s
+ );
+
+ -- generate data flow
+ prop_data_addr_s <= std_logic_vector(to_unsigned(prop_data_addr_nat_s, ADDR_SIZE));
+ data_propagation : process(clk, reset)
+ begin
+ if (reset = '1') then
+ prop_data_addr_nat_s <= 0;
+ data_en_s <= '0';
+ elsif rising_edge(clk) then
+ data_en_s <= '0';
+ prop_data_addr_nat_s <= prop_data_addr_nat_s;
+ if tick_s = '1' then
+ if prop_data_addr_nat_s = (2**ADDR_SIZE) -1 then
+ prop_data_addr_nat_s <= 0;
+ prop_data_addr_nat_s <= prop_data_addr_nat_s;
+ else
+ prop_data_addr_nat_s <= prop_data_addr_nat_s + 1;
+ end if;
+ data_en_s <= '1';
+ end if;
+ end if;
+ end process;
+
+ end_simu_s <= '1' when res_cpt_s = MAX_RES_CPT-1 else '0';
+ process(clk) begin
+ if rising_edge(clk) then
+ if reset = '1' then
+ res_cpt_s <= 0;
+ elsif data_out_en_s = '1' then
+ if end_simu_s = '1' then
+ res_cpt_s <= 0;
+ else
+ res_cpt_s <= res_cpt_s + 1;
+ end if;
+ else
+ res_cpt_s <= res_cpt_s;
+ end if;
+ end if;
+ end process;
+
+ stimulis : process
+ begin
+ start_prod_s <= '0';
+ start_read_s <= '0';
+ start_read_coeff <= '0';
+ reset <= '0';
+ wait until rising_edge(clk);
+ reset <= '1';
+ wait until rising_edge(clk);
+ wait until rising_edge(clk);
+ wait until rising_edge(clk);
+ reset <= '0';
+ wait for 10 ns;
+ start_read_coeff <= '1';
+ start_read_s <= '1';
+ wait until end_read_coeff = '1';
+ wait until end_read2_s = '1';
+ start_read_coeff <= '0';
+ start_read_coeff <= '0';
+ wait until rising_edge(clk);
+ start_prod_s <= '1';
+ wait until rising_edge(clk);
+ --wait until generate_en_s='1';
+ report "fin de la lecture de la LUT" severity note;
+ --wait until end_read2_s='1';
+ report "fin de la lecture des data" severity note;
+ --wait for 10 us;
+ --wait for 10 us;
+ wait until rising_edge(end_simu_s);
+ start_prod_s <= '0';
+ wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 10 us;
+-- wait for 1 ms;
+ assert false report "End of test" severity error;
+ end process stimulis;
+
+ clockp : process
+ begin
+ clk <= '1';
+ wait for HALF_PERIODE;
+ clk <= '0';
+ wait for HALF_PERIODE;
+ end process clockp;
+
+-- clockadc : process
+-- begin
+-- adc_clk <= '1';
+-- wait for ADC_PERIOD;
+-- adc_clk <= '0';
+-- wait for ADC_PERIOD;
+-- end process clockadc;
+
+ -- read coeff for fir16 LUT
+-- read_coeff : entity work.readFromFile
+-- generic map(
+-- DATA_SIZE => 16,
+-- ADDR_SIZE => 10,
+-- filename => "../fake_coeff.dat"
+-- )
+-- port map (
+-- reset => reset,
+-- clk => clk,
+-- sl_clk_i => sl_clk_s,
+-- --fichier => vectors,
+-- start_read_i => '1',
+-- data_o => coeff_val_s,
+-- addr_o => coeff_addr_s,
+-- data_en_o => coeff_en_s,
+-- end_of_read_o => generate_en_s
+-- );
+--
+-- clk_divider : process(clk, reset)
+-- variable tt : natural range 0 to 1;
+-- begin
+-- if reset = '1' then
+-- sl_clk_s <= '0';
+-- tt := 0;
+-- elsif rising_edge(clk) then
+-- sl_clk_s <= '0';
+-- if tt = 1 then
+-- sl_clk_s <= '1';
+-- tt := 0;
+-- else
+-- tt := tt+1;
+-- end if;
+-- end if;
+-- end process;
+
+end architecture RTL;
diff --git a/cicReal/simulation/vivado/clean.sh b/cicReal/simulation/vivado/clean.sh
new file mode 100644
index 0000000..3881593
--- /dev/null
+++ b/cicReal/simulation/vivado/clean.sh
@@ -0,0 +1,2 @@
+rm -f xelab* xsim.* xsim_* xvhdl.* *.log *.jour -r
+
diff --git a/cicReal/simulation/vivado/data2q.dat b/cicReal/simulation/vivado/data2q.dat
new file mode 100644
index 0000000..1f0e85f
--- /dev/null
+++ b/cicReal/simulation/vivado/data2q.dat
@@ -0,0 +1,1024 @@
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-27
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-25
+-23
+-20
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-5
+-8
+-11
+-14
+-17
+-19
+-22
+-23
+-25
+-26
+-27
+-27
+-27
+-26
+-25
+-24
+-22
+-20
+-17
+-14
+-11
+-8
+-5
+1
+-2
+-5
+-9
+-12
+-15
+-18
+-20
+-22
+-24
+-26
+-27
+-28
+-28
+-28
+-27
+-26
+-24
+-23
+-21
+-18
+-15
+-12
+-9
+-6
+2
+-1
+-4
+-8
+-11
+-14
+-17
+-19
+-21
+-23
+-25
diff --git a/cicReal/simulation/vivado/firReal.prj b/cicReal/simulation/vivado/firReal.prj
new file mode 100755
index 0000000..9c2226e
--- /dev/null
+++ b/cicReal/simulation/vivado/firReal.prj
@@ -0,0 +1,6 @@
+vhdl work ../../hdl/firReal_ram.vhd
+vhdl work ../../hdl/firReal_proc.vhd
+vhdl work ../../hdl/firReal_top.vhd
+vhdl work ../ram_storage16.vhd
+vhdl work ../readFromFile.vhd
+vhdl work ../top_enable_tb.vhd
diff --git a/cicReal/simulation/vivado/firReal_options.tcl b/cicReal/simulation/vivado/firReal_options.tcl
new file mode 100644
index 0000000..2da2347
--- /dev/null
+++ b/cicReal/simulation/vivado/firReal_options.tcl
@@ -0,0 +1,2 @@
+add_wave -radix hex /top_enable_tb
+run 1000 us
diff --git a/cicReal/simulation/vivado/script.sh b/cicReal/simulation/vivado/script.sh
new file mode 100755
index 0000000..4950381
--- /dev/null
+++ b/cicReal/simulation/vivado/script.sh
@@ -0,0 +1,14 @@
+FILES="readComplexFromFile.vhd ../hdl/firReal_ram.vhd"
+FILES=$FILES" ../hdl/firReal_ram_coeff.vhd"
+FILES=$FILES" ../hdl/firReal_ram.vhd ../hdl/firReal_transfert.vhd"
+FILES=$FILES" ../hdl/firReal_coeff_handler.vhd ../hdl/firReal_data_handler.vhd"
+FILES=$FILES" ../hdl/firReal_comp_complex.vhd"
+FILES=$FILES" ../hdl/firReal_comp_butterfly.vhd ../hdl/firReal_loop_radix.vhd"
+
+FILES=$FILES" ../hdl/firReal_loop_stage.vhd ../hdl/firReal_top_logic.vhd"
+FILES=$FILES" top_firReal_tb.vhd"
+
+xvhdl $FILES
+xelab top_firReal_tb -debug typical -s top_sim
+xsim top_sim -gui
+#-t xsim_script.tcl
diff --git a/cicReal/simulation/vivado/vivado.sh b/cicReal/simulation/vivado/vivado.sh
new file mode 100755
index 0000000..abe9862
--- /dev/null
+++ b/cicReal/simulation/vivado/vivado.sh
@@ -0,0 +1,8 @@
+#xvhdl $FILES
+xelab top_enable_tb -prj firReal.prj -debug typical -s top_sim
+#xsim top_sim -gui
+#-t xsim_script.tcl
+xsim -g -wdb top_sim.wdb top_sim
+
+## juste pour compiler dans une fenetre tcl
+#xsim {top_sim} -wdb {top_sim.wdb} -autoloadwcfg
diff --git a/cicReal/xgui/firReal_v1_0.tcl b/cicReal/xgui/firReal_v1_0.tcl
new file mode 100644
index 0000000..ad5bbbe
--- /dev/null
+++ b/cicReal/xgui/firReal_v1_0.tcl
@@ -0,0 +1,160 @@
+# Definitional proc to organize widgets for parameters.
+proc init_gui { IPINST } {
+ ipgui::add_param $IPINST -name "Component_Name"
+ #Adding Page
+ set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
+ ipgui::add_param $IPINST -name "data_signed" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DATA_IN_SIZE" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DATA_OUT_SIZE" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "COEFF_SIZE" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "NB_COEFF" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "coeff_format" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "DECIMATE_FACTOR" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "ID" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "C_S00_AXI_ADDR_WIDTH" -parent ${Page_0}
+ ipgui::add_param $IPINST -name "C_S00_AXI_DATA_WIDTH" -parent ${Page_0}
+
+
+}
+
+proc update_PARAM_VALUE.COEFF_SIZE { PARAM_VALUE.COEFF_SIZE } {
+ # Procedure called to update COEFF_SIZE when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.COEFF_SIZE { PARAM_VALUE.COEFF_SIZE } {
+ # Procedure called to validate COEFF_SIZE
+ return true
+}
+
+proc update_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
+ # Procedure called to update C_S00_AXI_ADDR_WIDTH when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
+ # Procedure called to validate C_S00_AXI_ADDR_WIDTH
+ return true
+}
+
+proc update_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
+ # Procedure called to update C_S00_AXI_DATA_WIDTH when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
+ # Procedure called to validate C_S00_AXI_DATA_WIDTH
+ return true
+}
+
+proc update_PARAM_VALUE.DATA_IN_SIZE { PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to update DATA_IN_SIZE when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DATA_IN_SIZE { PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to validate DATA_IN_SIZE
+ return true
+}
+
+proc update_PARAM_VALUE.DATA_OUT_SIZE { PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to update DATA_OUT_SIZE when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DATA_OUT_SIZE { PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to validate DATA_OUT_SIZE
+ return true
+}
+
+proc update_PARAM_VALUE.DECIMATE_FACTOR { PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to update DECIMATE_FACTOR when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.DECIMATE_FACTOR { PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to validate DECIMATE_FACTOR
+ return true
+}
+
+proc update_PARAM_VALUE.ID { PARAM_VALUE.ID } {
+ # Procedure called to update ID when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.ID { PARAM_VALUE.ID } {
+ # Procedure called to validate ID
+ return true
+}
+
+proc update_PARAM_VALUE.NB_COEFF { PARAM_VALUE.NB_COEFF } {
+ # Procedure called to update NB_COEFF when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.NB_COEFF { PARAM_VALUE.NB_COEFF } {
+ # Procedure called to validate NB_COEFF
+ return true
+}
+
+proc update_PARAM_VALUE.coeff_format { PARAM_VALUE.coeff_format } {
+ # Procedure called to update coeff_format when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.coeff_format { PARAM_VALUE.coeff_format } {
+ # Procedure called to validate coeff_format
+ return true
+}
+
+proc update_PARAM_VALUE.data_signed { PARAM_VALUE.data_signed } {
+ # Procedure called to update data_signed when any of the dependent parameters in the arguments change
+}
+
+proc validate_PARAM_VALUE.data_signed { PARAM_VALUE.data_signed } {
+ # Procedure called to validate data_signed
+ return true
+}
+
+
+proc update_MODELPARAM_VALUE.ID { MODELPARAM_VALUE.ID PARAM_VALUE.ID } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.ID}] ${MODELPARAM_VALUE.ID}
+}
+
+proc update_MODELPARAM_VALUE.coeff_format { MODELPARAM_VALUE.coeff_format PARAM_VALUE.coeff_format } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.coeff_format}] ${MODELPARAM_VALUE.coeff_format}
+}
+
+proc update_MODELPARAM_VALUE.NB_COEFF { MODELPARAM_VALUE.NB_COEFF PARAM_VALUE.NB_COEFF } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.NB_COEFF}] ${MODELPARAM_VALUE.NB_COEFF}
+}
+
+proc update_MODELPARAM_VALUE.COEFF_SIZE { MODELPARAM_VALUE.COEFF_SIZE PARAM_VALUE.COEFF_SIZE } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.COEFF_SIZE}] ${MODELPARAM_VALUE.COEFF_SIZE}
+}
+
+proc update_MODELPARAM_VALUE.DECIMATE_FACTOR { MODELPARAM_VALUE.DECIMATE_FACTOR PARAM_VALUE.DECIMATE_FACTOR } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DECIMATE_FACTOR}] ${MODELPARAM_VALUE.DECIMATE_FACTOR}
+}
+
+proc update_MODELPARAM_VALUE.DATA_IN_SIZE { MODELPARAM_VALUE.DATA_IN_SIZE PARAM_VALUE.DATA_IN_SIZE } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DATA_IN_SIZE}] ${MODELPARAM_VALUE.DATA_IN_SIZE}
+}
+
+proc update_MODELPARAM_VALUE.DATA_OUT_SIZE { MODELPARAM_VALUE.DATA_OUT_SIZE PARAM_VALUE.DATA_OUT_SIZE } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.DATA_OUT_SIZE}] ${MODELPARAM_VALUE.DATA_OUT_SIZE}
+}
+
+proc update_MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH { MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_DATA_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH}
+}
+
+proc update_MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH { MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH}
+}
+
+proc update_MODELPARAM_VALUE.data_signed { MODELPARAM_VALUE.data_signed PARAM_VALUE.data_signed } {
+ # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
+ set_property value [get_property value ${PARAM_VALUE.data_signed}] ${MODELPARAM_VALUE.data_signed}
+}
+
diff --git a/tools/print_businterfaces.py b/tools/print_businterfaces.py
index c079145..80fd723 100755
--- a/tools/print_businterfaces.py
+++ b/tools/print_businterfaces.py
@@ -1,4 +1,4 @@
-#!/usr/bin/python3
+#!/usr/bin/env python3
import sys
from ipyxact.ipyxact import Component