diff --git a/AdjointPlugin0Quickstart.ipynb b/AdjointPlugin0Quickstart.ipynb deleted file mode 100644 index de1e3a6a..00000000 --- a/AdjointPlugin0Quickstart.ipynb +++ /dev/null @@ -1,555 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "93065d0a-b47a-464c-b51b-1b9705b0fe5a", - "metadata": {}, - "source": [ - "# Inverse design quickstart\n", - "\n", - "This notebook will get users up and running with a very simple inverse design optimization with `tidy3d`. Inverse design uses the \"adjoint method\" to compute gradients of a figure of merit with respect to design parameters using only 2 simulations no matter how many design parameters are present. This gradient is then used to do high dimensional, gradient-based optimization of the system.\n", - "\n", - "The setup we'll demonstrate here involves a point dipole source and a point field monitor on either side of a dielectric box. Using the adjoint plugin in `tidy3d`, we use gradient-based optimization to maximize the intensity enhancement at the measurement spot with respect to the box size in all 3 dimensions.\n", - "\n", - "\"Schematic\n", - "\n", - "For more detailed notebooks, see these\n", - "\n", - "* [Tidy3D Adjoint Tutorial](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", - "\n", - "* [Topology Optimization](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/).\n", - "\n", - "* [Shape Optimization](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/).\n", - "\n", - "* [Grating Coupler Inverse Design](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/).\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "b876c5fa-c839-46fa-8199-3318f08e49c7", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# To install tidy3d and the other packages needed, uncomment lines below.\n", - "# !pip install \"tidy3d[jax]\"\n", - "# !pip install optax" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "19017d86-5994-4740-8913-a76f4a994a2d", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import optax\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda" - ] - }, - { - "cell_type": "markdown", - "id": "42571988-4a98-407d-8bc2-03b7efc24427", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "First, we set up some basic parameters and \"static\" components of our simulation." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "f043dfbf-4fe1-458c-9227-0d953b3b12ef", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# wavelength and frequency\n", - "wavelength = 1.55\n", - "freq0 = td.C_0 / wavelength\n", - "\n", - "# permittivity of box\n", - "eps_box = 2\n", - "\n", - "# size of sim in x,y,z\n", - "L = 10 * wavelength\n", - "\n", - "# spc between sources, monitors, and PML / box\n", - "buffer = 1.0 * wavelength" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "98e99e56-9ade-441f-8128-e94e1eaf538e", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# create a source to the left of sim\n", - "source = td.PointDipole(\n", - " center=(-L / 2 + buffer, 0, 0),\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=freq0 / 10.0),\n", - " polarization=\"Ez\",\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "9051ee45-42db-40cb-8d10-618e7ab49950", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# create a monitor to right of sim for measuring intensity\n", - "monitor = td.FieldMonitor(\n", - " center=(+L / 2 - buffer, 0, 0),\n", - " size=(0, 0, 0),\n", - " freqs=[freq0],\n", - " name=\"point\",\n", - " colocate=False,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "bfd01ac0-788f-448e-ba94-67d003d83bae", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# create \"base\" simulation (the box will be added inside of the objective function later)\n", - "sim = tda.JaxSimulation(\n", - " size=(L, L, L),\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=25),\n", - " structures=[],\n", - " sources=[source],\n", - " output_monitors=[monitor],\n", - " monitors=[],\n", - " run_time=120 / freq0,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "f9de2970-f755-48cb-8bb2-33eb50c8741f", - "metadata": {}, - "source": [ - "## Define objective function\n", - "\n", - "Now we construct our objective function out of some helper functions. Our objective function measures the intensity enhancement at the measurement point as a function of a design parameter that controls the box size." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "ce3b84b1-e152-46d6-ad8f-c8e53e01901f", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# function to get box size (um) as a function of the design parameter (-inf, inf)\n", - "\n", - "size_min = 0\n", - "size_max = L - 4 * buffer\n", - "\n", - "\n", - "def get_size(param: float):\n", - " \"\"\"Size of box as function of parameter, smoothly maps (-inf, inf) to (size_min, size_max).\"\"\"\n", - " param_01 = 0.5 * (jnp.tanh(param) + 1)\n", - " return (size_max * param_01) + (size_min * (1 - param_01))" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "a5c1bd63-332f-4b71-9f1a-3932e18fe582", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# function to construct the simulation as a function of the design parameter\n", - "\n", - "\n", - "def make_sim(param: float) -> float:\n", - " \"\"\"Make simulation with a Box added, as given by the design parameter.\"\"\"\n", - "\n", - " # for normalization, ignore any structures and return base sim\n", - " if param is None:\n", - " return sim.copy()\n", - "\n", - " # make a Box with the side length set by the parameter\n", - " size_box = get_size(param)\n", - "\n", - " box = tda.JaxStructure(\n", - " geometry=tda.JaxBox(center=(0, 0, 0), size=(size_box, size_box, size_box)),\n", - " medium=tda.JaxMedium(permittivity=eps_box),\n", - " )\n", - "\n", - " # add the box to the simulation\n", - " return sim.updated_copy(input_structures=[box])" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "84f53e4a-fb12-4a69-9639-bd1e02ab61bb", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# function to compute and measure intensity as function of the design parameter\n", - "\n", - "\n", - "def intensity(param: float) -> float:\n", - " \"\"\"Intensity measured at monitor as function of parameter.\"\"\"\n", - "\n", - " # make the sim using the parameter value\n", - " sim_with_square = make_sim(param)\n", - "\n", - " # run sim through tidy3d web API\n", - " data = tda.web.run_local(sim_with_square, task_name=\"adjoint_quickstart\", verbose=False)\n", - "\n", - " # evaluate the intensity at the measurement position\n", - " return jnp.sum(jnp.array(data.get_intensity(monitor.name).values))" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "2a81c4b6-ac30-44b4-b6f0-313115650319", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "With no box, intensity = 95.8381.\n", - "This value will be used for normalization of the objective function.\n" - ] - } - ], - "source": [ - "# get the intensity with no box, for normalization (care about enhancement, not abs value)\n", - "intensity_norm = intensity(param=None)\n", - "print(f\"With no box, intensity = {intensity_norm:.4f}.\")\n", - "print(\"This value will be used for normalization of the objective function.\")" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "4bc808fb-c6e2-4678-8779-b2e894b9801c", - "metadata": {}, - "outputs": [], - "source": [ - "def objective_fn(param: float) -> float:\n", - " \"\"\"Intensity at measurement point, normalized by intensity with no box.\"\"\"\n", - " return intensity(param) / intensity_norm" - ] - }, - { - "cell_type": "markdown", - "id": "edb2d3c1-6065-4b77-a525-cfbe1d19cacc", - "metadata": {}, - "source": [ - "## Optimization Loop\n", - "\n", - "Next, we use jax to construct a function that returns the gradient of our objective function and use this to run our gradient-based optimization in a for loop." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "27726803-439a-4bbe-a143-40846a11be97", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# use jax to get function that returns objective function and its gradient\n", - "val_and_grad_fn = jax.value_and_grad(objective_fn)" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "11a25a43-795c-4202-b9e5-dcad237625c1", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tparam = -0.5000\n", - "\tsize = 2.5012 um\n", - "\tintensity = 5.9137e+00\n", - "\tgrad_norm = 1.3624e+00\n", - "step = 2\n", - "\tparam = -0.4500\n", - "\tsize = 2.6882 um\n", - "\tintensity = 9.4693e+00\n", - "\tgrad_norm = 2.1216e+00\n", - "step = 3\n", - "\tparam = -0.4006\n", - "\tsize = 2.8809 um\n", - "\tintensity = 1.1347e+01\n", - "\tgrad_norm = 1.9069e+00\n", - "step = 4\n", - "\tparam = -0.3509\n", - "\tsize = 3.0823 um\n", - "\tintensity = 1.2976e+01\n", - "\tgrad_norm = 2.3161e+00\n", - "step = 5\n", - "\tparam = -0.3008\n", - "\tsize = 3.2919 um\n", - "\tintensity = 1.6472e+01\n", - "\tgrad_norm = 4.8547e+00\n", - "step = 6\n", - "\tparam = -0.2531\n", - "\tsize = 3.4978 um\n", - "\tintensity = 1.8129e+01\n", - "\tgrad_norm = 2.0547e+00\n", - "step = 7\n", - "\tparam = -0.2058\n", - "\tsize = 3.7064 um\n", - "\tintensity = 1.7655e+01\n", - "\tgrad_norm = 2.4113e+00\n", - "step = 8\n", - "\tparam = -0.1583\n", - "\tsize = 3.9201 um\n", - "\tintensity = 2.3258e+01\n", - "\tgrad_norm = 2.0198e+00\n", - "step = 9\n", - "\tparam = -0.1112\n", - "\tsize = 4.1351 um\n", - "\tintensity = 2.2814e+01\n", - "\tgrad_norm = 3.2321e+00\n" - ] - } - ], - "source": [ - "# hyperparameters\n", - "num_steps = 9\n", - "learning_rate = 0.05\n", - "\n", - "# initialize adam optimizer with starting parameter\n", - "param = -0.5\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(param)\n", - "\n", - "# store history\n", - "objective_history = [1.0] # the normalized objective function with no box\n", - "param_history = [-100, param] # -100 is approximately \"no box\" (size=0)\n", - "\n", - "for i in range(num_steps):\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tparam = {param:.4f}\")\n", - " print(f\"\\tsize = {get_size(param):.4f} um\")\n", - "\n", - " # compute gradient and current objective function value\n", - " value, gradient = val_and_grad_fn(param)\n", - "\n", - " # outputs\n", - " print(f\"\\tintensity = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {jnp.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, param)\n", - " param = optax.apply_updates(param, updates)\n", - "\n", - " # save history\n", - " objective_history.append(value)\n", - " param_history.append(param)" - ] - }, - { - "cell_type": "markdown", - "id": "df018f54-63dc-4015-ad19-83a5c09ce3ea", - "metadata": {}, - "source": [ - "## Analysis\n", - "Finally we plot our results: optimization progress, field pattern, and box size vs intensity enhancement." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "4ae5c5bc-254d-4d9b-833b-9854e3da4962", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# objective function vs iteration number\n", - "plt.plot(objective_history)\n", - "plt.xlabel(\"iteration number\")\n", - "plt.ylabel(\"intensity enhancement (unitless)\")\n", - "plt.title(\"intensity enhancement during optimization\")\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "9d9439d6-86bd-4e77-b5e5-e3e25f74c784", - "metadata": {}, - "outputs": [], - "source": [ - "# construct simulation with final parameters\n", - "sim_final = make_sim(param=param_history[-1])\n", - "\n", - "# add a field monitor for plotting\n", - "fld_mnt = td.FieldMonitor(\n", - " center=(+L / 2 - buffer, 0, 0),\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " name=\"fields\",\n", - " colocate=False,\n", - ")\n", - "sim_final = sim_final.updated_copy(monitors=[fld_mnt])\n", - "\n", - "# run simulation\n", - "data_final = tda.web.run_local(sim_final, task_name=\"quickstart_final\", verbose=False)" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "e41d813e-492e-42f0-879c-7eedde050698", - "metadata": {}, - "outputs": [], - "source": [ - "# record final intensity\n", - "intensity_final = jnp.sum(jnp.array(data_final.get_intensity(monitor.name).values))\n", - "intensity_final_normalized = intensity_final / intensity_norm\n", - "\n", - "objective_history.append(intensity_final_normalized)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "e48e1432-a162-46eb-9776-8100de2d7848", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# plot intensity distribution\n", - "ax = data_final.plot_field(\n", - " field_monitor_name=\"fields\", field_name=\"E\", val=\"abs^2\", vmax=intensity_final\n", - ")\n", - "\n", - "ax.plot(source.center[0], 0, marker=\"o\", mfc=\"limegreen\", mec=\"black\", ms=10)\n", - "ax.plot(monitor.center[0], 0, marker=\"o\", mfc=\"orange\", mec=\"black\", ms=10)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "6f600f1e-e509-426d-ba7a-1b4b148ed336", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# scatter the intensity enhancement vs the box size\n", - "sizes = [get_size(p) for p in param_history]\n", - "objective_history = objective_history\n", - "_ = plt.scatter(sizes, objective_history)\n", - "ax = plt.gca()\n", - "ax.set_xlabel(\"box size (um)\")\n", - "ax.set_ylabel(\"intensity enhancement (unitless)\")\n", - "plt.title(\"intensity enhancement vs. box size\")\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "af29cf82-fa46-4e91-934a-5686fff6785f", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates how to start a basic inverse design in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, adjoint optimization, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "title": "Inverse Design Quickstart in Tidy3D Using the Adjoint Plugin | Flexcompute" - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin10YBranchLevelSet.ipynb b/AdjointPlugin10YBranchLevelSet.ipynb deleted file mode 100644 index 7be84ed2..00000000 --- a/AdjointPlugin10YBranchLevelSet.ipynb +++ /dev/null @@ -1,2269 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Parameterized level set optimization of a y-branch\n", - "\n", - "> **Note: the cost of running the entire notebook is higher than 1 FlexCredit.**\n", - "\n", - "This notebook demonstrates how to set up and run a parameterized level set-based optimization of a Y-branch. In this approach, we use `jax` to generate a level set surface $\\phi(\\rho)$ given a set of control knots $\\rho$. The permittivity distribution is then obtained implicitly from the zero level set isocontour. Details about the level set method can be found [here](). Minimum gap and curvature penalty terms are introduced in the optimization to control the minimum feature size, hence improving device fabrication. In addition, we show how to tailor the initial level set function to a starting geometry, which is helpful to further optimize a device obtained by conventional design.\n", - "\n", - "You can also find some interesting adjoint functionalities for shape optimization in [Inverse design optimization of a waveguide taper](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/) and [Adjoint-based shape optimization of a waveguide bend](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin8WaveguideBend/). If you are new to the finite-difference time-domain (FDTD) method, we highly recommend going through our [FDTD101](https://www.flexcompute.com/tidy3d/learning-center/fdtd101/) tutorials. FDTD simulations can diverge due to various reasons. If you run into any simulation divergence issues, please follow the steps outlined in our [troubleshooting guide](https://www.flexcompute.com/tidy3d/examples/notebooks/DivergedFDTDSimulation/) to resolve it.\n", - "\n", - "\"Y-branch" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's start by importing the Python libraries used throughout this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# Standard python imports.\n", - "import pickle\n", - "from typing import List\n", - "\n", - "import gdstk\n", - "\n", - "# Import jax to be able to use automatic differentiation.\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "import optax\n", - "\n", - "# Import regular tidy3d.\n", - "import tidy3d as td\n", - "\n", - "# Import the components we need from the adjoint plugin.\n", - "import tidy3d.plugins.adjoint as tda\n", - "import tidy3d.web as web\n", - "from jax import value_and_grad\n", - "from tidy3d.plugins.adjoint.web import run\n", - "\n", - "plt.rcParams[\"font.size\"] = \"12\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Y-branch Inverse Design Configuration\n", - "\n", - "The y-branch splits the power from an input waveguide into two other output waveguides. Here, we are considering a gap of 0.3 $\\mu m$ between the output waveguides for illustration purposes. However, when considering the design of a practical device, this value can be smaller. S-bends are included to keep the output waveguides apart from each other to prevent mode coupling.\n", - "\n", - "Next, you can set the y-branch geometry and the inverse design parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Geometric parameters.\n", - "y_width = 1.7 # Y-branch maximum width (um).\n", - "y_length = 1.7 # Y-branch maximum length (um).\n", - "w_thick = 0.22 # Waveguide thickness (um).\n", - "w_width = 0.5 # Waveguide width (um).\n", - "w_length = 1.0 # Input output waveguide length (um).\n", - "w_gap = 0.3 # Gap between the output waveguides (um).\n", - "bend_length = 3 # Output waveguide bend length (um).\n", - "bend_offset = 0.5 # Offset between output bends (um).\n", - "\n", - "# Material.\n", - "nSi = 3.48 # Silicon refractive index.\n", - "\n", - "# Inverse design set up parameters.\n", - "grid_size = 0.016 # Simulation grid size on design region (um).\n", - "ls_grid_size = 0.004 # Discretization size of the level set function (um).\n", - "ls_down_sample = (\n", - " 20 # The spacing between the level set control knots is given by ls_grid_size*ls_down_sample.\n", - ")\n", - "fom_name_1 = \"fom_field1\" # Name of the monitor used to compute the objective function.\n", - "min_feature_size = 0.14 # Minimum fabrication feature size (um).\n", - "gap_par = 1.0 # Parameter to minimum gap fabrication constraint.\n", - "curve_par = 1.5 # Parameter of minimum curvature fabrication constraint.\n", - "\n", - "# Optimizer parameters.\n", - "iterations = 100 # Maximum number of iterations in optimization.\n", - "learning_rate = 0.03\n", - "\n", - "# Simulation wavelength.\n", - "wl = 1.55 # Central simulation wavelength (um).\n", - "bw = 0.06 # Simulation bandwidth (um).\n", - "n_wl = 61 # Number of wavelength points within the bandwidth." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "From the parameters defined before, a lot of variables are computed and used to set up the optimization." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# Minimum and maximum values for the permittivities.\n", - "eps_max = nSi**2\n", - "eps_min = 1.0\n", - "\n", - "# Material definition.\n", - "mat_si = td.Medium(permittivity=eps_max) # Waveguide material.\n", - "\n", - "# Wavelengths and frequencies.\n", - "wl_max = wl + bw / 2\n", - "wl_min = wl - bw / 2\n", - "wl_range = np.linspace(wl_min, wl_max, n_wl)\n", - "freq = td.C_0 / wl\n", - "freqs = td.C_0 / wl_range\n", - "freqw = 0.5 * (freqs[0] - freqs[-1])\n", - "run_time = 5e-13\n", - "\n", - "# Computational domain size.\n", - "pml_spacing = 0.6 * wl\n", - "size_x = 2 * w_length + y_length + bend_length\n", - "size_y = w_gap + 2 * (bend_offset + w_width + pml_spacing)\n", - "size_z = w_thick + 2 * pml_spacing\n", - "eff_inf = 10\n", - "\n", - "# Source and monitor positions.\n", - "mon_w = 3 * w_width\n", - "mon_h = 5 * w_thick\n", - "\n", - "# Separation between the level set control knots.\n", - "rho_size = ls_down_sample * ls_grid_size\n", - "\n", - "# Number of points on the parameter grid (rho) and level set grid (phi)\n", - "nx_rho = int(y_length / rho_size) + 1\n", - "ny_rho = int(y_width / rho_size / 2) + 1\n", - "nx_phi = int(y_length / ls_grid_size) + 1\n", - "ny_phi = int(y_width / ls_grid_size / 2) + 1\n", - "npar = nx_rho * ny_rho\n", - "ny_rho *= 2\n", - "ny_phi *= 2\n", - "\n", - "# Design region size\n", - "dr_size_x = (nx_phi - 1) * ls_grid_size\n", - "dr_size_y = (ny_phi - 1) * ls_grid_size\n", - "dr_center_x = -size_x / 2 + w_length + dr_size_x / 2\n", - "\n", - "# xy coordinates of the parameter and level set grids.\n", - "x_rho = np.linspace(dr_center_x - dr_size_x / 2, dr_center_x + dr_size_x / 2, nx_rho)\n", - "x_phi = np.linspace(dr_center_x - dr_size_x / 2, dr_center_x + dr_size_x / 2, nx_phi)\n", - "y_rho = np.linspace(-dr_size_y / 2, dr_size_y / 2, ny_rho)\n", - "y_phi = np.linspace(-dr_size_y / 2, dr_size_y / 2, ny_phi)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Level Set Functions\n", - "\n", - "We are using `jax` to implement a parameterized level set function so the gradients can be back-propagated from the permittivity distribution defined by the zero level set isocontour to the design variables (the control knots of the level set surface). The space between the control knots and the Gaussian function width obtains some control over the minimum feature size. Other types of radial basis functions can also be used in replacement of the Gaussian one employed here, such as [multiquadric splines]() or [b-splines]().\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "class LevelSetInterp:\n", - " \"\"\"This class implements the level set surface using Gaussian radial basis functions.\"\"\"\n", - "\n", - " def __init__(\n", - " self,\n", - " x0: jnp.ndarray = None,\n", - " y0: jnp.ndarray = None,\n", - " z0: jnp.ndarray = None,\n", - " sigma: float = None,\n", - " ):\n", - " # Input data.\n", - " x, y = jnp.meshgrid(y0, x0)\n", - " xy0 = jnp.column_stack((x.reshape(-1), y.reshape(-1)))\n", - " self.xy0 = xy0\n", - " self.z0 = z0\n", - " self.sig = sigma\n", - " # Builds the level set interpolation model.\n", - " gauss_kernel = self.gaussian(self.xy0, self.xy0)\n", - " self.model = jnp.dot(jnp.linalg.inv(gauss_kernel), self.z0)\n", - "\n", - " def gaussian(self, xyi, xyj):\n", - " dist = jnp.sqrt(\n", - " (xyi[:, 1].reshape(-1, 1) - xyj[:, 1].reshape(1, -1)) ** 2\n", - " + (xyi[:, 0].reshape(-1, 1) - xyj[:, 0].reshape(1, -1)) ** 2\n", - " )\n", - " return jnp.exp(-(dist**2) / (2 * self.sig**2))\n", - "\n", - " def get_ls(self, x1, y1):\n", - " xx, yy = jnp.meshgrid(y1, x1)\n", - " xy1 = jnp.column_stack((xx.reshape(-1), yy.reshape(-1)))\n", - " ls = self.gaussian(self.xy0, xy1).T @ self.model\n", - " return ls\n", - "\n", - "\n", - "# Function to plot the level set surface.\n", - "def plot_level_set(x0, y0, rho, x1, y1, phi):\n", - " y, x = np.meshgrid(y0, x0)\n", - " yy, xx = np.meshgrid(y1, x1)\n", - "\n", - " fig = plt.figure(figsize=(12, 6), tight_layout=True)\n", - " ax1 = fig.add_subplot(1, 2, 1, projection=\"3d\")\n", - " ax1.view_init(elev=45, azim=-45, roll=0)\n", - " ax1.plot_surface(xx, yy, phi, cmap=\"RdBu\", alpha=0.8)\n", - " ax1.contourf(\n", - " xx, yy, phi, levels=[np.amin(phi), 0], zdir=\"z\", offset=0, colors=[\"k\", \"w\"], alpha=0.5\n", - " )\n", - " ax1.contour3D(xx, yy, phi, 1, cmap=\"binary\", linewidths=[2])\n", - " ax1.scatter(x, y, rho, color=\"black\", linewidth=1.0)\n", - " ax1.set_title(\"Level set surface\")\n", - " ax1.set_xlabel(r\"x ($\\mu m$)\")\n", - " ax1.set_ylabel(r\"y ($\\mu m$)\")\n", - " ax1.xaxis.pane.fill = False\n", - " ax1.yaxis.pane.fill = False\n", - " ax1.zaxis.pane.fill = False\n", - " ax1.xaxis.pane.set_edgecolor(\"w\")\n", - " ax1.yaxis.pane.set_edgecolor(\"w\")\n", - " ax1.zaxis.pane.set_edgecolor(\"w\")\n", - "\n", - " ax2 = fig.add_subplot(1, 2, 2)\n", - " ax2.contourf(xx, yy, phi, levels=[0, np.amax(phi)], colors=[[0, 0, 0]])\n", - " ax2.set_title(\"Zero level set contour\")\n", - " ax2.set_xlabel(r\"x ($\\mu m$)\")\n", - " ax2.set_ylabel(r\"y ($\\mu m$)\")\n", - " ax2.set_aspect(\"equal\")\n", - " plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To map the permittivities to the zero-level set contour and obtain continuous derivatives, we use a hyperbolic tangent function as an approximation to a Heaviside function. Other smooth functions, such as sigmoid and arctangent, can also be employed. As discussed [here](https://www.sciencedirect.com/science/article/pii/S0009250917303986), the difference on computed interface using different functions will decrease when reducing the mesh size." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "def mirror_param(design_param):\n", - " param = jnp.array(design_param).reshape((nx_rho, int(ny_rho / 2)))\n", - " return jnp.concatenate((jnp.fliplr(jnp.copy(param)), param), axis=1).flatten()\n", - "\n", - "\n", - "def get_eps(design_param, sharpness=10, plot_levelset=False) -> np.ndarray:\n", - " \"\"\"Returns the permittivities defined by the zero level set isocontour\"\"\"\n", - " phi_model = LevelSetInterp(x0=x_rho, y0=y_rho, z0=design_param, sigma=rho_size)\n", - " phi = phi_model.get_ls(x1=x_phi, y1=y_phi)\n", - "\n", - " # Calculates the permittivities from the level set surface.\n", - " eps_phi = 0.5 * (jnp.tanh(sharpness * phi) + 1)\n", - " eps = eps_min + (eps_max - eps_min) * eps_phi\n", - " eps = jnp.maximum(eps, eps_min)\n", - " eps = jnp.minimum(eps, eps_max)\n", - "\n", - " # Reshapes the design parameters into a 2D matrix.\n", - " eps = jnp.reshape(eps, (nx_phi, ny_phi))\n", - "\n", - " # Plots the level set surface.\n", - " if plot_levelset:\n", - " rho = np.reshape(design_param, (nx_rho, ny_rho))\n", - " phi = np.reshape(phi, (nx_phi, ny_phi))\n", - " plot_level_set(x0=x_rho, y0=y_rho, rho=rho, x1=x_phi, y1=y_phi, phi=phi)\n", - "\n", - " return eps" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the next function, the permittivity values are used to build a [JaxCustomMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxCustomMedium.html) within the design region." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "def update_design(eps, unfold=False) -> List[tda.JaxStructure]:\n", - " # Reflects the structure about the x-axis.\n", - " eps_val = jnp.array(eps).reshape((nx_phi, ny_phi, 1, 1))\n", - " coords_x = [(dr_center_x - dr_size_x / 2) + ix * ls_grid_size for ix in range(nx_phi)]\n", - "\n", - " if not unfold:\n", - " # Creation of a JaxCustomMedium using the values of the design parameters.\n", - " coords_yp = [0 + iy * ls_grid_size for iy in range(int(ny_phi / 2))]\n", - " coords = dict(x=coords_x, y=coords_yp, z=[0], f=[freq])\n", - " eps_jax = {\n", - " f\"eps_{dim}{dim}\": tda.JaxDataArray(\n", - " values=eps_val[:, int(ny_phi / 2) :, :, :], coords=coords\n", - " )\n", - " for dim in \"xyz\"\n", - " }\n", - " eps_dataset = tda.JaxPermittivityDataset(**eps_jax)\n", - " eps_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset, interp_method=\"linear\")\n", - " box = tda.JaxBox(\n", - " center=(dr_center_x, dr_size_y / 4, 0), size=(dr_size_x, dr_size_y / 2, w_thick)\n", - " )\n", - " structure = [tda.JaxStructure(geometry=box, medium=eps_medium)]\n", - "\n", - " else:\n", - " # Creation of a CustomMedium using the values of the design parameters.\n", - " coords_y = [-dr_size_y / 2 + iy * ls_grid_size for iy in range(ny_phi)]\n", - " coords = dict(x=coords_x, y=coords_y, z=[0], f=[freq])\n", - " eps_jax = {\n", - " f\"eps_{dim}{dim}\": tda.JaxDataArray(values=eps_val, coords=coords) for dim in \"xyz\"\n", - " }\n", - " eps_dataset = tda.JaxPermittivityDataset(**eps_jax)\n", - " eps_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset, interp_method=\"linear\")\n", - " box = tda.JaxBox(center=(dr_center_x, 0, 0), size=(dr_size_x, dr_size_y, w_thick))\n", - " structure = [tda.JaxStructure(geometry=box, medium=eps_medium)]\n", - " return structure" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Initial Structure\n", - "\n", - "We built an initial y-brach structure containing some holes and different gap sizes to demonstrate how the design evolves under fabrication constraints. We define this structure using a `PolySlab` object and then translate it into a permittivity grid of the same size as the one used to define the level set function. The holes are introduced in the polygon using the [ClipOperation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.ClipOperation.html) object." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "vertices = np.array(\n", - " [\n", - " (-size_x / 2 + w_length, w_width / 2),\n", - " (-size_x / 2 + w_length + 0.5, w_width / 2),\n", - " (-size_x / 2 + w_length + 0.75, w_gap / 2 + w_width),\n", - " (-size_x / 2 + w_length + dr_size_x, w_gap / 2 + w_width),\n", - " (-size_x / 2 + w_length + dr_size_x, w_gap / 2),\n", - " (-size_x / 2 + w_length + 2.5 * dr_size_x / 3, w_gap / 2),\n", - " (-size_x / 2 + w_length + 2.3 * dr_size_x / 3, w_gap / 6),\n", - " (-size_x / 2 + w_length + 1.8 * dr_size_x / 3, w_gap / 6),\n", - " (-size_x / 2 + w_length + 1.8 * dr_size_x / 3, -w_gap / 6),\n", - " (-size_x / 2 + w_length + 2.3 * dr_size_x / 3, -w_gap / 6),\n", - " (-size_x / 2 + w_length + 2.5 * dr_size_x / 3, -w_gap / 2),\n", - " (-size_x / 2 + w_length + dr_size_x, -w_gap / 2),\n", - " (-size_x / 2 + w_length + dr_size_x, -w_gap / 2 - w_width),\n", - " (-size_x / 2 + w_length + 0.75, -w_gap / 2 - w_width),\n", - " (-size_x / 2 + w_length + 0.5, -w_width / 2),\n", - " (-size_x / 2 + w_length, -w_width / 2),\n", - " ]\n", - ")\n", - "\n", - "y_poly = td.PolySlab(vertices=vertices, axis=2, slab_bounds=(-w_thick / 2, w_thick / 2))\n", - "y_hole1 = td.Cylinder(\n", - " center=(-size_x / 2 + w_length + 1.7 * dr_size_x / 3, w_gap / 2 + w_width / 1.75, 0),\n", - " radius=min_feature_size / 3,\n", - " length=w_thick,\n", - " axis=2,\n", - ")\n", - "y_hole2 = td.Cylinder(\n", - " center=(-size_x / 2 + w_length + 1.7 * dr_size_x / 3, -w_gap / 2 - w_width / 1.75, 0),\n", - " radius=min_feature_size / 3,\n", - " length=w_thick,\n", - " axis=2,\n", - ")\n", - "y_hole3 = td.Cylinder(\n", - " center=(-size_x / 2 + w_length + 2.3 * dr_size_x / 3, w_gap / 2 + w_width / 1.75, 0),\n", - " radius=min_feature_size / 1.5,\n", - " length=w_thick,\n", - " axis=2,\n", - ")\n", - "y_hole4 = td.Cylinder(\n", - " center=(-size_x / 2 + w_length + 2.3 * dr_size_x / 3, -w_gap / 2 - w_width / 1.75, 0),\n", - " radius=min_feature_size / 1.5,\n", - " length=w_thick,\n", - " axis=2,\n", - ")\n", - "init_design = td.ClipOperation(operation=\"difference\", geometry_a=y_poly, geometry_b=y_hole1)\n", - "init_design = td.ClipOperation(operation=\"difference\", geometry_a=init_design, geometry_b=y_hole2)\n", - "init_design = td.ClipOperation(operation=\"difference\", geometry_a=init_design, geometry_b=y_hole3)\n", - "init_design = td.ClipOperation(operation=\"difference\", geometry_a=init_design, geometry_b=y_hole4)\n", - "\n", - "init_eps = init_design.inside_meshgrid(x=x_phi, y=y_phi, z=np.zeros(1))\n", - "init_eps = np.squeeze(init_eps) * eps_max\n", - "\n", - "init_design.plot(z=0)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Then an objective function which compares the initial structure and the permittivity distribution generated by the level set zero contour is defined. " - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "# Figure of Merit (FOM) calculation.\n", - "def fom_eps(eps_ref: jnp.ndarray, eps: jnp.ndarray) -> float:\n", - " \"\"\"Calculate the L2 norm between eps_ref and eps.\"\"\"\n", - " return jnp.mean(jnp.abs(eps_ref - eps) ** 2)\n", - "\n", - "\n", - "# Objective function to be passed to the optimization algorithm.\n", - "def obj_eps(design_param, eps_ref) -> float:\n", - " param = mirror_param(design_param)\n", - " eps = get_eps(param)\n", - " return fom_eps(eps_ref, eps)\n", - "\n", - "\n", - "# Function to calculate the objective function value and its\n", - "# gradient with respect to the design parameters.\n", - "obj_grad_eps = value_and_grad(obj_eps)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "So, the initial control knots are obtained after fitting the initial structure using the level set function. This is accomplished by minimizing the L2 norm between the reference and the level set generated permittivities. The fitting is performed by the Adam optimizer from the [Optax](https://optax.readthedocs.io/en/latest/index.html) library. " - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:jax._src.xla_bridge:An NVIDIA GPU may be present on this machine, but a CUDA-enabled jaxlib is not installed. Falling back to cpu.\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Step = 1\n", - "\tobj_eps = 3.6687e+01\n", - "\tgrad_norm = 4.2700e+01\n", - "Step = 2\n", - "\tobj_eps = 3.6697e+00\n", - "\tgrad_norm = 3.2333e+00\n", - "Step = 3\n", - "\tobj_eps = 2.4378e+00\n", - "\tgrad_norm = 1.8882e+00\n", - "Step = 4\n", - "\tobj_eps = 2.1341e+00\n", - "\tgrad_norm = 1.6315e+00\n", - "Step = 5\n", - "\tobj_eps = 1.9714e+00\n", - "\tgrad_norm = 1.3847e+00\n", - "Step = 6\n", - "\tobj_eps = 1.7556e+00\n", - "\tgrad_norm = 1.1197e+00\n", - "Step = 7\n", - "\tobj_eps = 1.5511e+00\n", - "\tgrad_norm = 8.4940e-01\n", - "Step = 8\n", - "\tobj_eps = 1.4104e+00\n", - "\tgrad_norm = 7.0775e-01\n", - "Step = 9\n", - "\tobj_eps = 1.3275e+00\n", - "\tgrad_norm = 6.5512e-01\n", - "Step = 10\n", - "\tobj_eps = 1.2859e+00\n", - "\tgrad_norm = 6.4978e-01\n", - "Step = 11\n", - "\tobj_eps = 1.2632e+00\n", - "\tgrad_norm = 6.6684e-01\n", - "Step = 12\n", - "\tobj_eps = 1.2370e+00\n", - "\tgrad_norm = 6.5305e-01\n", - "Step = 13\n", - "\tobj_eps = 1.2016e+00\n", - "\tgrad_norm = 6.0398e-01\n", - "Step = 14\n", - "\tobj_eps = 1.1636e+00\n", - "\tgrad_norm = 5.5132e-01\n", - "Step = 15\n", - "\tobj_eps = 1.1264e+00\n", - "\tgrad_norm = 5.0319e-01\n", - "Step = 16\n", - "\tobj_eps = 1.0915e+00\n", - "\tgrad_norm = 4.5089e-01\n", - "Step = 17\n", - "\tobj_eps = 1.0631e+00\n", - "\tgrad_norm = 4.0192e-01\n", - "Step = 18\n", - "\tobj_eps = 1.0442e+00\n", - "\tgrad_norm = 3.7285e-01\n", - "Step = 19\n", - "\tobj_eps = 1.0339e+00\n", - "\tgrad_norm = 3.7258e-01\n", - "Step = 20\n", - "\tobj_eps = 1.0277e+00\n", - "\tgrad_norm = 3.7846e-01\n", - "Step = 21\n", - "\tobj_eps = 1.0218e+00\n", - "\tgrad_norm = 3.7785e-01\n", - "Step = 22\n", - "\tobj_eps = 1.0135e+00\n", - "\tgrad_norm = 3.6398e-01\n", - "Step = 23\n", - "\tobj_eps = 1.0019e+00\n", - "\tgrad_norm = 3.2941e-01\n", - "Step = 24\n", - "\tobj_eps = 9.8984e-01\n", - "\tgrad_norm = 2.8871e-01\n", - "Step = 25\n", - "\tobj_eps = 9.8045e-01\n", - "\tgrad_norm = 2.7301e-01\n", - "Step = 26\n", - "\tobj_eps = 9.7357e-01\n", - "\tgrad_norm = 2.7771e-01\n", - "Step = 27\n", - "\tobj_eps = 9.6689e-01\n", - "\tgrad_norm = 2.7823e-01\n", - "Step = 28\n", - "\tobj_eps = 9.5920e-01\n", - "\tgrad_norm = 2.6414e-01\n", - "Step = 29\n", - "\tobj_eps = 9.5129e-01\n", - "\tgrad_norm = 2.3818e-01\n", - "Step = 30\n", - "\tobj_eps = 9.4490e-01\n", - "\tgrad_norm = 2.1175e-01\n", - "Step = 31\n", - "\tobj_eps = 9.4123e-01\n", - "\tgrad_norm = 1.9891e-01\n", - "Step = 32\n", - "\tobj_eps = 9.3983e-01\n", - "\tgrad_norm = 2.0254e-01\n", - "Step = 33\n", - "\tobj_eps = 9.3895e-01\n", - "\tgrad_norm = 2.1227e-01\n", - "Step = 34\n", - "\tobj_eps = 9.3670e-01\n", - "\tgrad_norm = 2.1269e-01\n", - "Step = 35\n", - "\tobj_eps = 9.3249e-01\n", - "\tgrad_norm = 1.9599e-01\n", - "Step = 36\n", - "\tobj_eps = 9.2750e-01\n", - "\tgrad_norm = 1.6543e-01\n", - "Step = 37\n", - "\tobj_eps = 9.2375e-01\n", - "\tgrad_norm = 1.3975e-01\n", - "Step = 38\n", - "\tobj_eps = 9.2225e-01\n", - "\tgrad_norm = 1.4069e-01\n", - "Step = 39\n", - "\tobj_eps = 9.2201e-01\n", - "\tgrad_norm = 1.5765e-01\n", - "Step = 40\n", - "\tobj_eps = 9.2120e-01\n", - "\tgrad_norm = 1.6748e-01\n", - "Step = 41\n", - "\tobj_eps = 9.1871e-01\n", - "\tgrad_norm = 1.5993e-01\n", - "Step = 42\n", - "\tobj_eps = 9.1492e-01\n", - "\tgrad_norm = 1.3628e-01\n", - "Step = 43\n", - "\tobj_eps = 9.1127e-01\n", - "\tgrad_norm = 1.0882e-01\n", - "Step = 44\n", - "\tobj_eps = 9.0900e-01\n", - "\tgrad_norm = 9.8597e-02\n", - "Step = 45\n", - "\tobj_eps = 9.0808e-01\n", - "\tgrad_norm = 1.0754e-01\n", - "Step = 46\n", - "\tobj_eps = 9.0756e-01\n", - "\tgrad_norm = 1.1597e-01\n", - "Step = 47\n", - "\tobj_eps = 9.0665e-01\n", - "\tgrad_norm = 1.1322e-01\n", - "Step = 48\n", - "\tobj_eps = 9.0525e-01\n", - "\tgrad_norm = 1.0168e-01\n", - "Step = 49\n", - "\tobj_eps = 9.0381e-01\n", - "\tgrad_norm = 9.0192e-02\n", - "Step = 50\n", - "\tobj_eps = 9.0269e-01\n", - "\tgrad_norm = 8.7089e-02\n" - ] - } - ], - "source": [ - "# Initialize adam optimizer with starting parameters.\n", - "start_par = np.zeros(npar)\n", - "optimizer = optax.adam(learning_rate=learning_rate * 10)\n", - "opt_state = optimizer.init(start_par)\n", - "\n", - "# Store history\n", - "params_eps = start_par\n", - "obj_eps = []\n", - "params_history_eps = [start_par]\n", - "\n", - "for i in range(50):\n", - " # Compute gradient and current objective function value.\n", - " value, gradient = obj_grad_eps(params_eps, init_eps)\n", - "\n", - " # outputs\n", - " print(f\"Step = {i + 1}\")\n", - " print(f\"\\tobj_eps = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # Compute and apply updates to the optimizer based on gradient.\n", - " updates, opt_state = optimizer.update(gradient, opt_state, params_eps)\n", - " params_eps = optax.apply_updates(params_eps, updates)\n", - "\n", - " # Save history.\n", - " obj_eps.append(value)\n", - " params_history_eps.append(params_eps)\n", - "\n", - "# Gets the final parameters and the objective values history.\n", - "init_rho = params_history_eps[-1]\n", - "obj_vals_eps = np.array(obj_eps)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following graph shows the evolution of the objective function along the initial structure fitting." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAiQAAAGSCAYAAADTiNqFAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/OQEPoAAAACXBIWXMAAA9hAAAPYQGoP6dpAABGDElEQVR4nO3deVyU1R4/8M8wyCIIgqCgoqiAgpqaormAophbuRBq202srlmupXUzS1zr189uaUmmlai3XJJwa9HMQHHXzOuSigsoAgqmbCoYw/n9Mb+Z6zgzzMzDzDwsn/frNS+bc848852TMl/O9iiEEAJEREREMnKQOwAiIiIiJiREREQkOyYkREREJDsmJERERCQ7JiREREQkOyYkREREJDsmJERERCQ7JiREREQkOyYkREREJDsmJETVRL9+/aBQKOQOw67mzp0LhUKB1NRUuUOpVGpqKhQKBebOnWv2a2rKZyOqLpiQUK2iUCjq1Jf6rl27MGrUKDRt2hROTk7w8vJCSEgIRo8ejU8//RRVvTOE1CRp9erV2v8Xxh6VUSgU6Nevn8SoK5eeno5JkyahXbt2cHd3h5ubG9q2bYvXXnsN58+ft8l7Vhc//PAD+vXrB09PT7i7u6NHjx5Ys2aNxdcpLi7G7Nmz0a5dO7i4uMDLywuDBg3C7t27jb5GpVLhk08+wSOPPAJXV1d4e3tj6NChOHDggMH2q1atwsiRIxEUFAQPDw+4ubkhNDQU//znP2v9/6e6ylHuAIhImvfffx+zZ8+Go6MjBg8ejLZt20KpVOLSpUvYs2cPkpKS8Nprr8HRUb5/5p06dcLIkSON1k+ePBlPP/00WrRoYZd4Pv30U7zxxhuoqKhA37598cQTT0ChUOD333/HF198gZUrV+Ljjz/G1KlTq/xe9v5spixbtgxTpkxBo0aN8Pzzz8PJyQlJSUmIi4vDqVOn8NFHH5l1ndu3b6NPnz74888/0b59e0ycOBElJSXYunUroqOj8dVXX+Gll17SeY0QAk8//TSSkpLQtm1bTJ48Gbdu3cLGjRsRGRmJ77//HiNGjNB5zTfffIPc3Fz06NEDfn5+cHBwwJkzZ5CYmIi1a9diy5YtGDJkiNX6h6oBQVSLABA19a913759zY49MzNTKJVK4eHhIU6ePKlXr1KpxI4dO0RFRYXdYnpQYmKiACDGjRsn6X0BiL59+0p6rTFr1qwRAIS3t7fYs2ePXv3evXuFt7e3ACDWrl2rU5eSkiIAiPj4eKvGZC8ZGRnC2dlZeHt7i4yMDG35rVu3RJs2bQQAceDAAbOuNXXqVAFAxMTEiL///ltbfuPGDREQECBcXV1FVlaWzmvWrVsnAIhevXqJe/fuacuPHDkinJychK+vrygqKtJ5zYPtHvTLL78IACI0NNSseKnm4JQN1Wnr169HVFQUGjZsCBcXF4SGhmLhwoUoKyvTtsnOzoZSqUSXLl2MXmfIkCFQKBQ4ffq0Tvnhw4cRGxsLPz8/ODk5ISAgAK+88gpycnKqFPfhw4ehUqkQFRWFjh076tU7ODhg0KBBBqdGzIkpMzMTCoUCe/bsAQCdqRZrTqU8vM5CM9UDAHv27NF5X0vWbzysuLgY06dPBwCsW7cOkZGRem0iIiLw7bffAgCmT5+O4uJig9c6ePAgoqOj4enpiQYNGmDQoEE4duyYyc8mp1WrVqGsrAyTJ09GYGCgttzLywvvvPMOAOCLL74w61qbN28GAMyfP19n9K1x48Z44403cO/ePaxatUrnNcuXLwcALFy4EC4uLtry8PBwjB07Fvn5+UhKStJ5zYPtHjRw4EA0bNgQFy9eNCteqjmYkFCd9eKLL+LZZ5/FxYsX8dRTT2HSpEnw9vbGe++9h8GDB6O8vBwA0KxZM0RHR+PEiRM4deqU3nVyc3Oxa9cudO3aFR06dNCWr1q1Cr1798bPP/+MqKgoTJ8+Hd26dcNXX32Fbt264erVq5Jjb9SoEQDg8uXLUKlUZr/O3JgaNmyI+Ph4tGzZEgAQHx+vfcTFxUmO25TOnTsjPj4eANCyZUud930wEYqLi4NCocDq1avNum5SUhJu376N7t27Y9CgQUbbDR48GOHh4bh165beFySgTub69esHZ2dnTJo0CUOGDMHu3bsRERGBtLQ0iz6rPf32228A1J/vYZppD00bU65fvw4AaN26tV6dpuzBtSSlpaU4cOAA6tevj4iIiCq//759+1BQUGAwEaeajWtIqE5avXo1EhMTMWrUKHz77bdwdXXV1s2dOxfz5s1DQkICpk2bBkD9BfjLL79gzZo1enPt33zzDVQqFcaNG6ctS09Px8SJExEYGIg9e/agWbNm2rrdu3fj8ccfx7Rp07S/bVrqscceQ8uWLXHq1ClERUUhLi4OPXr0QLt27aBUKg2+xpKYGjZsiLlz5yI1NRVXrlyRPDpx4sQJg68dOXIkOnfurFfeuXNndO7cGfPmzUNgYGCVRkUetG/fPgBAdHS0ybYDBw7E0aNHsX//fowfP16nbseOHfjss88wefJkbdnWrVsxcuRIvPjiizh//jwcHKT9nnfixAls2bLFotdMnz4dDRs2NNlOswg0JCREr87f3x9ubm64du0a7t69i/r161d6LR8fH+Tm5iIjIwNhYWE6dZcvX9Z5PwC4dOkSVCoVWrdubXA9U3BwMAD1309DkpKScPr0ady7dw/p6en46aef4O3tjWXLllUaJ9VAcs8ZEVkTzFxD0rlzZ+Ho6Chu376tV1deXi4aNWokwsPDtWV3794Vnp6ews/PT5SXl+u0b9++vahXr57Iz8/Xlk2fPl0AED/88IPB9x85cqRQKpU68+aWrtf473//Kzp37qz9zACEq6uriIyMFAkJCaK0tFSnvT1i0tCsITH2SExMFEIIER8fLwCIlJQUndfDxBqSnJwccfbsWVFQUGBWPEOGDBEAxPLly022Xb58uQAghgwZoi3TrCEJCgoSKpVK7zWafkpNTdWWGftsxpjqM0OPB9eDVKZevXoCgM6ajwc1bdpUABA5OTkmr/Xyyy8LACI2Nlbn30JeXp5o0aKFACCcnJy05fv37xcARO/evQ1eLz09XQAQISEhBuvHjh2r85mDg4PF0aNHTcZJNQ9HSKjOuXv3Lv773//Cx8cHS5YsMdjG2dkZZ8+e1T53dXXFmDFj8OWXX2Lnzp0YOnQoAOD333/HmTNnMGrUKPj4+GjbHzx4EIB6HcTRo0f1rp+XlweVSoX09HR07dpV0ud45JFH8Mcff+DYsWNISUnB8ePHcfDgQezduxd79+7FypUrkZKSAi8vL7vF9LBx48aZPa1iCX9/f/j7+1v9uqZEREQYHAHp168f9uzZgz/++AN9+/aVdO24uDibTodZy/z587Fz504kJSWhc+fOGDBgAO7cuYOtW7eiWbNmuHr1quRRIkM2bNiADRs2oKioCKdPn8a8efPQu3dvrFixokb0F5mPCQnVObdv34YQAvn5+Zg3b57Zr4uLi8OXX36JNWvWaBMSzRkOD07XAMBff/0FAFi8eHGl1ywpKbEkdIO6deuGbt26aZ8fOXIE48aNw3//+1/MmzdPm3TZM6bqxs/PDwCQlZVlsq2mTdOmTfXqmjRpUun1CwsLpYZoU56enrh58yYKCwu1648epInb09PT5LX8/f1x9OhRLFiwAD/88AM+//xz+Pj4YOzYsZg2bRqCg4PRuHFjnfd+8D2MvbepqScPDw/06tUL27dvR7du3fDqq68iOjoazZs3Nxkz1QxMSKjO0fyA7NKlC44fP27263r16oXg4GBs27YNBQUFcHNzw/r16+Hj46NNUB5+j8LCQnh4eFgveDN0794dy5YtQ3R0tM5CQTljklufPn2QmJiIX3/9FYsWLaq07a+//goA6N27t17djRs3DL5Gs9DTnC90Y2y5hqRt27a4efMm0tPT0bNnT5263Nxc3LlzB82bNze5fkSjSZMmWLZsmd46Ds3ft/DwcG1ZmzZtoFQqcfnyZZSXl+utI7lw4QIAw+tbDHFycsKAAQNw6tQpHDp0CLGxsWa9jqo/JiRU57i7u6N9+/Y4c+YMbt26BW9vb7NfO27cOLz77rvYuHEjmjRpgps3b2Lq1KmoV6+eTrvHHnsMv//+O9LS0jBs2DBrfwSTGjRoAAA6J7VKiUmzQFalUhldLGsLDg4OFu0eMiU2NhYzZszAkSNHsGvXLgwcONBgu127duHIkSPw9vY2+EW3b98+VFRU6E1JaLb2VrY13JQTJ05YNGIHqEftzElI+vfvj/3792PHjh16CcnPP/+sbVNVa9euBQA8++yz2jIXFxf06tULaWlpSEtLQ1RUVJXfPzs7GwBkPfSPbEDuRSxE1gQzF7V+/fXXAoAYMWKEwYWtt27dEr///rte+dWrV4WDg4Po1auXGDVqlAAgjh8/rtfu7Nmzol69eiI4OFicP39er76srEzs3btXp8ySBaSHDx8WiYmJ4u7du3p19+/fFzExMQKAeO2116oU0+jRowUAcfnyZbPi0jD3YDRjCz99fX1Fy5Ytjb7O0kWtQvzv/7mPj4/Yt2+fXv3+/fuFj4+PACDWrFmjU6dZ1ApAfPbZZzp1W7ZsMbjg1dJFrbZ0+fJliw9GKygoEGfPntVb6KpSqURxcbHee6xdu1YoFArRq1cvvYW/5hyMVlhYqC2/efOmuHTpksHPsn37duHo6Cjc3d3FrVu3zO4Dqv6YXlKtVNlit88//xwvvvgifv/9d3z++edo06YNBg0ahBYtWuDWrVvIyMjA3r17MX78eL3DogICAhAVFYXdu3fD0dERHTt2NPhbcbt27bBq1Sq8+OKLaN++PQYPHoyQkBD8/fffuHr1KtLS0uDr64tz585J+nw5OTkYP348Jk+ejD59+iAsLAwuLi7Izc3Fjh07cP36dQQFBWHOnDlVimnAgAHYtGkTYmJiMHToULi6uqJly5b4xz/+ISlucw0YMAAbNmzAk08+iUcffRT16tVDZGSk9kCzWbNmYc2aNUhMTDR7YeOLL76IgoICvPXWW4iIiEC/fv3QtWtX7dHxKSkpcHBwwJIlS/DCCy8YvMbgwYMxY8YM/Pzzz+jUqRMuXryI5ORkuLi4YNWqVVZdzGlNrVq1wuLFizF16lR069YNY8eO1R4df+3aNcyYMUNv5GTz5s0YP3683sLku3fvokmTJhg4cCDatGkDBwcH7N+/HwcPHkRoaCg2bdqk1w9PP/00kpOTkZSUhC5duuDJJ5/EX3/9hY0bN0KlUuHLL7/UmUbMyspC165d0a1bN7Rt2xbNmjVDQUEBTpw4gUOHDqFevXr46quvtAu2qZaQOyMisiaYsVXywRGR7du3i2HDhglfX19Rr1490aRJExEeHi5mz54tzp49a/A9/vOf/2iv9dFHH1Uaz8mTJ8W4ceNEixYthJOTk/Dy8hLt27cXEyZMELt379Zpa8kISVFRkVi3bp2Ii4sTHTt2FI0aNRJKpVJ4eXmJnj17ig8++MDgb7GWxlReXi5mzZolWrVqJRwdHc0+0r2qIyQ3btwQzzzzjGjcuLFwcHDQO7Z93LhxOtuHLXH27FkxceJEERISIlxdXYWrq6sIDg4WEydONPr//MGj4w8cOCAGDBggGjRoINzd3cXAgQPFkSNHzP5sctq2bZuIjIwU7u7uon79+qJbt25i9erVBtsa+394//598eKLL4qQkBBRv359Ub9+fdGpUyexaNEicefOHaPv/ffff4uPP/5YdOjQQbi4uIiGDRuKIUOGiP379+u1vXXrlpg9e7bo06eP8PPzE/Xq1RP169cX7dq1E6+88or4888/q9QPVD0phKji7UCJiEjP22+/jQ8//BAHDhzQG30gIn3Vc3yRiKiG05w8ym2pRObhGhIiIitau3Ytdu/eja1bt6J79+4ICAiQOySiGoFTNkREVhQVFYU///wTERERWLp0qc49g4jIOCYkREREJDuuISEiIiLZMSEhIiIi2XFRqwkVFRXIyclBgwYNoFAo5A6HiIioxhBCoLi4GE2bNjV5cCATEhNycnK4Sp6IiKgKsrKyTG6BZ0JiguYmZVlZWXXuDqlERERVUVRUhICAAO13aWWYkJigmabx8PBgQkJERCSBOUseuKiViIiIZMeEhIiIiGTHhISIiIhkx4SEiIiIZMeExIiEhASEhYUhPDxc7lCIiIhqPd7LxoSioiJ4enqisLCQu2yIiIgsYMl3KLf92ptKBaSlAbm5gL8/EBEBKJVyR0VERCQrJiT2lJwMTJsGXLv2v7LmzYGlS4GYGPniIiIikhnXkNhLcjIQG6ubjABAdra6PDlZnriIiIiqASYk9qBSqUdGDC3X0ZRNn65uR0REVAcxIbGHtDT9kZEHCQFkZanbERER1UFMSOwhN9e67YiIiGoZJiT24O9v3XZERES1DBMSe4iIUO+mMXa3Q4UCCAhQtyMiIqqDmJDYg1Kp3toL6CclmudLlvA8EiIiqrOYkNhLTAyQlAQ0a6Zb3ry5upznkBARUR3Gg9HsKSYGGDGCJ7USERE9hAmJvSmVQL9+ckdBRERUrXDKhoiIiGTHhISIiIhkx4SEiIiIZMeEhIiIiGTHhISIiIhkx4SEiIiIZMeEhIiIiGTHhISIiIhkx4TEiISEBISFhSE8PFzuUIiIiGo9hRBCyB1EdVZUVARPT08UFhbCw8ND7nCIiIhqDEu+QzlCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JCREREsmNCQkRERLJjQkJERESyY0JiREJCAsLCwhAeHi53KERERLWeQggh5A6iOisqKoKnpycKCwvh4eEhdzhEREQ1hiXfoRwhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZMSEhIiIi2TEhISIiItkxISEiIiLZOUp9oUqlws6dO3H58mXcvn0bQgideoVCgffee6/KARIREVHtpxAPZxJmOHbsGJ566ilcu3ZNLxHRXlihgEqlqnKAcisqKoKnpycKCwvh4eEhdzhEREQ1hiXfoZKmbF577TXcu3cPW7Zswa1bt1BRUaH3qA3JCBEREdmHpCmbkydPYtGiRXjyySetHQ8RERHVQZJGSJo3b250qoaIiIjIUpISkn/961/48ssvUVRUZO14iIiIqA6SNGVTXFwMd3d3BAUF4emnn0ZAQACUSqVOG4VCgddff90qQRIREVHtJmmXjYOD6YEV7rIhIiKq2yz5DpU0QpKRkSEpMCIiIiJDJCUkLVu2tHYcREREVIdJPqkVAO7cuYM9e/bgypUrANSJSt++feHm5maV4IiIiKhukJyQfPbZZ3j33XdRUlKiswW4QYMGWLRoESZPnmyVAImIiKj2k7Ttd+3atZg2bRo6dOiAdevW4cSJEzhx4gTWr1+Pjh07Ytq0afjPf/5j7ViJiIiolpK0y6Zz585o2LAhdu/erbfdV6VSYcCAASgoKMCJEyesFadsuMuGiIhIGpvfy+b8+fMYPXq0XjICAEqlEqNHj8b58+elXJqIiIjqIEkJiaenJzIzM43WZ2ZmcjSBiIiIzCYpIRk2bBg+++wzbNiwQa9u48aNWLZsGW+8R0RERGaTtIYkPz8fffv2xfnz5+Hn54fg4GAAwIULF3D9+nW0a9cOe/bsgY+Pj9UDtjeuISEiIpLG5mtIfH19cfz4cXz88cfo2LEjbty4gRs3bqBjx4745JNP8Pvvv9eKZISIiIjsQ9IISV3CERIiIiJpbD5CQkRERGRNZp3UGhUVBQcHB+zcuROOjo7o37+/ydcoFArs3r27ygESERFR7WfWCIkQAhUVFdrnFRUVEEJU+niwvdyWL1+ORx99FPXq1cPcuXPlDoeIiIgeYtYISWpqaqXPqzt/f3/MnTsX69atkzsUIiIiMkDSGpK9e/ciPz/faP3Nmzexd+9eyUFZ28iRIzF8+HA0bNhQ7lCIiIjIAEkJSVRUFHbt2mW0fvfu3YiKipIUUElJCeLj4zF48GB4e3tDoVBg9erVBtuWlZXhX//6F5o2bQpXV1f06NGj0riIiIioepKUkJjaKVxWVmbwPjfmuHnzJubPn4+zZ8+iU6dOlbaNi4vDxx9/jOeeew5Lly6FUqnE0KFDsW/fPknvTURERPIwaw0JAFy9elXn/jXnzp0zOC1TUFCAFStWoGXLlpIC8vf3R25uLvz8/HDs2DGEh4cbbHfkyBFs2LABixcvxsyZMwEAL7zwAjp06IC33noLBw4ckPT+REREZH9mJySJiYmYN28eFAoFFAoFFi1ahEWLFum1E0JAqVRixYoVkgJydnaGn5+fyXZJSUlQKpWYMGGCtszFxQUvvfQS3nnnHWRlZSEgIEBSDERERGRfZickY8aMQYcOHSCEwJgxYzB16lRERETotFEoFHBzc0Pnzp3RpEkTqwf7oD/++AMhISF6J791794dAHDixAltQlJeXo7y8nKoVCqUl5ejtLQU9erVMzitVFZWhrKyMu3zoqIiG34KIiIiAixISEJDQxEaGgpAPVoSGRmJVq1a2SwwU3Jzc+Hv769XrinLycnRli1cuBDz5s3TPl+0aBESExMRFxen9/oPPvhApy0RERHZnqRFrc899xwaNWpktL6oqAjl5eWSgzLHvXv34OzsrFfu4uKirdeYO3eu3sFthpIRAJg1axYKCwu1j6ysLJvET0RERP8jKSGZOnUqevXqZbS+d+/emDFjhuSgzOHq6qoztaJRWlqqrZfC2dkZHh4eOg8iIiKyLUkJyY4dOxAbG2u0PjY2Fj/99JPkoMyh2Y3zME1Z06ZNbfr+REREZD2SEpKcnBw0a9bMaH3Tpk2RnZ0tOShzdO7cGenp6XqLTg8fPqytJyIioppBUkLSqFEjnD9/3mj92bNnbT7VERsbC5VKhZUrV2rLysrKkJiYiB49enDLLxERUQ1i9i6bBw0ePBgrVqzAc889hy5duujUHT9+HCtXrsTo0aMlB7Vs2TIUFBRod8ps374d165dAwBMmTIFnp6e6NGjB0aPHo1Zs2YhLy8PQUFBWLNmDTIzM/H1119Lfm8iIiKyP4UwdQ68ATk5OQgPD0deXh6GDx+O9u3bAwBOnz6N7du3o3Hjxjh8+DCaN28uKajAwEBcuXLFYF1GRgYCAwMBqBewvvfee/jmm29w+/ZtPPLII1iwYAEGDRok6X0NKSoqgqenJwoLC7nAlYiIyAKWfIdKSkgA9eLRt99+G1u3btWu4/Dw8MDIkSPx/vvv1/hFpQkJCUhISIBKpUJ6ejoTEiIiIgvZJSHREEIgPz8fAODr6wuFQlGVy1U7HCEhIiKSxpLvUElrSB6kUCjQuHHjql6GiIiI6jDJCcnt27exfv16XL58Gbdv38bDAy0KhYKLS4mIiMgskhKSnTt3IjY2Fnfu3IGHhwe8vLz02tS2qRsiIiKyHUkJyYwZM+Dn54fk5GR07NjR2jERERFRHSPpYLSLFy9i6tSpTEaIiIjIKiQlJMHBwSguLrZ2LERERFRHSUpIFi5ciM8//xyZmZlWDoeIiIjqIklrSHbv3g1fX1+EhoZi4MCBCAgIgFKp1GmjUCiwdOlSqwQphwcPRiMiIiLbknQwmoOD6YEVhUJRK77MeTAaERGRNDY/GK2iokJSYERERESGSFpDQkRERGRNTEiIiIhIdpKmbBwcHMw6ibU2rCEhIiIi25OUkMyZM0cvIVGpVMjMzMSWLVvQtm1bPPHEE1YJkIiIiGo/SQnJ3Llzjdbl5ubiscceQ0hIiNSYiIiIqI6x+hoSf39/TJw4EQsWLLD2pYmIiKiWssmiVjc3N2RkZNji0kRERFQLWT0hOX36ND799NMaP2WTkJCAsLAwhIeHyx0KERFRrSfppNZWrVoZ3GVTUFCAwsJC1K9fH1u2bMGAAQOsEqSceFIrERGRNDY/qbVv3756CYlCoYCXlxfatGmDp59+Gt7e3lIuTURERHWQWQnJtm3b0K1bNzRt2hQAsHr1alvGRERERHWMWWtIRo0ahdTUVO3z1q1bY9u2bbaKiYiIiOoYsxKSBg0aoKCgQPs8MzMTJSUltoqJiIiI6hizpmy6d++ORYsW4caNG/D09AQA/PTTT7h+/brR1ygUCrz++uvWiZKIiIhqNbN22Vy8eBEvvPACDh06pH6RQgFTL1MoFLXiXjbcZUNERCSN1XfZBAUF4cCBAygtLUVeXh4CAwOxZMkSjBgxwioBExERUd1m0bZfFxcXtGjRAvHx8ejfvz9atmxpq7iIiIioDpF0MFpdwikbIiIiaSz5DrXJvWyIiIiILMGExAjey4aIiMh+OGVjAqdsiIiIpOGUDREREdUoTEiIiIhIdpITkqtXr2LixIlo27YtvL29sXfvXgDAzZs3MXXqVPzxxx9WC5KIiIhqN4vOIdH4888/ERERgYqKCvTo0QMXL15EeXk5AMDHxwf79u3DnTt38PXXX1s1WCIiIqqdJCUkb731Fho2bIhDhw5BoVCgcePGOvXDhg3Dxo0brRIgERER1X6Spmz27t2LV199Fb6+vlAoFHr1LVq0QHZ2dpWDIyIiorpBUkJSUVGB+vXrG63Pz8+Hs7Oz5KCIiIiobpGUkDz66KP48ccfDdaVl5djw4YNeOyxx6oUGBEREdUdkhKSWbNmYceOHXj11Vdx+vRpAMCNGzfw66+/4vHHH8fZs2fx9ttvWzVQIiIiqr0kn9T6n//8B9OmTUNhYSGEEFAoFBBCwMPDA8uXL8czzzxj7VhlwZNaiYiIpLHkO7RKR8ffuXMHu3btwoULF1BRUYE2bdpg0KBBaNCggdRLVjtMSIiIiKSx5DtU0rZfzYiIm5sbRo4cKeUS1V5CQgISEhKgUqnkDoWIiKjWkzRC0rRpU4wePRpjxoxB7969bRFXtcEREiIiImlsfnO9vn37YtWqVYiMjESLFi0wc+ZMHDlyRFKwRERERJISkvXr1yMvLw8bNmxA9+7dsXz5cvTs2RNt2rTBO++8gxMnTlg5TCIiIqrNqrSoVePOnTvYtm0bNm7ciJ07d+L+/fsIDg7GuXPnrBGjrDhlQ0REJI3Np2we5ubmhmeeeQbffPMNFi9eDHd3d1y4cMEalyYiIqI6QNIumwfdvXsX27Ztw3fffYcdO3agrKwMbdq0wdSpU60RHxEREdUBkhKS0tJS/Pjjj9i4cSN++ukn3L17F4GBgZg6dSrGjh2LLl26WDtOIiIiqsUkJSS+vr64e/cumjZtigkTJmDs2LHo0aOHtWMjIiKiOkJSQhIXF4exY8eiT58+1o6HiIiI6iBJCclnn31m7TiIiIioDjMrIdm7dy8AIDIyUue5KZr2RERERJUx6xwSBwcHKBQK3Lt3D05OTtrnxmjudVMb7gPDc0iIiIiksfrN9VJSUgAATk5OOs+JiIiIrMEqJ7XWZhwhISIiksbmJ7X2798fu3fvNlqfkpKC/v37S7k0ERER1UGSEpLU1FTcuHHDaH1eXh727NkjOSgiIiKqWyTfy6ayRa0XL15EgwYNpF66WkhISEBYWBjCw8PlDoWIiKjWM3sNyZo1a7BmzRoA6hGS0NBQNGnSRK9dQUEBTp48iaFDh2Lbtm3WjVYGXENCREQkjdV32QDqm+jl5+drnxcXF8PBQXeARaFQwM3NDRMnTsScOXMsDJu0VCogLQ3IzQX8/YGICECplDsqIiIim5G0y6ZVq1ZYunQphg8fbouYqhW7j5AkJwPTpgHXrv2vrHlzYOlSICbG9u9PRERkJTYZIXlQRkaGpMDIhORkIDYWeDhHzM5WlyclMSkhIqJaSdKi1l9//RXvvPOO0frZs2fjt99+kxxUnaRSqUdGDA1YacqmT1e3IyIiqmUkJSQLFixAVlaW0frs7GwsXLhQclB1Ulqa7jTNw4QAsrLU7YiIiGoZSQnJqVOn0KNHD6P14eHhOHnypOSg6qTcXOu2IyIiqkEkJSRlZWW4f/9+pfV3796VHFSd5O9v3XZEREQ1iKSEpEOHDti8ebPBOiEEkpOTERYWVqXA6pyICPVuGmMHzikUQECAuh0REVEtIykhmTJlCvbv34/Ro0fj1KlTKC8vR3l5OU6ePInRo0fj4MGDmDJlirVjrd2USvXWXkA/KdE8X7KE55EQEVGtJGnb7/PPP49Lly5hwYIFSE5O1h6QVlFRAYVCgXfffRfjxo2zaqB1QkyMemuvoXNIlizhll8iIqq1JB2MpnHp0iVs3rwZly9fBgC0adMGI0eORJs2bawWoNxkOTqeJ7USEVEtYMl3aJUSkrqA97IhIiKSxuYntWocOnQIKSkpyMvLw2uvvYbg4GDcvXsX586dQ0hICNzd3atyeSIiIqojJC1qvX//PmJiYtC7d2/Mnj0bn376qfagNAcHBzz++ONYqlmgSURERGSCpITkvffeww8//IDly5fj/PnzeHDWx8XFBaNHj8bWrVutFiQRERHVbpISkvXr1+PVV1/FhAkT4O3trVcfGhqqXehKREREZIqkhCQvLw8dO3Y0Wq9UKnlSKxEREZlNUkISEBCAc+fOGa3fv38/goKCJAdFREREdYukhOTZZ5/FihUrcPDgQW2Z4v+fJvrll1/iu+++wwsvvGCdCImIiKjWk3QOyf379/Hkk0/it99+Q2hoKM6cOYOOHTvi1q1buHbtGoYOHYqtW7dCWQsO8+I5JERERNJY8h0qaYTEyckJO3bsQGJiIlq3bo127dqhrKwMjzzyCFavXo3t27fX+GQkISEBYWFhCA8PlzsUIiKiWo8ntZrAERIiIiJpbD5CQkRERGRNZh0dHxUVBQcHB+zcuROOjo7o37+/eRd3dISPjw+io6MRFxenvSswERER0YPMyhCEEKioqNA+r6iogBDC5KO0tBRHjx7FP//5T7z11ls2+xBERERUs9llDcnMmTOxbt065OTk2PqtrI5rSIiIiKSx291+zfXUU0/h1q1b9ngrIiIiqoGqlJD88MMP+Omnn5CZmQkACAwMxNChQ/HEE0/otOvZsyd69uxZlbciIiKiWkzSlE1BQQFGjRqFvXv3QqlUwt/fHwCQm5sLlUqFiIgIbNmyBQ0bNrR2vHbHKRsiIiJpbL7td9q0aUhLS8OHH36I27dv48qVK7hy5Qpu376N//N//g/27duHadOmSQqeiIiI6h5JUzZbtmzBa6+9hpkzZ+qUu7m54c0338TVq1exdu1aqwRIREREtZ+khKRevXpo27at0fp27dqhXr16koMiM6hUQFoakJsL+PsDERFADT+un4iI6i5JUzZPPfUUNm3aBJVKpVdXXl6O7777DqNHj65ycGREcjIQGAhERQHPPqv+MzBQXU5ERFQDmbWo9fjx4zrP79y5g8mTJ8PFxQUTJkxAUFAQAODChQtYuXIl7t+/j2XLlqFPnz62idqOqt2i1uRkIDYWePh/m0Kh/jMpCYiJsX9cRERED7HkO9SshMTBwQEKzRfe//fgyzR1D5cZGkGpaapVQqJSqUdCrl0zXK9QAM2bAxkZnL4hIiLZWf1gtMTERKsERlWUlmY8GQHUoyZZWep2/frZLSwiIqKqMishGTdunK3jIHPk5lq3HRERUTVR5aPjS0pKkJWVBQAICAiAu7t7lYMiI/7/AXRWa0dERFRNSNplAwBHjx5FVFQUvLy80KFDB3To0AFeXl7o378/jh07Zs0YSSMiQr1G5KH1PFoKBRAQoG5HRERUg0gaITl8+DD69esHJycnvPzyywgNDQUAnD17FuvXr0dkZCRSU1PRvXt3qwZb5ymVwNKl6l02CoXuThtNkrJkCRe0EhFRjSPpXjbR0dHIzMzEvn374Ofnp1N348YN9O7dG61atcKuXbusFqhcqtUuG43kZGDaNN0FrgEB6mSEW36JiKiasPoum4cdPnwYc+bM0UtGAKBJkyaYMGECFixYIOXSZI6YGGDEiMpPauVJrkREVINISkgcHBxQXl5utF6lUsHBQfLyFDKHUml8a6+hEZTmzdXTPRxBISKiakhS1tCrVy8kJCTgypUrenVXr17F559/jt69e1c5OJJAc5Lrw+eVZGery3m8PBERVUOS1pD88ccfiIyMRHl5OUaNGoWQkBAAwPnz57F161Y4OjoiLS0NnTp1snrA9lYt15AYw5NciYioGrH5GpIuXbrg8OHDmD17NrZt24a7d+8CAOrXr4/Bgwdj4cKFCAsLk3Jpqgqe5EpERDWU5IPRwsLCsHnzZlRUVCA/Px8A4Ovry7UjcuJJrkREVENV+aRWBwcHNGnSxBqxUFVZepIrd+IQEVE1weGM2sSSk1yTk9XrTaKigGefVf8ZGMhFr0REJAsmJLWJ5iRXQD8pefAk161buROHiIiqFSYktU1MDJCUBDRrplvevLm6fMQI9RklhjZXacqmT1dP5xAREdlJldeQ1FYJCQlISEiAqiZ+MVd2kmtqKnfiEBFRtcOExIhJkyZh0qRJ2j3UNY6xk1y5E4eIiKohTtnUNZbuxCEiIrIDJiR1jSU7cYiIiOyECUldY+5OHKVSvbA1NRVYv179Z01cT0NERDUCE5K6yNROnJgYnlNCRER2JenmenVJjbq5nqWMndSquWPww381NCMomqSFiIioEpZ8hzIhMaFWJySG8I7BRERkJZZ8h3LKhnRZcsdgIiIiK2FCQrp4TgkREcmACQnp4jklREQkAyYkpIvnlBARkQyYkJAuS84pISIishImJKTPnHNKiIiIrIg31yPDKrtj8IOMnWVCRERkASYkZJyxOwZrJCcD06bpbhNu3lw95cNRFCIisgCnbEgazWmuD59Zkp2tLucR80REZAEmJGQ5lUo9MmLokF9N2fTpvBkfERGZjQkJWY6nuRIRkZUxISHL8TRXIiKyMiYkZDme5kpERFbGXTZkOc1prtnZhteRaO4IrDnNlVuDiYjIBI6QkOUsOc01ORkIDASiooBnn1X/GRjIXThERKSDCQlJY85prtwaTEREZlIIYWjMnTSKiorg6emJwsJCeHh4yB1O9WNsOkalUo+EGNuNo5nWycjg9A0RUS1lyXco15BQ1Rg7zdWSrcGVnQZLRER1AhMSsg1LtgZz0SsRUZ3HhIRsw9wtvxcu6E/t8H44RER1DteQmMA1JBJp1pBUtjXY2xu4dUu/XrNTR7M4VnM9jqIQEdUolnyHcpcN2YaprcGaJMSc++GYu3VYpQJSU4H169V/8l46REQ1BhMSsp3KtgbPmwf89Zfx12oWvS5aZN7WYZ53QkRUo3HKxgRO2ViBoemW775TJw6maKZ1DNFsHf74Y2DMGNNTP5z2ISKyK277perF0NZgcxe9GktGgP+Norz2mvGpH4VCPfVTUQG8/joXzxIRVVMcITGBIyQ2Ys6iVy+vyhOSquLiWSIim+KiVqr+zLkfzrRpto1B6uJZIiKyOiYkJB9T98OZPVv93w8nLBoKBeDrW7UYLF08S0RENsEpGxM4ZWMHlU2TaG7QB+hO7WiSlI0bgTfeMD71Yy5zFs9q7rvDaR0iIrNwUSvVLMbuhwP8bxRl2jT9BalLlqjrlUp10vLg+SaA/vPKmLN4Ni1N3c5QLFwcS0RUJZyyoeovJgbIzARSUoB169R/ZmT8LwGobOrnu+9MT/t4e5sXx9at5k3r8IA2IiKLcYSEaobKRlEAdVIyYoThqZTKRlAA9YhHfLzpGL79ltuLiYhshGtITOAakloiOVl/qiUgQD3tM2KE6S3IPj5Afr609+b2YiKqo7jtl+hhlU37mLMF+bnnpL83txcTEZnEERITOEJSh1Q2iuLtrU4cqmrePGDuXB5zT0R1giXfoUxITGBCUscYSwRMnSxrLnPvzcN1KERUCzAheUh+fj7i4uKQmpqK5s2b4/PPP8eAAQPMei0TEtKq7EwUW/8zkroOhSMtRCQjriF5yKRJk+Dn54f8/HwsXrwYY8aMwS1b3iOFaid7bS82RMo6FHPamLNFmduYicgOav0ISUlJCby9vXH58mU0b94cANCvXz+MGzcO48ePN/l6jpCQHmOjDqZOlZ0717ztxaaYsw4FUMdiqo2pQ94MrasxNH3EkRgiMsCi71BRzRQXF4s5c+aIQYMGCS8vLwFAJCYmGmxbWloq3nrrLeHv7y9cXFxE9+7dxS+//KLT5vjx48LLy0unbPLkyWLGjBlmxVNYWCgAiMLCQkmfh+qY778XonlzIdSpgPoREKAuLy9X1ykUuvWWPry9jdcpFOr3eDiGh9s0amQ4DoVC/fj+e/XDVBtjn7l58//VC6H+7CkpQqxbp/6zvFyO/ztEZGeWfIdWu4PRbt68ifnz56NFixbo1KkTUlNTjbaNi4tDUlISpk+fjuDgYKxevRpDhw5FSkoK+vTpA0A9QvJwVubh4YG//vrLlh+D6qrKDmgD1CMLtj7m/uGTZA21Mfb3X3PIm+ZOy+YcBDdmjH47zem15o7EAKZHWTgKQ1S72SFBskhpaanIzc0VQghx9OhRoyMkhw8fFgDE4sWLtWX37t0Tbdq0ET179tSWcYSEqh1joyjffVf5CIpCUfnoiBwPX9+qj8QY65MHR1nMGYURgiMxRNWMJd+h1W5Rq7OzM/z8/Ey2S0pKglKpxIQJE7RlLi4ueOmll3Dw4EFkZWUBAIKDg1FSUoLs7Gxtu9OnT6N9+/bWD57IHMYOaRs92vQBbZqRi+qistNrNSMxxkZZAPUoS1JS5fcIeust8+4hZO6Bc6YW6XIRL5E87JAgSVbZCEl0dLQIDQ3VK//1118FALFt2zZtWWxsrHjppZfE3bt3xfbt24W3t7f466+/DL5naWmpKCws1D6ysrI4QkL2VZV1KA+uIanqWpXqMMoCCKFUVj4KExAgxKZN1W8khqM1RBaNkNTYhKR9+/aif//+euVnzpwRAMQXX3yhLcvLyxNDhgwRrq6uIjg4WOzatcvoe8bHxwsAeg8mJGRXlX2ZaRabPvwFbGhBqqE2gPGpFHOTGoXCdCJRXZIac5OWN9+03iJeay30NdXGWokRkyeykTqRkLRu3VoMGTJEr/zSpUsCgPjkk08kvSdHSKhGqGwUxZw2VU1qFArTa16q28NeIzHm7E6yRlJjrcTIXsmTOW3sdQ2ymzqRkFgyQlIVXNRK1VZVf3hXNanR1FdlJKY6jbJUNamx55Zrc0ZzzE2M7JE8mdPGXtewxr8da13DWu9TjdWJhMSSNSRVwYSEajVr/MCsykiMOaMsSmXtSmoqe5iT1Gj6pKqJkT3Pq7FHgmXPKbfqlKSZ829Uxmm7OpGQzJw5UyiVSr0PuWjRIgFAXL161SoxMCEhMkNVRmJMJS2aLxqpSU1tS1qqy8NaiQ9Q9QTLnGtYa8rNXqNT1kqwrJU8SVQnEpJDhw4JQPccktLSUhEUFCR69OhhtRiYkBBZQVVGWcyt50gMH6YeVZ1yA+wzOmXO+1hroba5JzJLZMl3qEIIIWy/udgyy5YtQ0FBAXJycrB8+XLExMSgS5cuAIApU6bA09MTADBmzBhs3rwZr7/+OoKCgrBmzRocOXIEu3fvRmRkpFVi4b1siOykqie1GrrvTkAAsGSJ+uwXU/camjkT+Ogj4/UbNwJvvKE+/8TQj02F4n83XjTWhsiafH0rPwtIqTR+js6Df1+Nne6sUKhPVc7IkHwqco2+l40QQrRs2VIA+ltvAYiMjAxtu3v37omZM2cKPz8/4ezsLMLDw8WOHTusGgtHSIhqELlHYuyx5Vrz23NVrmHu+/DBB6D+tyRRjR8hqQ4SEhKQkJAAlUqF9PR0jpAQ1Ra2Hokx1QaofKTmwbs1G2tjajTHnGuYaiME0KiR+t5Jhr4mzBkRMnfUSKlU3xfJltfw8al8NIGMW7cOeOYZSS+t8SMk1QlHSIhIT3XZcl3Va5hqY43zasxpY2rhsjWuYc7i5+o0OlWd1jTZaYSECYkJTEiIyCZqylkY9kh87HWN6pI82SPBslbyFBBQpS3ATEisiAkJEdV51ekQsKpeo7okT9Z4H3slT1XANSRWxF02RES1jKl1Qua0scY1rPE+5uwuq8qaJ00biSz5DmVCYgITEiIiqtbslTxJwITEipiQEBERSWPJd6iDnWIiIiIiMooJCREREcmOCYkRCQkJCAsLQ3h4uNyhEBER1XpcQ2IC15AQERFJwzUkREREVKMwISEiIiLZOcodQHWnmdEqKiqSORIiIqKaRfPdac7qECYkJhQXFwMAAgICZI6EiIioZiouLoanp2elbbio1YSKigrk5OSgQYMGUGhu211FRUVFCAgIQFZWFhfKWhn71jbYr7bBfrUN9qvtWNq3QggUFxejadOmcHCofJUIR0hMcHBwQPPmzW1ybQ8PD/5jsRH2rW2wX22D/Wob7FfbsaRvTY2MaHBRKxEREcmOCQkRERHJjgmJDJydnREfHw9nZ2e5Q6l12Le2wX61DfarbbBfbceWfctFrURERCQ7jpAQERGR7JiQEBERkeyYkBAREZHsmJAQERGR7JiQ2FFZWRn+9a9/oWnTpnB1dUWPHj2wa9cuucOqUUpKShAfH4/BgwfD29sbCoUCq1evNtj27NmzGDx4MNzd3eHt7Y1//OMfyM/Pt2/ANcTRo0cxefJktG/fHm5ubmjRogXGjBmD9PR0vbbsV/OdOXMGo0ePRuvWrVG/fn34+PggMjIS27dv12vLfq2aRYsWQaFQoEOHDnp1Bw4cQJ8+fVC/fn34+flh6tSpKCkpkSHK6i01NRUKhcLg49ChQzptbdGnPKnVjuLi4pCUlITp06cjODgYq1evxtChQ5GSkoI+ffrIHV6NcPPmTcyfPx8tWrRAp06dkJqaarDdtWvXEBkZCU9PT7z//vsoKSnBRx99hFOnTuHIkSNwcnKyb+DV3Icffoj9+/dj9OjReOSRR3D9+nUsW7YMjz76KA4dOqT9Ic9+tcyVK1dQXFyMcePGoWnTprh79y6+//57DB8+HCtWrMCECRMAsF+r6tq1a3j//ffh5uamV3fixAkMGDAAoaGh+Pjjj3Ht2jV89NFHuHDhAn7++WcZoq3+pk6divDwcJ2yoKAg7X/brE8F2cXhw4cFALF48WJt2b1790SbNm1Ez549ZYysZiktLRW5ublCCCGOHj0qAIjExES9dq+++qpwdXUVV65c0Zbt2rVLABArVqywV7g1xv79+0VZWZlOWXp6unB2dhbPPfectoz9WnXl5eWiU6dOom3bttoy9mvVjB07VvTv31/07dtXtG/fXqduyJAhwt/fXxQWFmrLvvzySwFA7Ny5096hVmspKSkCgNi0aVOl7WzVp5yysZOkpCQolUrtb0QA4OLigpdeegkHDx5EVlaWjNHVHM7OzvDz8zPZ7vvvv8cTTzyBFi1aaMuio6MREhKC7777zpYh1ki9evXS+y08ODgY7du3x9mzZ7Vl7NeqUyqVCAgIQEFBgbaM/Srd3r17kZSUhCVLlujVFRUVYdeuXXj++ed17rvywgsvwN3dnX1bieLiYpSXl+uV27JPmZDYyR9//IGQkBC9mxF1794dgHoIjKwjOzsbeXl56Natm15d9+7d8ccff8gQVc0jhMCNGzfg4+MDgP1aFXfu3MHNmzdx6dIlfPLJJ/j5558xYMAAAOzXqlCpVJgyZQpefvlldOzYUa/+1KlTKC8v1+tbJycndO7cmX1rxPjx4+Hh4QEXFxdERUXh2LFj2jpb9inXkNhJbm4u/P399co1ZTk5OfYOqdbKzc0FAKP9fevWLZSVlfFYaRO+/fZbZGdnY/78+QDYr1UxY8YMrFixAoD6DuIxMTFYtmwZAPZrVXzxxRe4cuUKfv31V4P1pvo2LS3NpvHVNE5OTnjqqacwdOhQ+Pj44M8//8RHH32EiIgIHDhwAF26dLFpnzIhsZN79+4Z/IHi4uKirSfr0PSlqf7mD3jjzp07h0mTJqFnz54YN24cAPZrVUyfPh2xsbHIycnBd999B5VKhfv37wNgv0r1119/Yc6cOXjvvffg6+trsI2pvuXPXV29evVCr169tM+HDx+O2NhYPPLII5g1axZ27Nhh0z7llI2duLq6oqysTK+8tLRUW0/WoelL9rc0169fx7Bhw+Dp6ald+wSwX6uiXbt2iI6OxgsvvIAffvgBJSUlePLJJyGEYL9K9O6778Lb2xtTpkwx2sZU37JfTQsKCsKIESOQkpIClUpl0z7lCImd+Pv7Izs7W69cM/zVtGlTe4dUa2mGEjV9+6Dc3Fx4e3vzt00jCgsLMWTIEBQUFCAtLU3n7yX71XpiY2PxyiuvID09nf0qwYULF7By5UosWbJEZ7q7tLQUf//9NzIzM+Hh4WGyb/lz1zwBAQG4f/8+7ty5Y9M+5QiJnXTu3Bnp6ekoKirSKT98+LC2nqyjWbNm8PX11VmIpXHkyBH2tRGlpaV48sknkZ6ejh9++AFhYWE69exX69EMaxcWFrJfJcjOzkZFRQWmTp2KVq1aaR+HDx9Geno6WrVqhfnz56NDhw5wdHTU69v79+/jxIkT7FszXb58GS4uLnB3d7dtn0reMEwWOXTokN45JKWlpSIoKEj06NFDxshqrsrOIZk4caJwdXUVV69e1Zb9+uuvAoBYvny5HaOsGcrLy8Xw4cOFo6Oj+PHHH422Y79a5saNG3pl9+/fF48++qhwdXUVxcXFQgj2q6Xy8/PF5s2b9R7t27cXLVq0EJs3bxYnT54UQggxePBg4e/vL4qKirSv/+qrrwQA8fPPP8v1EaqlvLw8vbITJ06IevXqieHDh2vLbNWnCiGEkJ7OkCXGjBmDzZs34/XXX0dQUBDWrFmDI0eOYPfu3YiMjJQ7vBpj2bJlKCgoQE5ODpYvX46YmBh06dIFADBlyhR4enoiKysLXbp0QcOGDTFt2jSUlJRg8eLFaN68OY4ePcoh8IdMnz4dS5cuxZNPPokxY8bo1T///PMAwH610KhRo1BUVITIyEg0a9YM169fx7fffotz587h3//+N9544w0A7Fdr6devH27evInTp09ry44fP45evXohLCwMEyZMwLVr1/Dvf/8bkZGR2Llzp4zRVj/9+/eHq6srevXqhcaNG+PPP//EypUrUa9ePRw8eBChoaEAbNinklMZsti9e/fEzJkzhZ+fn3B2dhbh4eFix44dcodV47Rs2VIAMPjIyMjQtjt9+rR4/PHHRf369UXDhg3Fc889J65fvy5f4NVY3759jfbpwz8m2K/mW79+vYiOjhZNmjQRjo6OwsvLS0RHR4utW7fqtWW/Vp2hk1qFECItLU306tVLuLi4CF9fXzFp0iSd3+5JbenSpaJ79+7C29tbODo6Cn9/f/H888+LCxcu6LW1RZ9yhISIiIhkx0WtREREJDsmJERERCQ7JiREREQkOyYkREREJDsmJERERCQ7JiREREQkOyYkREREJDsmJERERCQ7JiREREQkOyYkRGS21atXQ6FQIDMzU+5QzDJ37lwoFAq5wyAiMzAhISLJPv/8c6xevVrWGO7evYu5c+ciNTVV1jiIqGp4LxsiMptKpcLff/8NZ2dnKBQKdOjQAT4+PrImAzdv3oSvry/i4+Mxd+5cnbry8nKUl5fDxcVFnuCIyGyOcgdARDWHUqmEUqm06XuUl5ejoqICTk5OVb6Wo6MjHB35Y46oJuCUDRGZ7cE1JIGBgThz5gz27NkDhUIBhUKBfv36adsWFBRg+vTpCAgIgLOzM4KCgvDhhx+ioqJC2yYzMxMKhQIfffQRlixZgjZt2sDZ2Rl//vkn7t+/jzlz5qBr167w9PSEm5sbIiIikJKSovN6X19fAMC8efO0cWhGSgytISkvL8eCBQu07xUYGIh33nkHZWVlOu0CAwPxxBNPYN++fejevTtcXFzQunVrrF27Vqfd33//jXnz5iE4OBguLi5o1KgR+vTpg127dlmjy4nqDP7qQESSLFmyBFOmTIG7uztmz54NAGjSpAkA9bqOvn37Ijs7G6+88gpatGiBAwcOYNasWcjNzcWSJUt0rpWYmIjS0lJMmDABzs7O8Pb2RlFREb766is888wz+Oc//4ni4mJ8/fXXGDRoEI4cOYLOnTvD19cXy5cvx6uvvopRo0YhJiYGAPDII48Yjfvll1/GmjVrEBsbixkzZuDw4cP44IMPcPbsWWzevFmn7cWLFxEbG4uXXnoJ48aNw6pVqxAXF4euXbuiffv2ANRJzwcffICXX34Z3bt3R1FREY4dO4bjx49j4MCB1upuotpPEBGZKTExUQAQGRkZQggh2rdvL/r27avXbsGCBcLNzU2kp6frlL/99ttCqVSKq1evCiGEyMjIEACEh4eHyMvL02lbXl4uysrKdMpu374tmjRpIl588UVtWX5+vgAg4uPj9eKIj48XD/6YO3HihAAgXn75ZZ12M2fOFADEb7/9pi1r2bKlACD27t2rLcvLyxPOzs5ixowZ2rJOnTqJYcOG6b03EVmGUzZEZHWbNm1CREQEvLy8cPPmTe0jOjoaKpUKe/fu1Wn/1FNPaadeNJRKpXYdSUVFBW7duoXy8nJ069YNx48flxTXTz/9BAB44403dMpnzJgBAPjxxx91ysPCwhAREaF97uvri7Zt2+Ly5cvasoYNG+LMmTO4cOGCpJiISI1TNkRkdRcuXMDJkyf1kgyNvLw8neetWrUy2G7NmjX497//jXPnzuHvv/822d6UK1euwMHBAUFBQTrlfn5+aNiwIa5cuaJT3qJFC71reHl54fbt29rn8+fPx4gRIxASEoIOHTpg8ODB+Mc//lHptBER6WNCQkRWV1FRgYEDB+Ktt94yWB8SEqLz3NXVVa/NN998g7i4OIwcORJvvvkmGjduDKVSiQ8++ACXLl2qUnzmHpZmbEeReOC0hMjISFy6dAlbt27FL7/8gq+++gqffPIJvvjiC7z88stVipOoLmFCQkSSGftib9OmDUpKShAdHS352klJSWjdujWSk5N13ic+Pt6sGAxp2bIlKioqcOHCBYSGhmrLb9y4gYKCArRs2VJSrN7e3hg/fjzGjx+PkpISREZGYu7cuUxIiCzANSREJJmbmxsKCgr0yseMGYODBw9i586denUFBQUoLy83eW3N6MSDoxGHDx/GwYMHddrVr19fe11Thg4dCgB6u3w+/vhjAMCwYcNMXuNhf/31l85zd3d3BAUF6W0jJqLKcYSEiCTr2rUrli9fjoULFyIoKAiNGzdG//798eabb2Lbtm144okntNtk79y5g1OnTiEpKQmZmZnw8fGp9NpPPPEEkpOTMWrUKAwbNgwZGRn44osvEBYWhpKSEm07V1dXhIWFYePGjQgJCYG3tzc6dOiADh066F2zU6dOGDduHFauXImCggL07dsXR44cwZo1azBy5EhERUVZ3AdhYWHo168funbtCm9vbxw7dgxJSUmYPHmyxdciqsuYkBCRZHPmzMGVK1fwf//v/0VxcTH69u2L/v37o379+tizZw/ef/99bNq0CWvXroWHhwdCQkIwb948eHp6mrx2XFwcrl+/jhUrVmDnzp0ICwvDN998g02bNukdVf/VV19hypQpeP3113H//n3Ex8cbTEg0bVu3bo3Vq1dj8+bN8PPzw6xZs/Smgsw1depUbNu2Db/88gvKysrQsmVLLFy4EG+++aak6xHVVbyXDREREcmOa0iIiIhIdkxIiIiISHZMSIiIiEh2TEiIiIhIdkxIiIiISHZMSIiIiEh2TEiIiIhIdkxIiIiISHZMSIiIiEh2TEiIiIhIdkxIiIiISHZMSIiIiEh2/w86TLKfTxqyhAAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, 1, figsize=(6, 4))\n", - "ax.plot(obj_vals_eps, \"ro\")\n", - "ax.set_xlabel(\"iterations\")\n", - "ax.set_ylabel(\"objective function\")\n", - "ax.set_title(f\"Level Set Fit: Obj = {obj_vals_eps[-1]:.3f}\")\n", - "ax.set_yscale(\"log\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here, one can see the initial parameters, which are the control knots defining the level set surface. The geometry of the structure will change as the zero isocontour evolves. The width of the Gaussian radial basis functions and the spacing of the control knots impact the accuracy and the smoothness of the initial zero-level set contour." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "eps_fit = get_eps(mirror_param(init_rho), plot_levelset=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Inverse Design Optimization Set Up" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we will write a function to return the `JaxSimulation` object. Note that we are using a `MeshOverrideStructure` to obtain a uniform mesh over the design region.\n", - "\n", - "The elements that do not change along the optimization are defined first." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "# Input waveguide.\n", - "wg_input = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -w_width / 2, -w_thick / 2),\n", - " rmax=(-size_x / 2 + w_length + grid_size, w_width / 2, w_thick / 2),\n", - " ),\n", - " medium=mat_si,\n", - ")\n", - "\n", - "# Output bends.\n", - "x_start = (\n", - " -size_x / 2 + w_length + dr_size_x - grid_size\n", - ") # x-coordinate of the starting point of the waveguide bends.\n", - "x = np.linspace(x_start, x_start + bend_length, 100) # x-coordinates of the top edge vertices.\n", - "y = (\n", - " (x - x_start) * bend_offset / bend_length\n", - " - bend_offset * np.sin(2 * np.pi * (x - x_start) / bend_length) / (np.pi * 2)\n", - " + (w_gap + w_width) / 2\n", - ") # y coordinates of the top edge vertices\n", - "\n", - "# adding the last point to include the straight waveguide at the output\n", - "x = np.append(x, eff_inf)\n", - "y = np.append(y, y[-1])\n", - "\n", - "# add path to the cell\n", - "cell = gdstk.Cell(\"bend\")\n", - "cell.add(gdstk.FlexPath(x + 1j * y, w_width, layer=1, datatype=0)) # Top waveguide bend.\n", - "cell.add(gdstk.FlexPath(x - 1j * y, w_width, layer=1, datatype=0)) # Bottom waveguide bend.\n", - "\n", - "# Define top waveguide bend structure.\n", - "wg_bend_top = td.Structure(\n", - " geometry=td.PolySlab.from_gds(\n", - " cell,\n", - " gds_layer=1,\n", - " axis=2,\n", - " slab_bounds=(-w_thick / 2, w_thick / 2),\n", - " )[1],\n", - " medium=mat_si,\n", - ")\n", - "\n", - "# Define bottom waveguide bend structure.\n", - "wg_bend_bot = td.Structure(\n", - " geometry=td.PolySlab.from_gds(\n", - " cell,\n", - " gds_layer=1,\n", - " axis=2,\n", - " slab_bounds=(-w_thick / 2, w_thick / 2),\n", - " )[0],\n", - " medium=mat_si,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Monitors used to get simulation data." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "# Input mode source.\n", - "mode_spec = td.ModeSpec(num_modes=1, target_neff=nSi)\n", - "source = td.ModeSource(\n", - " center=(-size_x / 2 + 0.15 * wl, 0, 0),\n", - " size=(0, mon_w, mon_h),\n", - " source_time=td.GaussianPulse(freq0=freq, fwidth=freqw),\n", - " direction=\"+\",\n", - " mode_spec=mode_spec,\n", - " mode_index=0,\n", - ")\n", - "\n", - "# Monitor where we will compute the objective function from.\n", - "fom_monitor_1 = td.ModeMonitor(\n", - " center=[size_x / 2 - 0.25 * wl, (w_gap + w_width) / 2 + bend_offset, 0],\n", - " size=[0, mon_w, mon_h],\n", - " freqs=[freq],\n", - " mode_spec=mode_spec,\n", - " name=fom_name_1,\n", - ")\n", - "\n", - "### Monitors used only to visualize the initial and final y-branch results.\n", - "# Field monitors to visualize the final fields.\n", - "field_xy = td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq],\n", - " name=\"field_xy\",\n", - ")\n", - "\n", - "# Monitor where we will compute the objective function from.\n", - "fom_final_1 = td.ModeMonitor(\n", - " center=[size_x / 2 - 0.25 * wl, (w_gap + w_width) / 2 + bend_offset, 0],\n", - " size=[0, mon_w, mon_h],\n", - " freqs=freqs,\n", - " mode_spec=mode_spec,\n", - " name=\"out_1\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And then the `JaxSimulation` is built." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "def make_adjoint_sim(design_param, unfold=False) -> tda.JaxSimulation:\n", - " # Builds the design region from the design parameters.\n", - " eps = get_eps(design_param)\n", - " design_structure = update_design(eps, unfold=unfold)\n", - "\n", - " # Creates a uniform mesh for the design region.\n", - " adjoint_dr_mesh = td.MeshOverrideStructure(\n", - " geometry=td.Box(center=(dr_center_x, 0, 0), size=(dr_size_x, dr_size_y, w_thick)),\n", - " dl=[grid_size, grid_size, grid_size],\n", - " enforce=True,\n", - " )\n", - "\n", - " return tda.JaxSimulation(\n", - " size=[size_x, size_y, size_z],\n", - " center=[0, 0, 0],\n", - " grid_spec=td.GridSpec.auto(\n", - " wavelength=wl_max,\n", - " min_steps_per_wvl=15,\n", - " override_structures=[adjoint_dr_mesh],\n", - " ),\n", - " symmetry=(0, -1, 1),\n", - " structures=[wg_input, wg_bend_top, wg_bend_bot],\n", - " input_structures=design_structure,\n", - " sources=[source],\n", - " monitors=[],\n", - " output_monitors=[fom_monitor_1],\n", - " run_time=run_time,\n", - " subpixel=True,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's visualize the simulation setup and verify if all the elements are in their correct places. Differently from the density-based methods, we will start from a fully binarized structure." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "init_design = make_adjoint_sim(mirror_param(init_rho), unfold=True)\n", - "\n", - "fig, ax1 = plt.subplots(1, 1, tight_layout=True, figsize=(8, 5))\n", - "init_design.plot_eps(z=0, ax=ax1)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we will run a simulation to see how this non-optimized y-branch performs." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
12:23:43 -03 Created task 'initial y-branch' with task_id                       \n",
-       "             'fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:23:43 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'initial y-branch'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f\n",
-       "             3-4341-b485-8b06bf6a002f'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=968431;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=6808;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=968431;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=88843;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=968431;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32m-3530eeb2-56f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=968431;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[32m3-4341-b485-8b06bf6a002f'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "df32552563bd4daea2faa3e1fbf290c5", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:23:47 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:23:47 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b70572260d9045b2ab684bc6b3ad0cfb", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:23:52 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:23:52 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
12:23:58 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:23:58 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:23:59 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:23:59 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "622cceb58bf74182aebdb35d9986edb9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:24:18 -03 early shutoff detected at 88%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:24:18 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m88\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:24:19 -03 status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:24:19 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "609de577af344577bdb0a68fe4fab17e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:24:24 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:24:24 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f\n",
-       "             3-4341-b485-8b06bf6a002f'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=942826;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=559417;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=942826;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=717561;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=942826;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34m-3530eeb2-56f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=942826;https://tidy3d.simulation.cloud/workbench?taskId=fdve-3530eeb2-56f3-4341-b485-8b06bf6a002f\u001b\\\u001b[4;34m3-4341-b485-8b06bf6a002f'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "2a176ab1d01345caa096ba7bf9097a4d", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:24:27 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:24:27 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_init = init_design.to_simulation()[0].copy(update=dict(monitors=(field_xy, fom_final_1)))\n", - "sim_data = web.run(sim_init, task_name=\"initial y-branch\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We will use the insertion loss (IL) to compare the device response before and after the optimization. Since we will use symmetry about the `y`-axis, the insertion loss is calculated as $IL = -10 log(2P_{1}/P_{in})$, where $P_{1}$ is the power coupled into the upper s-bend and $P_{in}$ is the unit input power. The insertion loss of the non-optimized y-branch is above 3 dB at 1.55 $\\mu m$. From the field distribution image, we can realize that it happens because much of the input power is reflected." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "coeffs_f = sim_data[\"out_1\"].amps.sel(direction=\"+\")\n", - "power_1 = np.abs(coeffs_f.sel(mode_index=0)) ** 2\n", - "power_1_db = -10 * np.log10(2 * power_1)\n", - "\n", - "f, (ax1, ax2) = plt.subplots(1, 2, figsize=(9, 4), tight_layout=True)\n", - "ax1.plot(wl_range, power_1_db, \"-k\")\n", - "ax1.set_xlabel(\"Wavelength (um)\")\n", - "ax1.set_ylabel(\"Power (dB)\")\n", - "ax1.set_ylim(0, 4)\n", - "ax1.set_xlim(wl - bw / 2, wl + bw / 2)\n", - "ax1.set_title(\"Insertion Loss\")\n", - "sim_data.plot_field(\"field_xy\", \"E\", \"abs^2\", z=0, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Fabrication Constraints\n", - "\n", - "Fabrication constraints are introduced in the optimization as penalty terms to control the minimum gap ($f_{g}$) and radius of curvature ($f_{c}$) in the final design. Below, we use `jax` to define the penalty terms following the formulation presented in `D. Vercruysse, N. V. Sapra, L. Su, R. Trivedi, and J. Vučković, \"Analytical level set fabrication constraints for inverse design,\" Scientific Reports 9, 8999 (2019).` [DOI: 10.1038/s41598-019-45026-0](https://doi.org/10.1038/s41598-019-45026-0). The gap penalty function controls the minimum feature size by limiting the second derivative based on the value of the function at that point. The curvature constraint is only relevant at the device boundary, where $\\phi = 0$, so we apply the smoothed Heaviside function to the level set surface before calculating the derivatives." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "# Auxiliary function to calculate first and second order partial derivatives.\n", - "def ls_derivatives(phi, d_size):\n", - " SC = 1e-12\n", - " phi_1 = jnp.gradient(phi, d_size)\n", - " phi_x = phi_1[0] + SC\n", - " phi_y = phi_1[1] + SC\n", - " phi_2x = jnp.gradient(phi_x, d_size)\n", - " phi_2y = jnp.gradient(phi_y, d_size)\n", - " phi_xx = phi_2x[0]\n", - " phi_xy = phi_2x[1]\n", - " phi_yy = phi_2y[1]\n", - " return phi_x, phi_y, phi_xx, phi_xy, phi_yy\n", - "\n", - "\n", - "# Minimum gap size fabrication constraint integrand calculation.\n", - "# The \"beta\" parameter relax the constraint near the zero plane.\n", - "def fab_penalty_ls_gap(params, beta=1, min_feature_size=min_feature_size, grid_size=ls_grid_size):\n", - " # Get the level set surface.\n", - " phi_model = LevelSetInterp(x0=x_rho, y0=y_rho, z0=params, sigma=rho_size)\n", - " phi = phi_model.get_ls(x1=x_phi, y1=y_phi)\n", - " phi = jnp.reshape(phi, (nx_phi, ny_phi))\n", - "\n", - " # Calculates their derivatives.\n", - " phi_x, phi_y, phi_xx, phi_xy, phi_yy = ls_derivatives(phi, grid_size)\n", - "\n", - " # Calculates the gap penalty over the level set grid.\n", - " pi_d = np.pi / (1.3 * min_feature_size)\n", - " phi_v = jnp.maximum(jnp.power(phi_x**2 + phi_y**2, 0.5), jnp.power(1e-32, 1 / 4))\n", - " phi_vv = (phi_x**2 * phi_xx + 2 * phi_x * phi_y * phi_xy + phi_y**2 * phi_yy) / phi_v**2\n", - " return (\n", - " jnp.maximum((jnp.abs(phi_vv) / (pi_d * jnp.abs(phi) + beta * phi_v) - pi_d), 0)\n", - " * grid_size**2\n", - " )\n", - "\n", - "\n", - "# Minimum radius of curvature fabrication constraint integrand calculation.\n", - "# The \"alpha\" parameter controls its relative weight to the gap penalty.\n", - "# The \"sharpness\" parameter controls the smoothness of the surface near the zero-contour.\n", - "def fab_penalty_ls_curve(\n", - " params, alpha=1, sharpness=1, min_feature_size=min_feature_size, grid_size=ls_grid_size\n", - "):\n", - " # Get the permittivity surface and calculates their derivatives.\n", - " eps = get_eps(params, sharpness=sharpness)\n", - " eps_x, eps_y, eps_xx, eps_xy, eps_yy = ls_derivatives(eps, grid_size)\n", - "\n", - " # Calculates the curvature penalty over the permittivity grid.\n", - " pi_d = np.pi / (1.1 * min_feature_size)\n", - " eps_v = jnp.maximum(jnp.sqrt(eps_x**2 + eps_y**2), jnp.power(1e-32, 1 / 6))\n", - " k = (eps_x**2 * eps_yy - 2 * eps_x * eps_y * eps_xy + eps_y**2 * eps_xx) / eps_v**3\n", - " curve_const = jnp.abs(k * jnp.arctan(eps_v / eps)) - pi_d\n", - " return alpha * jnp.maximum(curve_const, 0) * grid_size**2\n", - "\n", - "\n", - "# Gap and curvature fabrication constraints calculation.\n", - "# Penalty values are normalized by \"norm_gap\" and \"norm_curve\".\n", - "def fab_penalty_ls(\n", - " params,\n", - " beta=gap_par,\n", - " alpha=curve_par,\n", - " sharpness=4,\n", - " min_feature_size=min_feature_size,\n", - " grid_size=ls_grid_size,\n", - " norm_gap=1,\n", - " norm_curve=1,\n", - "):\n", - " # Get the gap penalty fabrication constraint value.\n", - " gap_penalty_int = fab_penalty_ls_gap(\n", - " params=params, beta=beta, min_feature_size=min_feature_size, grid_size=grid_size\n", - " )\n", - " gap_penalty = jnp.nansum(gap_penalty_int) / norm_gap\n", - "\n", - " # Get the curvature penalty fabrication constraint value.\n", - " curve_penalty_int = fab_penalty_ls_curve(\n", - " params=params,\n", - " alpha=alpha,\n", - " sharpness=sharpness,\n", - " min_feature_size=min_feature_size,\n", - " grid_size=grid_size,\n", - " )\n", - " curve_penalty = jnp.nansum(curve_penalty_int) / norm_curve\n", - "\n", - " return gap_penalty, curve_penalty" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we will calculate the initial penalty function values and observe the regions of the initial design that violate the constraints. The gap and curvature penalty functions are normalized by their initial values along the optimization to better balance the weights of device response and fabrication penalty within the objective function." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABJkAAAHkCAYAAACdTv6TAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/OQEPoAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdeVhUZfvA8e8MMAzIJqICKZopaZoaLvSaqZW5V1Zqu1qmlVlqmmZlamb6arlUv3zNfN16y8rUyqXSXNLcUlxaNDRFXFBUlEVknfP743CGAQaYgRkGhvtzXecamDnnOc8My9xzn+e5H52iKApCCCGEEEIIIYQQQpSD3tUdEEIIIYQQQgghhBBVnySZhBBCCCGEEEIIIUS5SZJJCCGEEEIIIYQQQpSbJJmEEEIIIYQQQgghRLlJkkkIIYQQQgghhBBClJskmYQQQgghhBBCCCFEuUmSSQghhBBCCCGEEEKUmySZhBBCCCGEEEIIIUS5SZJJCCGEEEIIIYQQQpSbJJmEEOWyZMkSdDodS5YscXVXhBBCCCHcxuDBg9HpdMTFxbm6K0IIYTNJMgm3EhsbyyuvvEJUVBTBwcF4eXkRHBxMdHQ0Y8eOZf/+/a7uYhE6na7A5uHhQUhICHfffTeff/65q7tXZg0bNqRhw4au7oZDLF26lPbt2+Pn50dgYCBdunRh7dq1drWxdevWIj9ry+21114r9tjz588zevRobr75Znx8fKhZsyZRUVElHhMTE8Pjjz9OvXr18Pb2pm7dunTu3Jlly5bZ1W8hhBBV19GjR3nppZdo0aIFgYGBGAwGwsPD6d27N4sWLSIzM9PVXXQaa++7BoOBevXq0b9/f3bt2uXqLpZJXFwcOp2OwYMHu7or5bJ3714mTJhAz549CQ0NRafTUa9evQpra+XKlbz00kvceeedBAQEoNPpePLJJ+0677PPPmv+3Tp+/HiZ+i6EO/J0dQeEcARFUXj77bd5++23MZlMREVF8cgjjxAcHExqaiqHDx/mww8/5P333+ejjz7ixRdfdHWXi5g0aRIA2dnZHD16lG+//ZYtW7awb98+Zs+e7eLeVV9jx47l/fffp169egwdOpSsrCxWrFjBfffdx4cffsiIESPsaq9z58506dKlyP0dO3a0uv+vv/5Knz59SE9Pp1evXjz44INcv36d48ePs2LFCmbMmFHkmI8++oiRI0dSs2ZNevfuzQ033EBSUhJ//PEH69evZ+DAgXb1WQghRNXz9ttvM2XKFEwmE//6178YNGgQfn5+XLhwga1bt/Lss88yf/589u3b5+quOlWDBg3MCZlr166xe/duVq5cyapVq1i5ciUPPvigaztYTX3++efMmzcPLy8vbrnlFi5cuFChbb3zzjscOnQIPz8/6tWrx9GjR+065/fff8+iRYvw8/MjLS2trF0Xwj0pQriByZMnK4BSv359ZceOHVb3uXDhgjJhwgRl2rRpFdy7kgGKtT/FTZs2KTqdTtHpdMrJkycrvmM2Wrx4sQIoixcvLnB/gwYNlAYNGrikT47y66+/KoBy0003KUlJSeb7T548qQQHByve3t42/2y2bNmiAMqkSZNsPn9CQoJSq1YtpUGDBsrff/9d5PGsrKwi9/3444+KTqdTunXrpqSkpNh0jBBCCPcybdo0c1y0e/duq/t8//33SpcuXSq4ZxVHe9/t3LlzkcfeeustBVBuvPHGiu+YHQYNGqQABWKNkydPKoAyaNAgl/XLEQ4cOKDExMQomZmZiqKo8fANN9xQYW1t3rxZiY2NVUwmk/l35YknnrDpfImJiUrdunWVRx55ROncubMCKMeOHStT34VwRzJdTlR5J06c4J133sFgMLBhwwbuuOMOq/vVqVOHd999l3HjxhW4PzY2ltdee422bdtSu3ZtvL29adCgAcOGDePMmTNF2tGGX0+ePJldu3bRtWtXAgMD8ff3p3v37g67InjPPffQtGlTFEXht99+M99/5swZRowYQaNGjfD29qZWrVrcf//9BfbRTJ48GZ1Ox9atW1m5ciXt27fH19eX4OBgHn30Uc6ePVvkmP379zNy5EhatWpFcHAwRqORJk2aMGbMGK5cuVJqv7XX59SpU5w6darAMPXBgwdz5coVfH19uemmm1AUxWob9913HzqdzuVXV//zn/8A8MYbb1CzZk3z/Q0bNuTFF18kMzOTxYsXO+387777LpcvX+Y///kPkZGRRR738vIqct+rr76Kj48Pn3/+Of7+/jYdI4QQwn3ExcUxefJkvLy8WL9+PdHR0Vb369OnDz/88IP5e8v4xhpr0+At6zL+8MMPdOnShcDAQHQ6HWfPnsXDw4Pbbrut2L727NkTnU7HH3/8UeD+PXv20K9fP0JDQzEYDNSvX5/nnnuOc+fO2fYilEIb0X7y5EkuXrxYpvN26dIFnU5HTk4O7777Lk2aNMHb25v69eszfvx4srKyihyzZs0annzySSIjI6lRowY1atSgTZs2fPDBB5hMplL7PXnyZG688UZAncpvGWMtWbKEH3/8EZ1Ox9NPP231+MzMTEJCQggJCXH5VMnWrVtz2223YTAYXNLWXXfdRZMmTdDpdHafb9iwYQD83//9n93HClEdyHQ5UeUtXryYnJwcHn/8cZo3b17q/p6eBX/tV61axX/+8x/uuusuOnTogMFg4M8//+TTTz/l+++/Z9++fdxwww1F2tmzZw/Tp0+na9euvPjiixw/fpxVq1bxyy+/8NNPP3HnnXeW+7lpSRjtDTAmJoZu3bqRlJRE9+7deeihh7h06RJr1qyhY8eOrF69ml69ehVp5+OPP+a7777j/vvvp3PnzuzZs4cvv/ySQ4cOcfDgQby9vc37Lly4kNWrV9O5c2e6du2KyWRi//79zJ49mw0bNrBnzx6ryQtNw4YNmTRpEnPnzgVg1KhR5sdat25NzZo1efTRR1m8eDGbNm3i3nvvLXD86dOn2bBhA23atKFt27ZlfekcYvPmzQD06NGjyGM9e/Zk6tSpbN68mSlTptjc5vHjx/noo49ISUkhNDSUO++8kyZNmljd94svvqBmzZp0796dv/76i59//pn09HRuuukmevTogZ+fX4H9//jjDw4fPkzfvn0JDg5my5Yt7N+/H51OR+vWrbnrrrvQ6+XaghBCuLPFixeTnZ3No48+SosWLUrc1/L9vzxWrlzJDz/8QM+ePXn++ec5deoUN9xwA127duWnn37i999/59Zbby1wTEJCAhs3bqRNmzYF+vnf//6XYcOG4e3tzf3330/9+vU5duyYOS7bvXs3ERER5eqv5UUuLcYq63kff/xxtm/fTs+ePQkICGD9+vXMnDmTxMTEIheiXnvtNfR6PdHR0dxwww0kJyezefNmRo4cyW+//cby5ctL7HeXLl24evUq8+bNo1WrVvTt29f8WOvWrWnVqhU33XQTX331FXPnziUwMLDA8d988w2XL19mzJgxDvvZVzdLlixhzZo1rFmzhlq1arm6O0JUSpJkElXer7/+CsDdd99dpuOfeuopRo8eXeTN9qeffqJnz5688847zJ8/v8hxP/zwQ5GaPN9++y19+/blmWee4e+//y7XB/pNmzbx999/o9PpaNeuHTk5OQwYMIC0tDS2bNlC586dzfueO3eOdu3aMWTIEOLi4oo8lx9++IHffvutQID3+OOP88UXX/Dtt98yYMAA8/0TJkzg//7v//Dw8CjQxqJFi3j22Wf5+OOPGT9+fLH9btiwIZMnTzavNmftiujw4cNZvHgxCxYsKJJkWrRoEbm5uTz33HOlvkagXnndunWrTftqirtKa+natWucPXsWPz8/wsLCijyuJYZiY2PtOvf//vc//ve//xW47+GHH2bhwoUFRkudPHmSS5cu0a5dO0aPHs28efMKHFOrVi2WLVtWIKmojWarU6cOXbp04ZdffilwzK233sqqVato3LixXX0WQghRdezYsQNQR0RXlPXr17N+/foiF2UGDx7MTz/9xNKlS3nvvfcKPPbZZ5+Rm5vLoEGDzPfFxsby/PPP07BhQ7Zt21bgIt/PP/9Mt27dGDlyJKtXry5Xf7URKI0aNSIkJKRc5/3nn3/4888/CQ4OBmDatGm0atWKZcuWMX36dEJDQ837rlu3jptuuqnA8SaTiaeffpply5YxYsSIYkeegZpkatiwIfPmzaN169ZW45nnn3+eV199leXLlxepG/nJJ58A+SNxSrNkyRK7VrZr2LBhlS9IXpJTp04xcuRInnzySR544AFXd0eIysu1s/WEKL9mzZopgLJhw4Yij508eVKZNGlSgW3OnDk2t33rrbcWma+vzdtu3LixkpubW+QYbW721q1bbToHeTWZtP69/vrrysMPP6x4eHgogDJ69GhFURRlzZo1CqCMHTvWajtz585VAGXdunXm+yZNmqQAyhtvvFFk/82bNyuAMmbMGJv6aTKZlICAAOWuu+4qcH9ZazK1bdtW8fT0VBISEsz35eTkKPXq1VP8/f2V1NRUm/qlPUd7NlucPXu2xDn9WVlZCqAYDAab2vvjjz+UGTNmKL///ruSmpqqXLx4UdmwYYNy2223KYByxx13FPh92r17twIoHh4eio+Pj/LRRx8piYmJyrlz55SZM2cqnp6eitFoVP766y/zMTNmzDAfExERoaxbt05JTk5WYmNjlSeffFIBlMjISHPNAiGEEO6npLioJKXVDrT2vq7FAH379rV6THp6uhIYGKiEhoYqOTk5BR5r3ry54uXlpVy8eNF836hRoxRAWbt2rdX2+vbtq3h4eFitOVjc82nQoIE5xho7dqxy5513KoCi1+uVVatWlfm8Wry3cePGIvtrNZ++//77UvupKIqyf/9+BVCmTJlS4P6y1GS6dOmSYjQalRYtWhS4/+jRowpQJI4rifYcbd2s1b+yVUkxl7PbsqUmU25urtK5c2clPDy8QJ1OqckkRFEykkm4tbi4uCJTmRo0aFBgCpeiKPzvf/9jyZIlHDp0iCtXrpCbm2t+vLj53XfeeafVkUpdunRh27ZtHDhwoMBoo9Jo/dTpdAQFBXHnnXcyZMgQ83Kq2lK7p06dsnrl6tixYwAcOXKkyJQ5a9PO6tevD1CkzlJ2djYLFixgxYoV/PXXXyQnJxeoE2CtjlNZDB8+nGeeeYb//ve/vP7664B6JfTMmTO88MILRaaCFWfy5Mk2jUxytebNmxeYzunn50ePHj3o0KEDrVu35tdff+X77783XxnTXvPc3FzeeuutAisivvrqq5w/f57Zs2czd+5cFixYUOSYFStW8K9//QuAgIAAli1bxtGjR9m3bx/ffPMNjz32WIU8byGEEO6vffv2Vu/38fFhwIABLFy4kB9//NEcn+zfv58///yTBx98kJCQEPP+Wqyzbds2q7UmExMTyc3NJTY2ljZt2tjUt1OnTpljLE9PT2rXrs1DDz3EmDFj6NChQ7nPa0+MdfnyZWbNmsX69es5ceIE165dK/C4I2KsWrVqMWDAAJYtW8bOnTvNz1EbxfT888/b3Ja9I8Xd2Zw5c9i2bRvr1q0rMPJcCFGUJJlElRcaGsqRI0eKLcqo5M27z8nJsVr0+JVXXmHu3LmEhYXRvXt3brjhBnx8fAB1mPCpU6esnrdu3brF9gcgOTnZruehFFMEW3P58mUAvv766xL3s7aMalBQUJH7tNpUlgk1gEceeYTVq1fTqFEjHnjgAUJDQ83T7+bOneuwQpGPPvooY8aMYeHCheYaBVoAZOtUOWfS6hgU93PU7rf22tojICCAxx9/nGnTpvHLL7+Yk0yW7VpbXvnBBx9k9uzZ7N2713yfdkxoaKg5waTR6XQ88MAD7Nu3j71790qSSQgh3FRYWBhHjhxx2EUhW1hOCSts8ODBLFy4kKVLl5qTTEuXLgUoMFUO8mOdWbNmlXg+e5aM79y5c6nJkvKc19YY6+rVq7Rr146TJ0/Svn17Bg4cSHBwMJ6enuY6S46KsYYPH86yZctYsGABHTp0IDMzk6VLl1KnTh2rMYUoWWxsLG+88QZPP/201dqnQoiCJMkkqrw77riDLVu28PPPP/PMM8/YdWxiYiIffPABLVq0YOfOnUUKWn/xxRfFHnvhwgWr958/fx6gSLHF8tLa+/bbb7n//vsd2rZm3759rF69mq5du7Jhw4YCRdJNJhMzZ8502Ll8fHwYPHgwc+bM4aeffqJ58+Zs2LCB6OhoWrVqZXM7zqrJVKNGDW644QbOnj1LQkJCkbpM2sgxa6u+2at27doABa5o3nTTTXh6epKTk2M1gNWuol2/ft1838033wwUn/iydowQQgj30rFjRzZv3szPP//MkCFDbD5OG52dk5Nj9fGrV68W+/5S0gpdHTp0oEmTJnz33XdcvXqVGjVq8MUXXxASElLkA7vlBZ6AgACb+15eFXHeTz/9lJMnTzJp0qQicciuXbuK1F4sj+joaG677TZzAfANGzZw+fJlxo8fb9cqs1KTSfXXX3+ZVxQublVhrVbn6tWrCxRkF6I6kiSTqPIGDx7MjBkzWLlyJW+++SbNmjWz+dgTJ05gMpno1q1bkQTTmTNnOHHiRLHH7tixA5PJVGTKnJbwKGnJ3rK4/fbbAdi+fbvTkkzHjx8H4P777y+yCt/evXvtSk54eHhYXb7X0gsvvGCe7tWqVSu7Cn5rtm7datfqbmBbkgnUYvLLly/nhx9+KLIc8IYNG8z7lNfu3bsBtQCpxmAwcOedd7Jlyxb++OOPIiPntOWetaWMQf0dqVGjBnFxcVy7do0aNWqUeowQQgj38vTTTzN9+nS++eYb/vrrL2655ZZi983MzDSPVtYuRJw+fbrIfsePHyc5ObnMo3cHDRrEm2++yZdffkndunW5dOkSL7/8cpGEx+23387+/fvZvn07vXv3LtO5yqIizqvFWA8//HCRx7Zt22ZzO9rCLIVHohc2fPhwhg4dyrJly1i9ejU6nc7mgt+aJUuW2NW3zp07u2WSqWHDhsUmbNetW8f58+fp378/AQEBNGzYsGI7J0Rl5OKaUEI4xOTJk83FHX/99Ver+1y8eNG8jyYhIUEBlPbt2xcoSJmamqr06NHDaqForTggoHz44YcFHtOKcxdXFNwaa+ewJisrS7npppsUHx+fAsW9Le3cuVO5du2a+XutKPaWLVuK7GutcOSuXbsUQHnooYcK7HvhwgUlKiqqyOunKMUX/m7Xrp3i7e2tpKenl/i8unbtqnh6eip169ZVgoKCSt2/Iv36668KoNx0000FijyePHlSCQ4OVry9vQsU41QU9ffsyJEjBQqZKoqi/Pbbb1bPsXz5ckWn0ykGg6FIW6tWrVIApUOHDkpaWpr5/itXrijNmzdXAGXp0qUFjnn55ZcVQBk1apRiMpnM9x8+fFgxGo2Kp6encvz4cXteBiGEEFXMtGnTFEBp2LBhse8/GzZsKFAEOisrSwkICFACAwOVCxcumO9PT09XevbsaVcMUFh8fLyi1+uVDh06KA8++KACKDExMUX2O3LkiOLl5aU0adJE+fvvv4s8npmZqfzyyy8lnkujxWu2FKMuy3m1gs/WWHtdpk+frgDKBx98UGDfmJgYJSAgwGoxb2uFv1NTUxWdTqd06tSpxOd07do1JTAwUAkPD1cApVu3biXu72rYUKz7+PHjypEjR5SsrKxyt2XJlsLfxZHC30IUJSOZhFt46623UBSFqVOncscdd9CmTRvat29PcHAwV69eJS4ujk2bNgHQqVMn83GhoaE8+uijrFixgtatW9OtWzeSk5PZuHEjRqOR1q1bc/DgQavn7NGjB2PGjGHDhg20atWK48ePs2rVKoxGI//973+tFgUvDy8vL1atWkX37t3p3bu3uWC0r68vp0+f5rfffuPEiRMkJCTg6+tbpnO0a9eOO+64g1WrVtGhQwc6duzIhQsX2LBhAzfffDPh4eE2t3XPPffw22+/0aNHDzp16oS3tzetWrXivvvuK7Df8OHD2bRpExcuXOCll14y18OqDDp06MArr7zC7NmzadmyJf369SMrK4svv/ySpKQkPvzwwyJXrD766COmTJlSZDh8v3798PT0pG3bttSrV4+MjAx+++039u7di6enJwsWLCjS1oMPPsjTTz/N4sWLufXWW+nZsye5ubmsXbuWs2fP8vDDD5sLw2umTp3KL7/8wty5c9m1axd33HEHFy5cYNWqVWRkZDB37twiyycLIYRwL6+//jo5OTlMmTKFdu3a0aFDB9q2bYufnx8XLlzgl19+4dixYwWKVnt5eTFy5EimTp3KbbfdxoMPPkhOTg4bN24kPDzcrhigsPr163PXXXfx888/4+npya233mp1xHfTpk3573//yzPPPEPz5s3p0aMHkZGRZGdnEx8fz/bt26lduzZHjx4tc1+sqYjzDhw4kFmzZjFq1Ci2bNlCkyZNOHbsGGvXruWhhx7iyy+/tKkdPz8/oqOj2b59O0888QSRkZF4eHhw//3307JlS/N+vr6+DBo0iA8++ACoHPUuLR09epQZM2YUuO/KlSsFRkK99957BQrD33PPPZw6dYqTJ08WiJnK0taaNWtYs2YNkF/qYteuXeZjQkJCeO+998rxDIWoxlyd5RLCkY4ePaqMGjVKadWqlRIYGKh4enoqNWvWVNq2bauMGjVK2b9/f5Fjrl27prz++uvKTTfdpHh7eyv16tVThg8frly6dMnqVSrLJX537typ3HPPPYq/v7/i5+en3HvvvcrevXvt6jM2jmTSXLhwQRk/frzSvHlzxcfHR6lRo4bSuHFj5eGHH1aWL1+uZGdnm/e1dySToijK5cuXlRdeeEFp0KCB4u3trTRq1EiZMGGCcu3atRKXLy58FTMtLU15/vnnlRtuuEHx8PAodrndnJwcJSQkRAGUP/74w+bXoSItXrxYadu2reLr66v4+fkpnTp1KnZZYu01L7wE9IwZM5SuXbsq9erVU4xGo/m1HTx4sHLw4MFiz20ymZSFCxeaz+/j46O0adNG+eijj4odLZeamqq8/vrrSpMmTRSDwaAEBgYq9957r/Ljjz+W+TUQQghR9fz111/KiBEjlObNmyv+/v6Kl5eXEhoaqvTo0UP59NNPlYyMjAL7m0wmZfr06UqjRo0ULy8vpX79+sqrr75qdwxgzfLly80xz3vvvVfivocPH1YGDRqkREREKAaDQalZs6bSvHlzZdiwYcrPP/9s03O3ZyRTWc5r70gmRVGUP//8U7nvvvuU2rVrK76+vkpUVJSycOHCYmMyayOZFEVRjh07pvTp00cJDg5WdDpdsT+DgwcPKoASFhZWID6sDCxnBhS3FX7eDRo0sHp/WdrS4rXitsK/68WRkUxCFKVTlFKWtBJCFLB161buuusuq4Ubhf1OnDhB48aNueOOO9i+fburuyOEEEII4RaWLFnC008/zZtvvsnUqVNd3R0hRDXh2Pk8Qghhp/feew9FURgxYoSruyKEEEII4RZycnKYPXs2np6elW6qnBDCvUlNJiFEhYuPj+fzzz/n2LFjLF68mFatWtG/f39Xd0sIIYQQokrbsWMH27ZtY+vWrfz++++MGDGCevXqubpbQohqRJJMQogKd+LECSZMmICvry/33nsv8+fPd3ihdCGEEEKI6mbTpk1MmTKF4OBghg4dysyZM13dJSFENSM1mYQQQgghhBBCCCFEucnQASGEEEIIIYQQQghRbpJkEkIIIYQQQgghhBDlJjWZnMhkMnHu3Dn8/f3R6XSu7o4QQogKpCgKqamphIeHS80xIWwksZMQQlRfEju5B0kyOdG5c+eoX7++q7shhBDChU6fPi0r+whhI4mdhBBCSOxUtUmSyYn8/f0B9Y8kICDAxb0RQghRkVJSUqhfv775vUAIUTqJnYQQovqS2Mk9SJLJibRh3gEBARIoCSFENSVTfoSwncROQgghJHaq2mSioxBCCCGEEEIIIYQoN0kyCSGEEEIIIYQQQohykySTEEIIIYQQQgghhCg3t00yZWZmMn78eMLDw/Hx8SE6OpqNGzfadOymTZu46667CAkJISgoiPbt27N8+XIn91gIIYQQwnUkdhJCCCFEebltkmnw4MHMnj2bJ554gnnz5uHh4UGvXr3YsWNHicd99913dOvWjaysLCZPnsy0adPw8fFh4MCBzJkzp4J6L4QQQghRsSR2EkIIIUR56RRFUVzdCUfbu3cv0dHRzJo1i7FjxwKQkZFBixYtqFOnDjt37iz22G7duvHnn39y4sQJvL29AcjJyaFp06bUqFGDQ4cO2dyPlJQUAgMDSU5OlhVSRDWxEAgD+ri6I6KAGCAWSM37vg/qz0k4k7wHiKpEYichhBCuJu8B7sEtRzKtXLkSDw8Phg0bZr7PaDQyZMgQdu3axenTp4s9NiUlhZo1a5qDJABPT09CQkLw8fFxar+FqPKuvgpZDwHV7cr1trwttbQdK1gqMA6IhrRnIWm8+jOiL2p/hRBCJbGTEEIIIRzB09UdcIYDBw4QGRlZJPvZvn17AA4ePEj9+vWtHtulSxf+/e9/M3HiRAYNGoROp+Pzzz9n3759fPXVVyWeNzMzk8zMTPP3KSkp5XwmQlQxJxpB1KdAlKt7UsE6u7oDxfAHZgIJcH4XnKkHvunQfo+rOyaEqGQkdhJCCCGEI7hlkikhIYGwsKJTQbT7zp07V+yxEydO5OTJk0ybNo133nkHAF9fX7755hseeOCBEs87ffp0pkyZUo6eC1HFZRkgvi9ErKH6JJrmAOvyvu4MjEJN7lQmy6FxNBwMgcbHXd0ZIUQlJLGTEEIIIRzBLafLXb9+vcCQbY3RaDQ/Xhxvb28iIyPp168fX3zxBZ999hlt27blySefZPfu3SWed8KECSQnJ5u3koaWC+FeYoEICD8HnjmQdTvVY8pcAtAEeDZvuy3vvspiHGQYIa4hxGRD6HlI94XEOkBz1FpNQgghsZMQQgghHMMtRzL5+PgUGHqtycjIMD9enBEjRrB7925iYmLQ69Uc3IABA2jevDkjR45kz57ip5l4e3tbDdCEqB56Q/hC0JtA3w7wc3WHKkBlLXKeCqwAtqk/j6CrYMyAnLx/+b7pqInBhcBoINJF/RRCVBYSOwkhhBDCEdxyJFNYWBgJCUVHE2j3hYeHWz0uKyuLRYsW0bt3b3OQBODl5UXPnj3Zt28fWVlZzum0EFVaJDBfHcWkNwF7gKEu7lN15o/6+u8BQ5aaZAo9APU2q5tfGpANzEcSTEIIkNhJCCGEEI7hlkmm1q1bExsbW6R4pHYlrXXr1laPu3z5Mjk5OeTm5hZ5LDs7G5PJZPUxIYSo/MJQE0qSVBJCFCWxkxBCCCEcwS2TTP369SM3N5dPPvnEfF9mZiaLFy8mOjravDpKfHw8R48eNe9Tp04dgoKCWL16dYGrbmlpaXz//fc0bdpUluIVQgghhNuR2EkIIYQQjuCWNZmio6Pp378/EyZMIDExkcaNG7N06VLi4uJYtGiReb+BAweybds2FEUBwMPDg7Fjx/Lmm29y++23M3DgQHJzc1m0aBFnzpzhs88+c9VTEkIIIYRwGomdhBBCCOEIbplkAli2bBkTJ05k+fLlXLlyhZYtW7J27Vo6depU4nFvvPEGN954I/PmzWPKlClkZmbSsmVLVq5cycMPP1xBvRdCCCGEqFgSOwkhhBCivHSKdilKOFxKSgqBgYEkJycTEBDg6u4IIYSoQPIeIIT95O9GCCGqL3kPcA9uWZNJCCGEEEIIIYQQQlQsSTIJIYQQQgghhBBCiHKTJJMQQgghhBBCCCGEKDdJMgkhhBBCCCGEEEKIcpMkkxBCCCGEEEIIIYQoN0kyCSGEEEIIIYQQQohykySTEEIIIYQQQgghhCg3STIJIYQQQgghhBBCiHLzdHUHhBDuZC3QBghzdUcqUCywDTgP+AFRQOdytpkK+JezDWttHsu7jXJC+xUlIe+2Mv2OOePnJYQQQgghRNUjI5mEEI6TPgB429W9qGCRwFDgHDCa8ieYAMY5oA0rbZraQnpPJ7VfEVJR+17e37EEYEXellrOtmKAueVsQwghhBBCCPcgSSYhhOMcbwzMd3UvKshaoC+Y9JASAJe+hqtBkGUAolETGGVpc2re11NRR0g5ynzQm+BoU6rWz0hLCE0FhqMmhRLyvl+BmuSxJVGUmrfv2rwtIe++daij0ewVm3f+dXntzMlrXwghhBBCiOpLpssJIRwgBugKhjqQWAfqtAQ2ubpTTqAlKvISCqYzalLJM0dN4ICadMrZD57DUZNEkUCTvNswik6rikVNeGgbefto50qlfFMQVwDj4FI6XAoBfNXbkLrActSpc5WR9rrEok5FTEWdjqg5l3ebAOwn/7X1L7RfGvlJqNS87ym0T2ze/X55xxf3WmuJKWs/L62dyvp6CiGEEEII4XySZBJClNNCYCqkZYFf3gf49J3gGw1MBPq4sG+OFJu3Hcv73h/0QWBMBVOWmlzSEk16D9SEhZaESMv72jKBoSUmUslPgvhZuU3LO68fttX92YaanNoGrIN0L0j3VTfPHPVnlGGEpATwux0MTYBHgd5UngRJAvnJIX/yE0Na8k37unAySVNcjSTtPr9i7k+jKD+L+wsnqcIoOooqgcpVL0oIIYQQQoiKI0kmIUQ5xGCeImTISzJ55qgbacAvQDiVJ3lRHtoomTDUUUlawiMN9KmFJh9rCZAw1OfvR8GkiH+hfaFo0qQwywSI5fFa4iMBdXTPOtREWCzkKOounjngm67+jLTvPXPUxBgJ5E/LO4daU8rVRay11w7yRzBZJnqgaMLI38p9WPk+jIKvpbWfSUnHa7Sff1ih+4QQQgghhKi+JMkkhCgHbRRMJBhiwaCN1vFT7yMqbx93EEZ+QqE3BadhFTcCprjEkiMVTq6kYX7tPRPAMxV8tZFBoPa5Fupz0X5OYeRP6asMLBN6lUll7JMQQgghhBCVhySZhBDl4I868iUMNaGUQH5yRUteuKPKmATRkmDaCButfpA2ygkKTvWyrD9keawQQgghhBBClI0kmYQQDhBJ5RkFU91pCSPLn0fhEVfOHl0lhBBCCCGEqI4kySSEEG7Pv9CtEEIIIYQQQjievvRdhBBCCCGEEEIIIYQomSSZhBBCCCGEEEIIIUS5yXQ5IYQQQgghhChOui/ER4DeBPXOgG+6q3skhBCVliSZhBBCCCGEEMIakx429YHDLdWvGx+Hx6OBPa7umRBCVEqSZBJCCCGEEEIIa443hn1tydjbHgBjYh2IioGmXYFNru2bEEJUQlKTSQghhBBCCCGKiIHzoZASQMLVIGLiGhIfEwWbusKODLgaBMxxdSeFEKJSkZFMQggzk8lEbGwsx48fJykpifT0dLKysjCZTAB4eHjg5eWFp6en1c3Dw6PI93q9Hp1Oh06nQ1EUFEXBZDKRm5tLbm4uOTk55lvta8vNZDKhKEqBfup0OvR6fYFNp9Ph4eFhfkw7pyXt/FofLL/XNm2/4li2a3kuy3MW3gofZ3kea1vhvhU+prh+FXdb3Ncl3RZ+3az1u6Svi+urZfu29KfwfiWxdu7S7itOca+jLT977Xfy+vXrpZ5HCCFEJeeXBiGXuDH0PB56E/7GDMgwqnWa0vwwBXxBbGwbjh9PkdipGBI7SeykfS+xU/UgSSYhBH/88QdLly7lwIEDtGzZksjISGrVqkVISAgGgwG9Xo+iKOTm5pKdnW0OarQtKyuryH2WwY7lG5P2RuLh4WEOrCwDLO1r7byFgx7LYEILKLTAKysry2rgY8nyzay4wKbwm2RxgYLJZCI7O7vYIMdy38KvQeH+FH6jLa1PlooLqEoKtIoLDG0JxOz52lpfy3NbksKvq7W+F36sOKUFg4V/1oW/NplMpKdLYVghhKjaoiDkklrs+1IIEX5patHvxsf54/pxls5K5MCfl2jZ8iUiIztSq1YXiZ0kdirSZ4mdJHaqbnSKLb99okxSUlIIDAwkOTmZgIAAV3dHiCKSkpIYP348ubm5vPzyy7Rq1cqmNxEhROnkPUAI+8nfjah00n1hb3uIjYQMI0mGBMZv+YFcfQ4vD/an1S1e6AJTIeBuYDng7+oeC1FlyXuAe5CRTEJUU3FxcTzzzDNMnz6d6OhoV3dHCCGEEKLy8e0GDQ+Cbzpxl1N45p2TTH/LSPSN9dWaTFcywCsXAmKABCTJJISo7iTJJEQ1lJCQwDPPPMOSJUuIiIhwdXeEEEIIISqpt6BhVxK8r/LMazVY8kV/IvRbIbYeXAoBYwaY9OB3HPxigUhXd1gIIVxKVpcToprJzs7mmWee4eOPP5YEkxBCCCFEiaLIzr7AM8/8i48//pmIiFkQHwFHm6q36b4QnAR+MUAfV3dWCCFcTkYyCVHNfPzxxwwYMICmTZu6uitCCCGEEJVewdgpVU0upQRARDz0Wg8BKa7uohBCVBpuO5IpMzOT8ePHEx4ejo+PD9HR0WzcuNHm47/88kv+9a9/UaNGDYKCgujQoQObN292Yo+FcL74+Hg2btzIoEGDXN0VIYQQlYzETkIUVTR2SlBvomLgcQ9JMAkhRCFum2QaPHgws2fP5oknnmDevHl4eHjQq1cvduzYUeqxkydP5rHHHqN+/frMnj2bd955h5YtW3L27NkK6LkQzvPWW28xY8YM9Hq3/dMXQghRRhI7CVFU0dgpVk0wdfsIdTU5IYQQlnSKoiiu7oSj7d27l+joaGbNmsXYsWMByMjIoEWLFtSpU4edO3cWe+zu3bvp0KED77//PqNHjy5XP2QJRlGZ/Pnnn7z33nssXrzYSWdItfjaXVdWScjbYgvd74f6nP2BsLytIqUCx/L6tS3v67y+mq5CjqdalNRkkVzUm8AzR73VB+X1uQlqwVLttnNFPgm3I+8BoiqR2EmIopwfOwkhLMl7gHtwy5pMK1euxMPDg2HDhpnvMxqNDBkyhNdff53Tp09Tv359q8fOnTuX0NBQRo4ciaIoXLt2DT8/v4rquhBOM2nSJN5//30HtqglNs6hJjTSUJMtoCZbLBMvfqhJjKqafNISS7HkJ3MsWSaXtOcaDkQ5sU/a678/7zZG7Vf6JcgyqImlLCPk1CuYZDLp8xJLpvxEk2cOGC6A51kw/gCGLNDXA4YCtwFtqPjEmRCiIknsJERRjo+dhBDC/bllkunAgQNERkYWyX62b98egIMHDxYbKP3888906NCBDz74gHfeeYfLly8TGhrKG2+8wYgRI5zedyGcYf/+/dSuXZsGDRo4qMVtmJMa5gRTKgWTS4WTTNr3YVS9ETKxwC/kJ3Qsk0zac/JDHf0Thvp6aEk3RyfXtGTXgbz+xEDOOcgwqtvVcDXJlGHMTzZpW4lJpix1GWbt1pgBQVPBEIqaLOucdxvl4OcjhKgMJHYSoiDHx05CCFE9uGWSKSEhgbCwolfdtfvOnTtn9bgrV65w6dIlfv31VzZv3sykSZOIiIhg8eLFvPTSS3h5efHcc88Ve97MzEwyMzPN36ekSCFAUTlMmTKFhQsXOqClVGAdapLpFyAWTLkWiYsg8hMuWnKpMH/1ONrg3JE+jpSKOmLrGJiOqAkcyEvSpIBnKmqCKZX8xJKjxVBw1FIMZKSrfUmvoy6hnO4LScH5CSct0aQlmyynzBVOMFkml4wZ4JuutuebDr6bwPc70LcjP9nU2UnP0xapxdwvyS8hykpiJyEKclzsJIQQ1YtbJpmuX7+Ot7d3kfuNRqP5cWvS0tIAuHz5MitWrOCRRx4BoF+/ftx666288847JQZK06dPZ8qUKeXtvhAO9fPPP9O4cWPq1q1bzpZSgRXAl8A2yPCALB/1Ib0pL1GhTRnTEk3aCCetllFaXlsJwPm8r6tCoqkzanLnFzVZk2EsmKQhjPxRPlF530c64LzaaxeLmtzLSzBlpeUllYLV2zQ/dUv3hfOh+QmndN+iiaacvH/7Wv8LJ5h809XNL01t0y/NYvsb/PaD582oiUYt4eSI51rc808rdKslmNKs7G+Z1NRG0VneX/h7y/vK0jdrJNElqiaJnYTI57jYSQghqh+3TDL5+PgUuCqmycjIMD9e3HEAXl5e9OvXz3y/Xq/nkUceYdKkScTHxxMREWH1+AkTJvDKK6+Yv09JSSl2aLkQZaNNSbONoijMmjWLlStXOuDceQmmnK1q8kEbzWPIUhMQhk7Aaiv9S8g7dgXk7FeTHYatef99bqNqJJn8gdGAHxiGq4kazxw1GaN/DngLx4zq0ZJKaeTXujqf9/U6MJ3JG6HkVzCRpCWY0vwKJpdM+oJT4XzT1e/P1INLIZBYp+B+lgmmgBS1vYCUopvfWfBbCJ6/AJ3yXhtHJZoKvwaWSaaSEk3WEkeWo+lKSzRZ/t4WV0tGO19qoVtL2pRJSTaJqkViJyFUjo2dhBCi+nHLJFNYWJjVJXMTEhIACA8Pt3pccHAwRqORoKAgPDw8CjxWp04dQB0WXlyg5O3tbfUqoBCOoX3Qtv3D65IlS7jrrrscUIA1ATXJsRlSgiAlQE20BF2F4EeA+SUcG4aahBgNnn0hbaua1PCDqlebaai6mUIhOAxIcmDbWn2rWPITSxYjwExn8qclaokggwI8S5HX/0w9+KkbxEeoP6vC0+W0TUssmfTq42l+ZFwKwWjIgoh4ta2gqxCcVHD6nXbrdxJ8Y1GLnN+GmmApT7IpFXUqoGWiKQHrI5q028Isk0iljWSyrBlW3DGWLJNMaYW+L1yHTJJMomqR2EkIleNiJyGEqJ7cMsnUunVrtmzZQkpKSoEClnv27DE/bo1er6d169b89ttvZGVlYTAYzI9ptQhq167tvI4LUaIE7Pngmp6ezmeffcZPP/1UzvOmotYCSstPRqQEQJ1ECLlkZ1trIKirmqxiUzn75UKh50vfp0xiUZMsx8hPMAGEgf470Pcp/r92ui/80QJiIyGrm/qzCj+nbpYKJ5q05FPeVDqjZaFwQxZ0+wlu+Svv4L5w6Q81wWTSq7d6ExjXUjAppo3msYfltEptKyHZlKMUfE6g9kWjN4Fe+8BbXOJJ+7oJ+Ykhy1USLffRElrWRllp59CmScpKfKLqkdhJCEfGTkIIUX3pFEVRSt+tatmzZw+33347s2bNYuzYsYBaWLJFixbUqlWL3bt3AxAfH096ejpNmzY1Hzt37lxGjx7NJ598wtChQwF1qHjz5s0xGo38+eefNvcjJSWFwMBAkpOTi6zWIoR9YvJutRXMSjd27FjuvvtuevXqVc5zx6J+mNY+iOfdF9cNGtZFTRZVt1EbWj0iR4sB3gbWqUkUz5tRpyAW9zOPgMQMtdh3um/+iKXCLJMvhZNMFgmmIivRZeV9WDRkqQnF1gfV5GIRL+TdaokWy2SLrQkXLaGkJdksk1baaK6rRZNjls9PWzGv8HO29nroPSz62xv191sbiVVSnxNQk67a6n7HUP8uoyg8bVDeA0RVIrGTEI6MnYQQZSHvAe7BLUcyRUdH079/fyZMmEBiYiKNGzdm6dKlxMXFsWjRIvN+AwcOZNu2bVjm2Z577jk+/fRTXnzxRWJjY4mIiGD58uWcOnWK77//3hVPR1R7a1GTPLZ/YN+9ezdJSUkOCpKsJDhMTSECoDVqUsCehEs0sMcB/XIlZ03ziwLWABHgGW/l8VhgDrAOss7nFSA3qFPaAlLyp9NByckWW5JMOZ75I5Y0xxvDudZqwqneDeT/HC2n66WiJuHOkV8/yZYaRdpIImsJtRXAVND7gR4gDcyDJbTRRmGoiSJt5buSkrELUac+lkUY0CdvQ+0XE8vYlhCVh8ROorpzbOwkhBDVl1smmQCWLVvGxIkTWb58OVeuXKFly5asXbuWTp06lXicj48PmzdvZty4cfz3v//l2rVrtG7dmnXr1tG9e/cK6r0Qa1FXE9OSS03IX7GtZNnZ2UyaNInly5eX4bzaaJJSkgIljRIplTMSTNtQXy9/4BGct9pZRYmn4HQ5y0LTndXNABgsp2qB+vsRTn7ipXAtIo1lTSPLaWlaLai86XqmfQVHDVmOHMq4pCa4PHNAfz/QBrUuUxvyEzCW5yuPR/M2RylrgskaSTAJ9yGxk6iuyhc7CSGEsOSW0+UqCxnuJ8rmKfKnx1mOzmiDLSuxTZo0iZtvvpnHH3/czvPGoCYYwm06T+WSAKYbQF8PNUEjykdLcO0nP/FkWXDbkjY1LpT8qWZVPcnnGPIeIIT95O9GuELZYychhCPJe4B7cNuRTEJUPS+AaUHeql868qfHadOISp8qd/DgQf755x+mTJlix3kTUEcCaaNmqmKCIKyco6tEQdrvnpZstCzArU2B01jWYaputbmEEEJUdWWLnYQQQhRHkkxCVBrzIWOpOv3IlJNXe8ay3kzJSabMzEzGjx9foHaGbVaQv2x8eZegd5U5kPNqXkHnBTh2OpTIT3QKIYQQ7qPssZMQQojiSJJJiMpEWx1L70F+Yklb9apkEyZMYPjw4dSrV8+OE8ai1jLSpuc5q6C1M8UCCeDZBVtqVgkhhBBCQFljJyGEECWRJJMQlcYcdRSTp4782jbh2DKKad++faSmpvLAAw/Ycb4E4EvI2aquImbMAL0jiytXlEjUJeijUJNMbVzbHSGEEEJUemWLnYQQQpRGkkxCVBoxhWoxRZJf9Lt46enpjB8/ns8//9yOc6WiTpNbC2l+6uphhizQl173qXKqiiOwhBBCCOEKZYudhBBC2EKSTEJUGlpNJG2KnJZgKrkWzvjx43n11VepW7euHedaB2yDnP2QFqoWGw+5qYz9FkIIIYSoOsoWOwkhhLCFJJmEqBQW5t1a1mBqQ2m1mA4fPkxKSgo9evSw41zb8rZ1kO6rbnoTsMf+bgshhBBCVCFli52EEELYSpJMQrictrqbP+q0ryaoCaaSp65lZWXxyiuv8L///c/Oc32q1mG6GgQpAXApBDq0AubkPb6cqrnCnCt1hbjj4JcGQVfzipA/QmVY5S4jI4MjR44QFxfHyZMniY+PJzk5GaPRSL169bjxxhupV68ejRs3Jjw83NXdFUIIIZymbLGTcH/j8m6fBSKtxE47SU6Ox2j0pV695tx44x0SOwlRAkkyCeFSMcCxvK+1UUylJ5gA5syZw5AhQ+wY6h2DeRRTui9kGNUtywCmBWptpoDnkQSTvSLghCekBUDDOPW1TdsHhp3gmwaMrvAemUwmdu3axRdffMGJEydo06YNDRs2pHXr1jzwwAMEBQWRnp7OmTNniIuLY/fu3SxdupSEhAQeeOABunfvTsOGDSu830IIIYQz2R87Cfc3FZiIyVQjL3b6IC92CqBhw1O0bh3BAw90JyjoIYvYaRe7d29k6dKzJCR4WomdtpFfAkOI6kenKIri6k64q5SUFAIDA0lOTiYgIMDV3RGVTgLqyKGEvO/DUFdJKz3Jc+7cOYYMGcL69evR6XQ2nCsV+FTdMv5RRzClBKiJJVBH3zSsAfxp97PIFwP0RX0+Yaijsh4B+pSjzcpuIZieU0eDpQSo0w4bnVAfOh8KvukQ0B5YTWm1tRzl559/Zvbs2URFRTFgwABuvfVWm49NSUlh06ZNrFq1Cl9fX4YNG0bbtm2d2Fv3Ju8BQthP/m6Es9gfOwn3loqaDOpTKHby59ZbM8lfubhkauy0jFWrtuDrW6tQ7LQWWy8eC5W8B7gHSTI5kfyRiOJpq7udz/veD/UN6FGbjn788cd56623aNq0qY3nWwt8Cab/5SeXtFvfdGh6FDz3YsubqXVTIWcKHG+cv1JdQAqEXALPoaijearKCKmnUKcM2iIVmAsshPRL6uuZYVRfg6Cr6vcR/sBunJ1kunz5MqNHjyY8PJxRo0YRGhparvb+/PNPPvjgA3Q6Ha+99pqMbCoDeQ8Qwn7ydyOcxf7YSbi7orGTQnkSQsXHTiuwNcav7uQ9wD3IdDkhKlxxCSbbkjC//vorAQEBdgRJscABIEadGqdtOZ7qFhEPnrMoe4JpDmRMg/hG8EcLdbqYXxrUO6OO7KnzS17bYVTUaJ7ymYhaiN2Wekr+eft3At8V4LsO0pLUBN65cGjxh1N7qvnhhx+YOXMmM2bMoH379g5ps3nz5ixYsIADBw4wYsQI+vbty5AhQ+TqrxBCiCrH/thJuDvrsVNe7VK2ocZ4nVBHND2KLTFs8bHTo3ltpuLeI/yFUMlIJieSTKwoKgF1VJG1BFMTSnsDy83NpUePHnz99dcEBQXZeM4V6mb6Th1Zo23pvhCcBBGdsX3kTmGxwINw/jLER8Cmruo0sYZx0GctRPYFZlo5bmre7SNUnRFOttiGOUBJ8ofgVCDbaWdTFIX33nuPY8eOMXfuXHx9fZ1yntzcXKZPn05sbCzz5s2jZs2aTjmPu6mI94CMjAyysrJs3t9gMGA0Gp3SFyEcQWIn4Whli52Eu7IeO8VATnv1AmG6L3jmqCPSQ0zAMkpPDMXmbdoiPsXFTrGoSSxrsbEAiZ3chYxkEqLCaIW301CvZPiTn2SybZTP8uXL6du3rx1BUgzm4uLa6CWTXh1hFHpeHXFU5gSTJgrq/E+ddhcRD4dbQrefgNnFH3L1ffU2aBuwqZzndyQv8pNCEUC8ncd3ztt6Q/Cn5NfbcjxFUXjttdcICAhgwYIFTh1h5OHhwZtvvsmePXt4+OGH+eijj7jlllucdj5hm4yMDHx8fOw6JjQ0lJMnT0qwJISoNuyPnYS7KjZ2OtcHzkSpF2GNGWqMHFIX22uVRqLG+MNR4/x/4+ExtJjY6VmgK5Ur/q0+JHaqGHpXd0CI6mEt6ptPAvkJplDyE0ylz/++ePEin332GUOH2jKNi7zz7Md8dcWU9+fumQPh5/KSTA3sfB6FRQLL1aRVQIr65vxLJ/hoBJxoVPxhf92iXi3Ku9rjejFANAUTbvYmmCz1AdbgrCtViqIwfvx4QkNDeeONNypsClt0dDRffPEFI0eOJCYmpkLOWT7bUH+27in/KpyHzdv58+ftunonhBBVmf2xk3BXxcdOT0FcQ7gapMbIjU5Ao1+xfzGcocAaiPeDE9PV9phjJXaKRE00LXTMExN2kdipYkiSSYgKcYz8US2WI5gisXW62Pvvv8+bb76JwWCw8Zwx+ec15ap3GbIgNEsddQSUfxRTIYl1oMNOiIpRrwQxzvp+B1vDLfNR6xm5WiwwB/XKk6OLMjoniTZz5kyCgoIYPXq0U9ovSd26dfnqq68YP348R44cqfDz224bahH3vnnbCge2HevAthzBE3UUXmmbDF4WQlQv9sdOwl1Zj52mwqUN6oXYkEvQaZd6EbbMxb+j1HauBql1Sg8uhQyjldjpUUgfiRp/CteQ2MmZJMkkRIVIy7u1TDCFY+ub2MGDBzl16hSdO9uTtIhFTWylgd5DTfr4dQWSLPYpa7HvYkTGQvu9apIpvD3qlRorBi6j8oxiSkB9Hf7t6o7Y5LvvviMuLo4JEya4rA81a9bks88+48UXXyQlJcVl/ShZZ7iUDuezIG0TapIp1UFtf+mgdhzF045NCCGqh7LFTsIdWY+dtqkrI2cY1XIPUU/hkDqavunQ+qD6dVxD2NER4iOoWfNkwdjJMwdSJlH5LlxVFxI7OZMU/nYiKV4pVDHAuryvtSlyto9gUhSFBx54gP/85z+Eh4fbeE5tdI42eqo3tq2WVhEsah2l+alT5wxZUCcRwjOA1VSeBFTlkpCQwMCBA1m3bl2luCq7detWvvjiCxYsWODqrhTvu/vh/u9ccmpnvwdo7UMgYMuUSQVIlvckUalJ7CQcoWyxk7uIhUsd1LIEnjnqIi+hl4GPKTkWXAg5L0BsJBxtqiZIeq2HpkcrpttOYj12WgvMRR31HIn9U+NsFQHrW0BSsFpIvOVhtp4YzBdfXFBjpxxPdUXi4NFUjtH9riexk3uQ1JwQTqddofBDHclk+wgmgM8++4wOHTrYESQloCa20vLO0xnHTwMrD4s3cr80aOGrrkiX4wlpWeA3h5KSTLm5uezdu5e//vqLU6dOce7cOTIyMggMDKR+/fo0atSIjh07ul1QqSgKL730EnPnzq0UCSaALl26sHbtWn744Qd69Ojh6u5YSAXmQvxCaOwHNMd5AWRl4IFtA5NNzu6IEEJUCvbHTm7iRCNY2Q/iJ6vJpaZHofVBcmtfYu/eZP76axqnTu3i3Jn1ZFzzINBfT/36Co2a5dKxYwfCwwPUke8pAbCvLT9/NIJ7XhkBIz5y9TOzkxp7K0oTK7FTKmqs3AQ13nRmciceuhrU1ZfTfSE+gi5tZ7N2bZe82Okz8ByGWp9JkkwVS2InZ5IkkxBOpU1ZA3tXkgNITExk+fLlrF+/3sbzacW+j+WdL4rKlWCCIs/d9x9o1Bwy0tURTYSRXxw937Vr11i6dCnffPMNHTt2pFWrVtx2222Eh4fj4+PD1atXOX36NMePH2fs2LEYDAbefPNNGjduXFFPzKlWrlxJVFQUzZs3d3VXCpg8eTJ9+/alW7du6PWVaQa2H9Q7k/f1/Vj7nXIfnkigJIQQKvtjJzfy1tvsiYnipjqJhHTZyrW6/7B04zG+eakGHf81nlYNA7itvZHwxwLw8dFxNdGL03/7cvx3D8Z+egSDXw5vvn6Zxh12Qrovq794nH9em8Gwen2h7xpXPzsbxaDGwpGsXHnISuyUgBpr9kZdqMXJDFnQPkStR5rjCYl1mDz5H/r2fY9u3X5CH6CtSCcqlsROziRJJiGcShtRpI1i8seeUUwTJkxgypQpeHra+qe6DTiAmtyKpPJMkStJGJAERm2Vjc4UTgbs37+fsWPHMnToUH788Uerr0dgYCANGjSgY8eODB48mCNHjjBu3Di6devG888/7/Rn4Uw5OTksWLCAb7/91tVdKcLPz4+7776bjRs30r17d1d3J48/MBr0vfO+t21qatVlRAIlIYRQ2R87uYto9sQsJivHk5DIWPYHbGbsm4cY+rg/P866Ac/0QDXhEZwEoWqNwsAAHQ2CPel4YyiDm7fjyIkMxr28m253X+P5+/cx/LYYNv7RAlY9BH3H4axVcx1rP3CenJxGxcRO/kAbyl7cuwxCLkHTeurIfZMeP9+/ufvuVnmx0zLUqXvufDGsMpLYyZmq239fISpQDNZHMdnmq6++IjQ0lH/96182HqElmPbnnbeYotuVlvWE2M6dO5k2bRqrVq2iZs2aVvZ4gfyRW50BddWQZs2asXLlSsaPH8/8+fN54YUXnNRv5/vuu+/o3bs3NWrUcHVXrHr66acZOXJkJUoyadw9uaSRq3FCCAFliZ3cSNwFGoZcom5EPDvrrmLaN4dZNT2Smun1YGcjdcUz33R19bTWB9V6TXlTuDjaFI42pdmlEFa26sr4g//H/OxEXnjmvzRa20fd99xnEF4VkkwAfnz33ZFiYqcKTC5Zqvcf8MyLzfUePP30WUaOXET37l+hxu2SYKpYEjs5kySZhHAaLdkD6rxv20cxXblyhU8//ZR169aVvjOgJrR+QU00aTWgqn7x7MTERCZOnMiaNWvw97f25rsC0parK4N45oDvejDEoBa39Eev1zNz5kweeughevbsScOGDSv2CTjI119/zdy5c13djWKFhYWRk5NDeno6vr6+ru5ONeSRt5Um19kdEUIIl7E/dnIzOZ7UjYohMfwAE7/czZppt+B/oims78UXW7uQcDWIFvXO0C0qRt3fL01NPP3Rgr82dWXOgSh+AG4Clt5+G6M2PUbPd3+i4UNZ6kItWZWjHmTpIoFUvv76U+bOfZv80f2u1gdCb4es9UAUYWEtyMnZnxc7VYWZB+5GYidnqkwFNIRwI9uA83lfa8kl2xJMWoHnqVOn4uXlZcMRsair121Tt5xz5Ce3qrZ///vfvPPOO8UkmGKAp9TVT7TtTD1IWw0MN++l0+l49913Cy1bW3WkpqaSkZFB3bp1Xd2VErVo0YIjR464uhvVlCzDK4So3uyPndxQyCVov5d/H9jIO0Ma4n/mFrJX9mPAN/14/HIGY3L/4aVTDZm3to+6glxcQzjemEt72/PvA1F8yjbO0INtPE233Xfwbt2RTPj4DNy9GdruU1cBrhIiSU0NJyMjl7p1r7i6M4WsAcMQ1FpQj9KihafETi4jsZMzSZJJCKeIAc6hzq8Ge0YxffLJJzRv3pzo6Ggb9k7FnFwybYZ0L3VUT45Slk4XsoL8UVEV7/r16/z1118lDHkfB+dqw/HGarB0vHGhRNM4857NmjVDURSuXKlswUbp9u7dyx133OHqbpSqZcuWHDp0yNXdqKYkUBJCVG/2xU5uKqgL1xsf5q8zKfyrjhobfRcThTqu6zPgU2I5xLFsA4Sfg8bHISKekIAU1PTRWuBHYB+xXCbn3D0oGd5cqRUHLQ+D7whXPTM7hbF37xXuuON28/eVy3zUkhadJXZyKYmdnEmSTEI43FryRxL5k1+PqXRnz57l22+/5bXXXrPxXNswT5NL983fTI74006ASx0c0E7ZrF+/nvvvv7+YR+fA1X1qQuloU/jrFvX2eGO1tsC5cMj4AJhqPuLOO+9k+/btFdJ3Rzp27BhNmzZ1dTdK1a5dO3777TdXd6OakkBJCFF92R87uas1rP8rjvs7B6qxIBDkm04TANoBLahHKybcsQOe+S/0+hc8uxRe/oBP79gBvAMMBp6nHbWoF5zEnTfXZvv2mhA0jKpR9Fulxk5NsGdF54qlfi5o126IxE4uI7GTM0mSSQiHO4CaZNKWI7UtyWQymRgxYgTvv/8+Op3OhvMk5J0rBjI81BFMWpIpxxH/EEerQ6+zDMBTDmjPPocOHSrmimQsmMaoiaS4hnC4JRn72qpLw8ZGwolG+SOasqYCcwDo0aMHGzZsqLgn4CBnz57lhhtucHU3ShUREcHZs2dd3Y1qygPbgiRbag8IIUTVYX/s5N4OHU8numkgBKRA+DnuaXmYZ70z6Mw93Msgfv3XTm54+y21jiUTgWzoOoobZo7jzB2/8S8W05kXGV/3PDVbH6RHRz82fH8FbVGVqkKNncKpfKOYCoqIaCuxk8tI7ORMkpoTwqGmohb8hvw6TLYVG3z//ffp0aMHzZo1s2HvBOBTYAVkHYN0v4IjmTxzwFH1lw1ZDmrIPpcuXaJ27dqF7o2BnPbwVws43BL2tufhLx7nB6AT8Gzd8zzcYSdExahFKjOM0OgN8IVGjUZx+vRpFzyT8rl69Woxq+pVLjqdDkVxxDRNYT+50iaEqJ7si53c36UkX2o3TIPww9AwDuqdYURUDCMS66ix0TNrgKRCR/WBDn24YcdT7PzqA/WiXb0z0PIwjZqd5PQiPZU9WVOYGjvVo3KOYsonsZMrSezkTPLKCuEwMRSsYWT7VLlTp06xfft2vv32WxvPFYu55pNJD3oTGDPUpWlDplM5VtEon6ysLLy9vQvdazEtMM0PUgL4AUhHxw8cJerCzdx9NYia6b7qCKwcz7ypg2nodDr0ekcN3lwIVMxKIDk5OXh6OvtfdQJVLYAUliRQEkJUP/bHTu4vKysQ76AMCMpRLzjWSYSUAHVkU9OjwKYSjl4OjdpCUrA6kj3oKroa19Hrq14SpGJiJ1G1SezkTPLKCuEw21ALcaeRPwe89FXlTCYTI0eOZNasWXYM9Y7FPCXPM0ddhtazDbCnzL2vbLy8vMjOzrb+oGeOOsLKN537gRUoNANu8k+hpl+ammzzTVcTb4YsyKtI4LirRRW31KyPjw8ZGRlOPkv5E0wmk8kB/RBlY8S2t/McZ3dECCEqRNliJ/fn5VWbbP0JMJjUWMkzR70QadKro7vZD0QVc/RaNSFleaEOUKh6SaaKiZ3KT2InV5LYyZmkJpMQDpGKmvhJQx295AeEAuGUNlR30aJFdO3alZtvvtmO853Puw1Tk0uen+FOCSaAwMBAK6vBRakJtYAU9epcwzgm3hbDl7UTeb9+PI/cvhsiY9Vh3nUSITgJDJ2AR8nNza2SgWitWrW4dOmSq7tRqnPnzhEWJqOhXEPqCgghqpeyxU7uLzDwFq6k5ahJpRxPddR3Yh11YZR9bSHxjeIPTnwGdnaAP1qotS0T65Cb6kMVDJ0kdhI2kNjJmdw2yZSZmcn48eMJDw/Hx8eH6OhoNm7caHc79957LzqdjhEjqsqyncI1VpC/ohzYOoopKSmJFStW8Nxzz9lxrtS8zR+1EtF84FG7elsVNGrUiNjY2EL3dgb9c+rSuw3j4Ja/uOXuzQzos5aevdZTo+MOdZndyFiIiIc6RrTVUA4ePEjz5s0r+mmUW3h4eJUoCnnw4EFat27t6m5UU7JCinAMiZ1EVVC22Kl6aNTodmJPZKujltL81EVS/rqFgzs68vdXA+Dzx80rzxWQYYQlg9m6ZDAbNnWFmCiIa8jB3UaqYOgksZOwgcROzuS2SabBgwcze/ZsnnjiCebNm4eHhwe9evVix44dNrexatUqdu3a5cReCvcRQ/5qclpyqfRVLcaPH8+0adPw8vKy41xaMisSdbWP4oY9V23du3fnu+++s/LIfPB7ChqdUOsLtN8LHXeAVvC7xR9qkim8PmrtAfX12bhxI927d6/Ip+AQN910E8ePH3d1N0p15MiRKpnEcw8SKAnHkNhJVAVli52qh+7dH+C7H/RqIulqEMRH8PvB1vzn95a8tL8tX737OvznefJriCao+300gk/efouX/mnMB6cj2LC3PZxoxMafTXTv7UPBC6mVn8ROonQSOzmTWyaZ9u7dy4oVK5g+fTqzZs1i2LBhbN68mQYNGjBu3Dib2sjIyGDMmDGMHz/eyb0VVZ/lKCY/bB3FdPjwYQBuv/12O86lTcvTRjFV/QLfxWnQoAGXLl0iMzPTyqPzwXceNE6C1gfV5FJUjDqK6Za/IKQ/6vTB/Ndn165dtG/fvoJ67zi33XYbu3fvdnU3SnXixAkaNWrk6m5UUxIoifKT2ElUBWWLnaqPBg0yuXQplMzrOnUk0/lQth1tyjpgI//w0sU6fDXjNdg5GE40gt0Pwgcv8817Yxl/zY8/2M0PwI7TEZBYh12HrtO+fVvUFY2rDomdROkkdnImt0wyrVy5Eg8PD4YNG2a+z2g0MmTIEHbt2mXTMuYzZ87EZDIxduxYZ3ZVuIVt5I9i0hJMkZSUZMrNzWXcuHFMnDjRznMdQ01ohQKd7e9qFfPwww/z6afFBTZDgT8h8pKaWGp6FCLCwLgSdQphvt27dxMeHo6vr5Uh4pWcv78/er2elJQUV3elRPHx8dxwww2u7kY1JXUFRPlJ7CQqu7LHTtXJOB5+OIpPv72kFvwGLmca8y6FJpDINX64WAdWPQQr+8Gqh7iwqSu/XAglFVCLHF8jC9h9OZbweiZ8fQ9BxjTUi6pVg8ROonQSOzmTWyaZDhw4QGRkJAEBAQXu10YxHDx4sMTj4+PjmTFjBv/+97/x8fFxVjdFhVroxLaPoY4w8iN/BFPJo5jWrl1Lly5diIiIsOM8CaijmM4DbcrW1QqVWu4WBg0axJo1a7h8+XIxe4QBf4JhN3j+hTp6qU+BPbKzs3nrrbeYOnVqufvjKl27duXnn392dTeKFR8fT3BwMHq9W76lVAFyNU6Un8ROorIrW+xUzVzdyqBBW1mz8RqX9YkQEU/UDWdoBfjTkXbUoIVHTn7NphxPgv3SiAq8Sg+gHh3pTA26NzrCW0dWMPVNA5zxVQuHM9zFT84+EjuJkkns5Exu+aolJCRYrdSv3Xfu3LkSjx8zZgy33XYbjz5qXzHlzMzMAlN7Knv2vHpJA14AelM4CVE+L6Amf7TRS1F55yh+Rbnr168zb968YuoNFScWdcSUVvupKlzFK3lVPVvo9XpmzZrFwIED+frrr4sZiVR8Ui83N5cXX3yRYcOGERISUu7+uEq/fv0YNmwYDz74oKu7YtV3333HgAEDXN2NaszWIEiWShbFk9hJVGZli52qoV86oU/3ZdYzhxk49Thfz1jLfY1OcN/ODnCmHhgz1IVRbt+trtabZcCr7T4G3b2ZQYl1IMeT3HpxvLB5JcPu0RNyqCscbqmu2Bv6OVShUKryxU5TUUeDjQKGSuzkchI7OZNbpk6vX7+Ot7d3kfuNRqP58eJs2bKFb775hrlz59p93unTpxMYGGje6tevb3cbwhlSUZMQj+L4BNO6vK+1REckpSVX5s+fz9ChQ/Hz87PjXLGoI6a06XLVR+vWrRk7diwPP/ww8fHxNh938uRJ+vXrR8eOHenXr58Te+h8tWvXJiQkhKNHj7q6K1b9+OOPdOnSxdXdqMaMdmxCWCexk6jMyhY7VUNXg+BwS1pfuZuxfW7m4TGniPc9Cl22QtdN6iIpLQ+rq/TWSVRvG51Q7+uwk5O3rKXfd8vp2DmXfvcGwY6OcLSpWkg8pGp92C4+dooF1lZwb7ZB2r8h4x/UGQkSO7mexE7O5JYjmXx8fKwWC87IyDA/bk1OTg4vv/wyTz31FO3atbP7vBMmTOCVV14xf5+SkiLBUqXgj5pgcqSuYNqsfqlvR/5UuSYlHnXhwgU2btzI+vXr7TiXlmCKRU0wOTLAWotjE2/OcddddxEeHs7IkSNp3LgxjzzyCFFRUUWGGGdnZ7N7926+/fZb/vnnH6ZPn07Tpk1d1GvHGjNmDDNmzGDJkiWu7koBe/fupXHjxvj7l3/kmigrra5AaXKd3RFRhUnsJCqrssVO1VTQVbgUAmfqcdctfoQP9Gfk7EM0bnCOR/5Vn6h6oegNuWDIUjeTnuxshd2nk/j2lyv8c+Ea06d707S2P/zRmJS/biHAN11NRJHk6mdnN+uxUyzqReIvgeUV05HE/pBWB4KTwCixU+UgsZMzuWWSKSwsjLNnzxa5PyFBHQESHh5u9bhly5bx999/s2DBAuLi4go8lpqaSlxcHHXq1Cm2eLC3t7fVq4DCHWgruvlBTk3IMoDeAJ45oNdGMJU+imnixIlMmzYNnU5n57ktE00l13uyXQwwFzXJpBVzdHQyznFuvvlmVq9eze7du/nmm2+YOHEiPj4+1KxZE5PJRFJSEjk5Odx+++08+uijtGnTxs7XuXJr2rQpBoOBvXv3VqpV8j766CPeeOMNV3ejmrN1yLcESqJ4EjuJyqpssVM11XEHxESpU9yONuXmW0ysHuvH7pQ/+WbHcSZ+fhQfL09qBnhgwkRSSg45Si63/0vh0RezadMGdBeC4GgEHG9MQNBVaLsP+q5x8RMrG+uxUxrwC2QdA8MKINu5nUisA/ER6lTFkEtAE4mdKgWJnZzJLZNMrVu3ZsuWLaSkpBQoYLlnzx7z49bEx8eTnZ3NHXfcUeSxZcuWsWzZMlavXk3fvn2d0W1RqUUCc+DcLPAMVpNLxoy8x7QRTFElthATE0NGRgZRUSXvV1ChaXI5Cng6arrcOdQ6T0DWQDBUhTpP6rLF2tLFmZmZJCcno9frCQoKwtPTLf+lmb377rs89thj/PDDD3h4uH61ix07dhAUFMTNN9/s6q5UcxIoifKT2ElURmWLnaqx4CQYcIs6SimxjlrgOymY2xuHcXunbAhOIlN3neTkuuj1dQkKaoanpzbiexuk71QLgmcZICAF+q2E+79T26uiisZOkUACpASo0wCD/dT6VM5wKQSO3gImPfimg18aO3YYLWKnVBxRw1SUhcROzuSWn8j69evHe++9xyeffGJeRjczM5PFixcTHR1tHoYdHx9Penq6eTrNo48+ajWIevDBB+nVqxdDhw4lOjq6wp6HqGzS1OVgTRZTtDx15I9iKp6iKLz55pssW7bMznNqU+RSwXQVcgxAjoP+csNR+z0ODH5AJ0c0WqG8vb2pU6eOq7tRYUJCQnjqqad45513mDRpkkv7kpGRwZQpU/jss89c2g8BEigJR5DYSVQ2ZY+dqrlb/oJ6AbCvrZpECbqq1l8KTgJjf7wZTZ061pJ2t4GvH0T8oCZdmh6Fhj+RP9q9aioaO0Wpm+mwWsMqsQ60dcKJL4XAHy3Un0FACgQnkZH1JlOm/J9F7PQpMNoJJxelk9jJmdyy8Hd0dDT9+/dnwoQJjBs3jk8++YS7776buLg4Zs6cad5v4MCBNGvWzPx906ZN6du3b5EN4MYbb6Rv375WV14R1YgxQx3FpG1Eoo5iKjnJtGzZMu688047VzhLsNjS1OSWSa9elXKIKNS56DNR59l3dlC7wpkGDhxIQkICq1evdlkfFEXhpZdeYvTo0dStW9dl/RAara5AaZv9o98yMzMZP3484eHh+Pj4EB0dzcaNG2069uzZswwYMICgoCACAgJ44IEHOHHihNV9Fy1aRLNmzTAajTRp0oQPP/yw3G1euHCB5557jhtuuAGj0UjDhg0ZMmSIbU+8GpLYSVQ2ZYudBKAmNe7eDH1ugY5GaNQPjDtR477iRoX1AdaosW74OWgYR2nxbVVRNHb6OH/00tUgiI3EPLrfERLrqAXTM4zqCKbQ8yh1I3jppQSL2Okpx55T2Ml5sZNw05FMoL4xTZw4keXLl3PlyhVatmzJ2rVr6dSp6o3WEJWIMQNyPNUEk8EPNcHUpsRDEhIS+Pzzz8tQsDIvuaTx1AFZ6vkdNrxWhp9XRR988AEDBgygdu3adOzYscLP//bbb9OsWTN69epV4ecW1th6Nc7+t/zBgwezcuVKRo0aRZMmTViyZAm9evViy5YtJf7upaWlcdddd5GcnMzrr7+Ol5cXc+bMoXPnzhw8eJBatWqZ912wYAHPP/88Dz/8MK+88grbt2/n5ZdfJj09nfHjx5epzdOnT5unbz3//PPccMMNnDt3jr1799r9GlQnEjuJyqLssZMoaGbpu1QTRWIn32kQMktNMh1tCmmjISoY2FS+E2k1mEz6/FFkjXx4e0pvmjXzz4+dcr4Azy7lO5coB+fFTgJ0iqIoru6Eu0pJSSEwMJDk5OQC9Q1EVbUQGJ5XF0mHmqDpA5Rcy+iZZ57h5ZdfLraehXWpqIW5Y8ifMgfqaCMZVivUD9wDBgxgwoQJ3HnnnRVyTkVRmDRpEjqdjilTplTIOasyZ78HaO3DZGxbYjcDmGxzf/bu3Ut0dDSzZs0yT5/KyMigRYsW1KlTh507dxZ77MyZMxk/fjx79+41rzh29OhRWrRowbhx43j33XcBuH79OvXr1+f2229n7dr8JaWffPJJ1qxZw+nTp6lZs6ZdbQL06tWLo0eP8ttvvxVIPonKT2InAWWNnYQoWdHYaRzEr4CDrdULyHUSocUfFnVX7fUCnPhRHcFkyILgJJSaA5g0qU6h2GkOpL8BvvOAoQ55bu6iqsdOQuWW0+WEcA5/IAo8w1GTPZ2B20o8YuXKldSqVasMQZI2gilMPSfPAmuQBJPQ+Pn58dVXXzF79my+/vprp5/v+vXrPP3009SuXVsSTJWOLcO9bb1il2/lypV4eHgwbNgw831Go5EhQ4awa9cuTp8+XeKx7dq1K7CkfdOmTbnnnnv46quvzPdt2bKFy5cvM3z48ALHv/jii1y7do1169bZ3ebRo0fZsGEDr776KrVq1SIjI4PsbCevHiSEcJiyx05ClKxo7DQTIiaqUwODrqqjj+Ij1DpKLLSz9XHAl+oKco1OQONaXPdZy9NPZxSKndZC1njwNSIJJldyTuwkVJJkEsJmWrJnosXWp9i9ExMTWbBgAdOmTbPzPKkW53sU9Q2o+PMIZ0stfRcX0YKlHTt2MH78eNLT051yni1bttCnTx+eeOIJXnrppWL2SkWtLbAib5M6AxXHG/VqXGmbfcvEHzhwgMjIyCJX7rRloA8ePGj1OJPJxOHDh2nbtmgl1fbt2/PPP/+QmppqPgdQZN82bdqg1+vNj9vT5qZN6lSHunXrcs899+Dj44OPjw89e/YkLi7OxmcvhHCFssdOQthiG35+WwvFTk9Ay8PQ4SNoPxQi+4LvCNSLy/as6JxX4zQgBYwZbNkygz59JlrETrFAc8joBwZF3Ve4kHNiJ6GSJJMQNoskfwRTZ0qqiaQoCmPHjuXdd9/FYDDYcY5U1FFMfiW2LyqSP2pgUDmTTV5eXsybN48OHTrQp08fVq5cSW6uY1bC2L9/P48//jgbNmzg66+/5t577y1hb8tC9ZZTPYXz2Xc1LiUlpcCWmZlptdWEhASrBZu1+86dO2f1uKSkJDIzM206NiEhAQ8PjyKrRBoMBmrVqmXez542jx07BsCwYcMwGAx8+eWXzJgxgx07dtC1a1enJWOFEOVT9thJCFt1BhLw8nqDefNeKxQ7NUK9qDszb3sUdUaBfazHTjGoI51SwdgfkNG1ricjmZxJXjUh7GLbKhtLliwhMjKywLQO20iCqXKq/KurPPDAA3Tr1o3/+7//o1u3bgwYMID77ruP8PBwu9q5cOECP/74I6tWraJhw4a89dZb5qXKSxaG+rurSUAdzVT5X7uqz77ildpS9JpJkyYxefLkIntfv34db++iV/CMRqP5cWu0+2059vr168V+mDQajQX2s7XNtDR1unFoaCjr1q1Dr1evp9WrV4/HHnuMzz//nGeffdbqOYUQrlP22EkIewxFnQr3Ag880IZu3dblxU4P58VOIYSH34g9i+OUHDvFAOtQE1zzKUviSjiDFP52JnnVhHCw06dP8/XXX/P999/beaQ2CkRWfBNl4+Pjw9ixYxk+fDjr1q1j9OjR5Obm0rJlSxo0aECDBg2IiIggODiY3NxcLl68SHx8PKdPnyY+Pp7Dhw9jMBi47777+PTTT+1cNtqf/BF+2u9yGmpwJb/TzmVfoHT69OkCU+CsJW5A/X2yNsopIyPD/HhxxwE2Hevj40NWVpbVdjIyMgrsZ0+bAAMGDDAnmAD69+/PU089xc6dOyXJJEQlU/bYSYiy0EpRzMHHZxhjxy63iJ2+soidvGnQILocsVMq6krUJS8SJFxBkkzOJK+aEA6kKAqjR49m5syZeHh42HFkbN5m36gTIazx9fWlf//+9O/fn9TUVI4cOcKpU6fYt28fq1evJikpCb1eT+3atYmIiKB+/fq0atWKcePGUaNGjXKcWUs0aeypZSDKzgPb3s7V/0kBAQE2rZASFhbG2bNni9yfkKD+XIsbJRccHIy3t7d5v5KODQsLIzc3l8TExAJT5rKysrh8+bJ5P3va1G7r1q1bYD8PDw9q1arFlStXSnjWQoiKVvbYSYjyCEOdFpcKrMDXt7MTYieZmVB52Rc7CftIkkkIB/q///s/7rzzTlq0aGHHUbGooz0SkCSTcDR/f3/at29vLtZcsWRIeMVwztW41q1bs2XLFlJSUgokpfbs2WN+3Bq9Xs+tt97Kvn37ijy2Z88eGjVqhL+/f4E29u3bR69evcz77du3D5PJZH7cnjbbtGkDUCRBlpWVxaVLl6hdu7YNz14IUVHKFjsJ4Sj+qPWXLO5xaewkKoaMZHImKfwthINcvHiR9evXl7D6ljVagukYUiRZVA8yusnxnFO8sl+/fuTm5vLJJ5+Y78vMzGTx4sVER0ebazvFx8dz9OjRIsf+9ttvBZJCf//9N5s3b6Z///7m++6++26Cg4OZP39+gePnz5+Pr68vvXv3trvNLl26UKdOHf73v/+Zp9KBWu8lNze3lAL2QoiKVLbYSQghyksKfzuTvGpCOMjLL7/M1KlTC9QAKVnhVbjSkJEfwr2lUvLveCrq34M/ahHxMGSouS2cczUuOjqa/v37M2HCBBITE2ncuDFLly4lLi6ORYsWmfcbOHAg27ZtQ1EU833Dhw9n4cKF9O7dm7Fjx+Ll5cXs2bOpW7cuY8aMMe/n4+PD1KlTefHFF+nfvz/du3dn+/btfPbZZ0ybNo3g4GC72/T29mbWrFkMGjSITp068dRTTxEfH8+8efO48847eeihh+x6HYQQzmN/7CSEEI4gI5mcSV41IRzghx9+4MYbbzRP07BNLPkjmLQiyZJkEu6stITROuApMOWC3gN1ZbqovK0zUkC8ON6A0Yb9cu1uedmyZUycOJHly5dz5coVWrZsydq1a+nUqVOJx/n7+7N161ZGjx7NO++8g8lkokuXLsyZM6fIdLXhw4fj5eXF+++/z3fffUf9+vWZM2cOI0eOLHObAwcOxGAwMGPGDF599VWCgoJ47rnnePfdd6XmixCVRNliJyGEcATnxU4CdIrlpUfhUCkpKQQGBpKcnGxTkdXKKxX3G00wBxjtkJZycnLo0aMHq1evNtcEKV0M6vLu2iimY3n3JzmkT0JUWZdC4GqQ+rVvOvilqZv+ftREU2/U5FNFSCU/EZyKmghOK/Q4FBx5lV/XwdnvAVr78C1gS8H2a8ADbvCeJNyZ+8ROoiRli52EEO5OYif3ICOZKpyWWNA+kISjLm1p7Q1WWwb8HOoHm9RCj/tT/HSSVIv9tQ9Ffnn7ljQVxfKcCcB5i3bC8vrap+SnWKQ9baSO1kZUMX0uTSzwKdDJzj5YYRoD+nGoH1i1ERKRlGUk0YcffsiTTz5pR5Ck/Q5YJpgK/2yFqG7WAlMh3RcyjJBlAL0JwusDe8rZtpYsCsP2v/FtwAryi/Jb/h/N+3+cpcvvb3ASGLLK2c+ykiHfQoiqxf7YSQghHEliJ2eSV61CbSM/2ZJG/geXc+QnfzRakijN4ntL/oX2K+lN2s9Ku/4WffG3aF87p+W5/S2+P4b6YTAc9cOalrgqTPtQp53Psg8JpfTXGq1+EXntJlD2qWUvgD6oUJ+w8n3prl27xtq1a9m4caONR2gJtwTyX1PtvPafX1Qnln+Txf3dVWWdgWMQ/ioEXVUTNyY9XPoHjH7gZwAmUrYRiP6o/39tSZKnkv+/UUtAayOYLBP+CWDI20wpal/xArLL0L/ykkBJCFF12B87CSGEo0ns5EzyqlUYy5XDLD8gFk4AFVZaAsK/0G3hx2wZIZNa6LbwuQv3Q/uwpSWrtMcsE1/F9bGsH44tR0IB7Kfso5nSUD84hqGOXmqSd2t/v2bMmMHYsWNtLFipfXjVNi3BpI0qe8vu84vqIpb84vBQcFSiPaNzKjN/YDR4+kFAjLqRABgAX/ITRQmof7NDbWxXS+j2xra/ce11tTYlzzI5nJr/vf486LX/eyvsOJejSKAkhKg67IudhBDCGSR2ciZ51SqMP/kfEMMK3W9JS9ikWXnM2n7W2rBk7cNncYknyyRRmMV+ljVILJNE1hJMFHrc8vzW9rWVZTJGa6Mso5liyJ8Wp03dK9v0vcuXL7N7927efvttG4+wTDBp/FE/ML+FeyQKhONpU05/oWANIMgfTahNvXWHhJOWPNKSOIWnCmv/d2ytFefI16Syvr4e2PZ2LgWvhRCuZX/sJIQQziCxkzNJkqnCaB8G0wrdX5YpUuW9Ql7chyTtCn2Yxfclnd/WRJcjaH2yHMlR1ullt+XdRlKeAsL//ve/eeutt9DpdDbsbTmKyXIKoVYouDJ+cBWVg/a7EUPRQtMJebfh5Ncc0pKmVf13qvAUYlE8uRonhKga7IudhBDCWSR2ciYZp1qhLKe2aJt/GbaK6l9kMZu1vjubNn1FG3lUtult6qihNqhT7cqeYDp9+jR//PEHHTt2tPEILbmksZyS07nM/RDVRRsKFqfXEqxaYlgr1H8MdUrZfgquXiiF5d2bpx2bEEJUpLVo70H2x05CCOEszoudMjMzGT9+POHh4fj4+BAdHW1zDbqzZ88yYMAAgoKCCAgI4IEHHuDEiRNW9120aBHNmjXDaDTSpEkTPvzwwyL7/P3334wePZoOHTpgNBrR6XTExcVZba9hw4bodLoi2/PPP2/zc9dIxCmqGcckxaZNm8aMGTPsvBJnWUhdu40qd19EdRBG8asqavWatKllWoF+KFr/zTKRLKOE3IdcjRNCVEImPVwNguBngZlljJ2EEMIZnBc7DR48mJUrVzJq1CiaNGnCkiVL6NWrF1u2bCkxyZ6WlsZdd91FcnIyr7/+Ol5eXsyZM4fOnTtz8OBBatWqZd53wYIFPP/88zz88MO88sorbN++nZdffpn09HTGjx9v3m/Xrl188MEH3HLLLTRr1oyDBw+W2PfWrVszZsyYAvdFRto/MEMiTiHstH//fq5du0bLli3tPFKb4qcluvwoz2gqUd0UV+i+8LRPy9FL5/M2jTYCqgnuU8NJgDdgtGE/V6x8J4Sols6HwsFuUCcRgmPKETsJIYQzOCd22rt3LytWrGDWrFmMHTsWgIEDB9KiRQvGjRvHzp07iz32448/5tixY+zdu5d27doB0LNnT1q0aMH777/Pu+++C8D169d544036N27NytXrgRg6NChmEwmpk6dyrBhw6hZsyYA999/P1evXsXf35/33nuv1CTTDTfcwJNPPmnXc7ZGpssJYYesrCzGjx/P+++/b+eR/uR/qG+St2kr3AnhSFGodb6GAo8AoXn3n0NNQMUAB1Cn1O2n4MqXomqS6XJCiErkjxawZLB6ezWIrKxGZYydhBDCWZwTO61cuRIPDw+GDRtmvs9oNDJkyBB27drF6dOnSzy2Xbt25gQTQNOmTbnnnnv46quvzPdt2bKFy5cvM3z48ALHv/jii1y7do1169aZ7wsODsbf377ZC1lZWVy7ds2uYwqTiFMIO7z//vsMHjyYOnXq2Hmk5Wp2QlQUa8XtYwHtTakJ+cX+Zfpc1SXT5YQQlcELMDialDNzCQhOgk6/QPu9vP/+vQwe3KkMsZMQQjiLfbFTSkpKgXu9vb3x9vYusveBAweIjIwkICCgwP3t27cH4ODBg9SvX7/IcSaTicOHD/PMM88Ueax9+/b89NNPpKam4u/vz4EDBwBo27Ztgf3atGmDXq/nwIEDZR6NtHnzZnx9fcnNzaVBgwaMHj2akSNH2t2OjGQSwkbr16/n6NGjPPHEE67uihDlEAlssvj+USTBVNXJSCYhhIul+8J3ZyHLQECdRGjxB3TZyvpf3i0UO01FRtAKIVzPvtipfv36BAYGmrfp06dbbTUhIYGwsKIzVbT7zp07Z/W4pKQkMjMzbTo2ISEBDw+PIol7g8FArVq1ij1HaVq2bMnkyZP55ptvWLRoEREREYwaNapAjSdbScQphA02b97MggUL+PLLL6VgpXATz6ImmETV54Ftb+cezu6IEKJaCob4CPXLqBgIuQRt97E5MYwFC37Oi53SwBSoJqP8nnVtd4UQws7Y6fTp0wVGJ1kbxQRqvSRrjxmNRvPjxR1XXLuFj71+/ToGg8FqO0ajsdhzlOa7774r8P3TTz9Nz549mT17Ni+99BL16tWzuS0ZySRECRRFYenSpXz88cd88cUX5j9yIao+STC5DxnJJIRwpUegYZyaYOqzFqX7BpbuT+fjj73zYqe34EwziImCLANSj1II4Xr2xU4BAQEFtuKSTD4+PmRmZha5PyMjw/x4cccBNh3r4+NDVlaW1XYyMjKKPYe9dDodo0ePJicnh61bt9p1rCSZhCjGoUOHePjhh4mPj2fFihX4+vq6uktCCGGFJJmEEK40H4wXod7jHEo5wcMvKsTH38eKFTPx9Q2EfZthZwdICYDg3q7urBBC4KzYKSwsjISEhCL3a/eFh4dbPS44OBhvb2+bjg0LCyM3N5fExMQC+2VlZXH58uViz1EWWv2opKQku46TiFMIC1euXGHFihX8/PPP+Pv788EHH9g1NFAIISqeFP4WQriOGju9wc8/r8Df/z4++GAe9er9A5c6wd57Ia4h+KXBLX8Bm13dXSGEwFmxU+vWrdmyZQspKSkFptft2bPH/Lg1er2eW2+9lX379hV5bM+ePTRq1Mi8SpzWxr59++jVq5d5v3379mEymYo9R1mcOHECgNq1a9t1nEScFWDcuHGYTCaysrLIysoiOzubnJwccnJyrO5vreaPoigFvvfw8MDDwwNPT88iX+v1enQ6nXlTFAWTyYTJZCI3N5fc3Fzz+bWvTSZTqbWGtD5Y28/DwwMvLy88PT3x8vIq8LXWP8u+aOfVXo/MzEyysrIKvCaKopT6WmhtagwGA0ajEV9fX/z8/PD39ycoKIiaNWvi7++P0WjEZDJx7do1Ll26RGJiIomJiVy6dInMzEy8vb157LHHWLRoEYGBgSW+HkIIURl44IHOhnpLCh7kVkB/hHAEiZ2qYuw0PS92egpO/Ap/3Q6JdSDoKnTYCaHnS3ythBCiojgrdurXrx/vvfcen3zyCWPHjgXUKXCLFy8mOjraPDIoPj6e9PR0mjZtWuDY1157jX379plXjvv777/ZvHmzuS2Au+++m+DgYObPn18gyTR//nx8fX3p3dv+EaNJSUkEBgbi4ZH/mmRnZzNjxgwMBgN33XWXXe1JkqkCDB8+nJCQELy9vTEYDOYgQgse7FU42LAMfrT7FUUpEEDo9XpzEKUFVVofPD090evLN3MyNzeX7Oxs86YFQVrftD5pfdHO7+XlhcFgMG+enp5lLqytKArZ2dlkZGSQnp5OWloaKSkpXL16latXr5Kamsrly5fR6/XUqFGDyMhI7rzzTurUqUNISEixBdSEEKIy8wBs+a+pgCSZRJUhsVNVjZ26wqWDkFFHLQAeEQ8tjwDZ5XqthBDCkZwVO0VHR9O/f38mTJhAYmIijRs3ZunSpcTFxbFo0SLzfgMHDmTbtm0F3nOGDx/OwoUL6d27N2PHjsXLy4vZs2dTt25dxowZY97Px8eHqVOn8uKLL9K/f3+6d+/O9u3b+eyzz5g2bRrBwcHmfZOTk/nwww8B+PXXXwH46KOPCAoKIigoiBEjRgBq0e933nmHfv36ceONN5KUlMTnn3/OH3/8wbvvvktoaKgdrwLolMKXeYTDpKSkEBgYSHJycoHhcsIdJJC/BG9nV3ZECFFJOfs9QGvfh2R0lN6+QgrXkfckUblJ7FTVxQDrgHNAb6CPa7sjhKhS3CF2ysjIYOLEiXz22WdcuXKFli1bMnXqVLp3727ep0uXLkWSTABnzpxh9OjR/PTTT5hMJrp06cKcOXNo3LhxkfMsXLiQ999/n5MnT1K/fn1GjBjByJEjC1x0iIuL48Ybb7TazwYNGhAXFwfA/v37mTJlCjExMVy8eBGDwUDr1q15+eWX6d+/v03P25IkmZxIAiV3FgPsB9KA0S7uixCiMqqoQMnPjkApTZJMopKT2EkIIaoviZ3cg6wuJ0SZRAGRqEmmbS7uixDuKgZYSOX/G4tFHd3oGh52bEIIIYQQ1Z3ETs4lNZmEKLPOgD/qkHAhHC0BSM372h8Ic2FfXCUqb6vsIl16dg9su2JkcnZHhBBCCCGqAImdnMttRzJlZmYyfvx4wsPD8fHxITo6mo0bN5Z63KpVq3jkkUdo1KgRvr6+3HzzzYwZM4arV686v9OiCooC2ri6E8LtLAT6gqkpZLUA0w3q98whvxaYO0sApgLNAS8gGBjnoLbXAi/ktV/e1zIBtV/NgWjUkVcVT67GCUeR2EkIIUR1ILGTc7ltTabHHnuMlStXMmrUKJo0acKSJUv47bff2LJlCx07diz2uJCQEMLDw+nbty8RERH8/vvv/Oc//6FRo0bExMTg4+Njcx+kroAQouyiIe4CpASAZ4669HNACnh2AR5BLabqjqObtHpnMahJHG0aWljeFoU6itDe0UMJee0eQ53m6pfXXiRlGy2l9fMY+aPOIoGZ5j0qqq5AKMnobagrYCKF81JXQJRAYichhBCuJLGTe3DL6XJ79+5lxYoVzJo1i7FjxwLqMoEtWrRg3Lhx7Ny5s9hjV65cSZcuXQrc16ZNGwYNGsT//vc/nn32WWd2XQhRJWgJhvN53z+C46dM7YGGwKqH1ATTLceAx1BX6+mNOoXOHWnJnyjU1zfV4jF/1ARRDPZNIdSSVf5Ak0LtlYVl4ku71RJXMVT0FD9bh3yXbYFzUV1I7CSEEKK6kNjJudxyutzKlSvx8PBg2LBh5vuMRiNDhgxh165dnD59uthjCwdJAA8++CAAR44ccXhfhRBVURQwFDUBsg11ytRUHDNdahvQHC6FQEwUGLLApAeygeXAo7hvggnUZF0b1NFKnQptt5FfdB8KJqBKYjlqSdva5N02KeG44oTlHaf1U+trVN65KpbBjk2I4kjsJIQQorqQ2Mm53HIk04EDB4iMjCwypK19+/YAHDx4kPr169vc3vnz6miFkJAQx3VSCFFFJaDW9Ekgf/QKqCObUvNu21C20SwJmBNVxgyokwi+6ZBlUKfNBXyPmtBwd9rUOMvRYYVHNNnD3+IYR00x1Np0/ZRFPVIzQJSfxE5CCCGqC4mdnMstk0wJCQmEhRUN/LX7zp2zbzWwf//733h4eNCvX78S98vMzCQzM9P8fUpKil3nEUJUBamo09UsackG7basiYcw4FmgCfitU7esE2qSyaSHrHvB0AuYX45zVFXuPHqrfKQwpXAEiZ2EEEJUFxI7OZdbJpmuX7+Ot7d3kfuNRqP5cVt9/vnnLFq0iHHjxtGkScnTKqZPn86UKVPs66xwglTUESaWt5ryJgGE0KZbOYs/alHvPkACGPaD4QDqCCnt8RWoI5oqtvaPqJw8sS1QkroCoiQSOwkhhKguJHZyLrdMMvn4+BS4KqbJyMgwP26L7du3M2TIELp37860adNK3X/ChAm88sor5u9TUlKKGVquJT3SimlJm35j7cp94RokxbVh2Y69Crdpre5JaX3XFH4OpT1eVqnkF/fVVnpKIz/JlGpxLq2mSxMHnl8IZwgjP+Gk0f4e5XdXqORqnHCEyh87CSGEEI4hsZNzuWWSKSwsjLNnzxa5PyFBXREoPDy81DYOHTrE/fffT4sWLVi5ciWenqW/VN7e3lavAhYUC6wjPxFiSavxoRWphYJJGS2xk2rxdYLF48V9+NS+Ly7pZNlu4bYsHy98v7VzaPxKeMy/mP2sHad9H4715JvlaKUE1KLJCajLisdCjgI5Fj87zxzwfCzvGxkFIqoiSS6JgiRQEo5QuWMnIYQQwnEkdnIut0wytW7dmi1btpCSklKggOWePXvMj5fkn3/+oUePHtSpU4f169fj5+fI1YIigS9REyGxFvdb1nTxy9vPj/wPlFpyJyHv/gTU5b0tR+1YK4yrtWHL6CjLKWaF77f83pK1hFHhpFZCofstE2OFaf3VlioPy7uvuFFHhae9hQG/qMeajkCWETKMaj0bzxy1iDKPUHBkiBBCVF0SKAlHqNyxkxBCCOE4Ejs5l1smmfr168d7773HJ598wtixYwG1sOTixYuJjo42D8OOj48nPT2dpk2bmo89f/483bp1Q6/X8+OPP1K7dm0n9HAiMAd1FalY1MSItiR6caNrtGRK4VowC/PaiSF/tSvIHw3ll7dFkZ9sKpys0RJLCYU28r825arfmvTgqbNoSztP4SQZFrdpFrfa1DVrNZMsjw2jbDVntOXEJ6rLBvhug5z74GoQRABctbM9IYSo3GxdIUVxdkdElVb5YychhBDCMSR2ci63TDJFR0fTv39/JkyYQGJiIo0bN2bp0qXExcWxaNEi834DBw5k27ZtKEr+r0+PHj04ceIE48aNY8eOHezYscP8WN26dbn33nsd1MvRedtTwPJytDO00PdTUZNYDqafCsxR/yI5hWOn7KwAxgGPor4mjhQGfmmgNwHDHdy2EEK4nq1X4yRQEiWpGrGTEEIIUX4SOzmXWyaZAJYtW8bEiRNZvnw5V65coWXLlqxdu5ZOnTqVeNyhQ4cAmDlzZpHHOnfu7OBAKQE1qRJL+VerSkWtQ9QJtS6RP+UvbG050uiRvA2Kjpgqzzm05748rx3LAt2OkAb6z8EvFXV0lKPbF0II1zJg25u53tkdEVVe1YidhBBCiPKR2Mm5dIrlpSjhUCkpKQQGBpKcnFygvoEQQgj35+z3AK39u0nGk9LbzyGFzch7kqjcJHYSQojqS2In9+C2I5mEEEKI6sDWugImZ3dECCGEEKIKkNjJuSTJJIQQQlRhttYVkEBJCCGEEEJiJ2eTJJMQQogKkZqayqlTp/j77785fPgwx44dIyUlBZ1OB2C+taQoivl+bXa3l5cXNWrUMG9+fn7UqFEDo9GIt7c3Hh4e6PXqLHqTyUR2djbZ2dlkZGRw/fp10tPTSU9P5/r162RkZJCRkUF2djYmU8FQonB/iptdrtfr8fb2xmg04uvrS40aNQgICMBgMJTvBbORBEpCCCGEe5LYyTkkdnIuSTIJIYRwiuTkZLZs2cLXX3/N1atX8ff3p0GDBkRGRtKnTx8iIyMJDAy0u93s7GzS09NJS0sjLS2Na9euce3aNTIyMsjMzCQ3NxeTyYROp0On0+Hl5YXBYMDb2xsfHx98fX3x9fXFx8cHHx8fvL29MRgMVgM1W5hMJrKyssjIyDD3KyUlhYSEhDK1Zy8JlIQQQoiq5gXUxZTCUFfY7gxI7CSxk3uQJJMQQgiHURSFAwcOMHv2bDIyMrjrrruYPXs2devWddg5vLy8CAwMLFOQ5Qx6vR6j0YjRaCQoKMh8f0pKSoWcXwIlIYQQoiqJRV0VvDMwCkXx48CBGImdkNjJXUiSSQghhEMcP36ccePG0aBBAyZPnkzjxo1d3aVqQQIlIYQQoirZBnwMRObFToMkdqpgEjs5lySZhBBClEtubi7Tp0/nwIEDzJw5UwKkCmbI20pTtgHtQgghhHCsoXmx0zsSO7mIxE7OpXd1B4QQwn2sQL06VV59gacc0I7zpaSkMGDAAOrVq8fKlSslSHIBDzs2IYQQQrhWybFTApDqqq5VGxI7OZeMZBJCCId51DHNmL4D/XNlPDgamA9EOaYvJbhw4QJPPvkkb7/9Nv/617+cfj5hna1BkARKQgghhGsVHzutRa3T5AdEohUCF84hsZNzyUgmIYQotxjU0UfBQAQwDrWooz1SgTlANOg9gE/Vr1lhXzOJJ6mIBFNCQgKPP/44H3zwgSSYXEyuxgkhhBCVX/Gx0wrgF9RRTP5URBxX3Uns5FwykkkIIcosAfXKUwyQBjRBDQ5SUafNRdrRzv68NiIt2vAH1uU9/mze98VZC3wKvumAFzATGG3f07HRxYsXefLJJ5k/fz6RkbY+R+EscjVOCCGEqNyKj520i5JNgDZIgqliSOzkXA5LMmVkZKDT6fD29nZUk0IIUYklkB8YhJOfAPIHQoEw8hNFtgjPuw0Fzlt5fJvFPn6oCanUvH4cQ01SHQO/zLxzb8vb71E7+lC6lJQUnnjiCebNmycJpkpCj21BkAxdrnwkdhJCCPdXcuwUie0XJYWjSOzkXGVOMm3dupVvv/2WX3/9lb/++ovr168D4OvrS7NmzejQoQN9+/alS5cujuqrEEJUIn6oyRxt1JGWUPIv9JgtwvK2JqhJo7S8+7XCj1qbJfVFGwGljabSzq8NvS6/jIwMnnjiCaZOnUqLFi0c0qYoP7kaV3VI7CSEENWLxE6Vk8ROzmVXkik7O5sFCxYwe/Zs4uLiCA4OJioqiieffJKaNWuiKApXrlzh5MmTfPbZZ3zwwQc0aNCAMWPG8Nxzz+Hl5eWs5yGEhQTyR5loH9i1D+thQG/kioEoPy2hVNnatGf0lO2ys7MZOHAgL7/8MtHR0Q5vP//vVps2aPl3C/nPyY+CSTUtQVdRLPuUVsw+fjjjZ1AcCZQqN4mdhBCienJ+7CTKSmIn57IrydS4cWOysrIYNGgQAwYMICqq5Dmj+/fv5+uvv+bdd9/lvffeIy4urjx9FS6hfaCyHFlReJSFJcsPghWdyElFLZwXk79l6SDLACY96E3gmQPGbaj1bfpUcP+EqAiOT26YTCaGDh3KgAEDuPfeex3ceirqdD8tKRxD/v+Z4pJM/qhTCkMpmGjSvnZUvwr3ofD/PmuPaSPOnJGEtM4T297Mc53dEWGVxE5CCFH9ODd2EuUlsZNz2ZVkev311xk8eLDNtQPatGlDmzZtePvtt1m8eHGZOuie5qB+INGuyIeh1loJo+AVcMsPOQkWt9pVfo2fxXHarbXpNZYfkAp/gCruinxJxxX+AEahPkSSX5vG2vOzpnB/7BkRoO13DHL2Q0oApPlBuq+aaNKbwJgBjdfhsKXmhXBziqLw4osv0qVLF/r16+fg1mPztmPkj2SyJcmk1bvS/rckkJ9osvzaXpb/Y0tKJFneb/n/2HJ6YygQWIY+2M+Qt5VGcXZHhFUSOwkhRPXi3NhJOILETs5lVy2r5557rkzFKQ0GA88995zdx7mvFaiJJm1p8j6oKwkUruGiXZWPBDrn7dcG9QPNfmBhXjtzgC9RC/0eo+AHHcsPW6moH+h+QV2x6tO8ba5Ff7bl7aN9aNLqxGhbJPkjD7ZB1gFI/x3S/lS39J2QtT6vLW3aTmQxz6+wmEL9Wov6gc8eQ4FN6oil4H9DcBJkGOFMPYiPUJNNZCNJJiFKZzKZeOmll2jdujWDBw92YMsJqP9rtgEHKDD6UBvRZLoKptz8rUBSJ8HiVhsBpY2GOkd+8srePmnJrliLLcFis2T5vyw177yWxx7DegF3x9OKV5a2laV4ZWZmJuPHjyc8PBwfHx+io6PZuHGjTceePXuWAQMGEBQUREBAAA888AAnTpywuu+iRYto1qwZRqORJk2a8OGHH5a7Tc2OHTvQ6XTodDouXbpkU98dSWInIYSoPpwXOwlHcmbsJBy0ulx2djZ6vR4PD5m1aJs95Tg2ioJLW3YFNtlwnJbscQSLZdHNaeC1cG4YhJ8rR7uFn1t5DQW/odAayGqvjmK65S8Hti+E+8rNzeWFF16gXbt2DB061EGtWk6NO0Z+Ysay2HlnIAz0kaiJbcvktOVoymMW92mPpxVqqyzT5wr3Rxs5FZbXt8K0pNIBi+eljSJtaue5y8aZdQUGDx7MypUrGTVqFE2aNGHJkiX06tWLLVu20LFjx2KPS0tL46677iI5OZnXX38dLy8v5syZQ+fOnTl48CC1atUy77tgwQKef/55Hn74YV555RW2b9/Oyy+/THp6OuPHjy9Tmxot2K9RowbXrl0rwyvgPBI7CSGEe3FO7CScQWoyOVe5kkwxMTEMGTKE33//Hb1ez0033UTLli0LbA0aNHBUX93IQtQPIk1QRyfZ+yEoFvWKfwJqUmYq6tQMbQnM0tqzvPpv+WHKsr6J5bQ3TarFsefJ/4CYN7UkvD5q0kurx/Qo9ieNtA+hkL9KVllsA8apo6uSgiHAV737XDiE/12OdoVwfxkZGTz99NPcd999PP744w5q1XKET+Gi/JGoyevyJJlTKX6qnS206byW05PDUP+PlfQ/Vfu/q9V4i8hrpzfQCxhiZz/s56xAae/evaxYsYJZs2YxduxYAAYOHEiLFi0YN24cO3fuLPbYjz/+mGPHjrF3717atWsHQM+ePWnRogXvv/8+7777LgDXr1/njTfeoHfv3qxcuRKAoUOHYjKZmDp1KsOGDaNmzZp2tWnpk08+4fTp0zz77LPMmzfPzlfAOSR2EkII9+Oc2Ek4iySZnEunKEqZpxredttt/PPPPwwbNozAwEBiY2M5dOgQf//9N9nZ2eh0OgIDA0lKSnJkn6uMlJQUAgMDSU5OJiAgwNXdqWZiUBNN2hQcbapLE2A5srqcENZdvHiRQYMGMXLkSLp37+7AlleQn2DSkkxhlG9kZ0liKH+i2trIpdJMBSYCzn8P0Np/W3cFo6709jOUFN5Satrcn3HjxjF79mySkpIK7D99+nRef/114uPjqV+/vtVj27dvD6iJKkvdu3fnn3/+4fjx4wCsX7+e3r17s27dOnr16mXeb9euXXTo0IHly5fz5JNP2tWmJikpicjISN5++20SExOZMmUKFy9eJCQkpNTn7kwSO5VMYichRFXjvNip+qnqsZNQlWsk07Fjx5g4cWKB4ewAOTk5HDlyhEOHDvHHH3+Uq4NClI2jp94J4f42bNjArFmzmDdvHrfeeqsDW9ZGBmlbApjOgD6e/GSTo5X3778sCSbQEkwVyUNvwkNnKn0/xWTXMikHDhwgMjKySFClJXsOHjxoNclkMpk4fPgwzzzzTJHH2rdvz08//URqair+/v4cOHAAgLZt2xbYr02bNuj1eg4cOMCTTz5pV5uaiRMnEhoaynPPPcfUqVNtf+JOJrGTEEK4D+fFTsKZnBU7CVW5kky33noren3Rclienp7ceuut8ocmhBBVQEZGBq+88gre3t6sW7cOHx8fB58hDLUovwX9C5R9tJCw5Kk34WlDoOSZFyilpKQUuN/b29tqYeqEhATCwoomALX7zp2zXoMvKSmJzMzMUo+9+eabSUhIwMPDgzp16hTYz2AwUKtWLfM57GkT4PDhwyxYsID169dXuppHEjsJIUTV5/zYSTiTvbGTsE+5CqZPnDiRhQsXVrpimkIIIUqnKArLly+nd+/e3HfffcyZM6cCg6T5SILJMfR6k3pFrpRNr1eDqfr16xMYGGjepk+fbrXd69evW00+GY1G8+PFHQfYdOz169cxGKwvImw0GgvsZ2ubAC+//DI9e/akW7duVtt2JYmdhBCi6nJt7CQcxd7YSdinXCOZevXqxZkzZ2jfvj3vvfceXbt2xcvLy1F9E0II4QRZWVl89913LFiwgO7du/PDDz/I/+4qzOCZg0GXU+p+uUoOZMLp06cLTIGzlrgB8PHxITMzs8j9GRkZ5seLOw6w6VgfHx+ysrKstpORkVFgP1vb/PLLL9m5c2elnXImsZMQomp6Afb9BkebgkkPdRIhIh5ueQxXTBWvaBI7uRd7Yydhn3IlmTZs2MBrr73G1atX6dOnDz4+PrRo0YJWrVqZt5YtWxaokSCEEJXZ9evXOXLkCGfPnuXy5cukpaWRnZ2Nh4cHRqORGjVq4OfnZ94CAwMJCQkhODjY6hSYkiiKQnJyMleuXCE1NZX09HQyMzPJzs7GZFKvnHh6emIwGPDx8aFGjRrUrFmTkJAQu6cApaWlcejQIX788Ud27dpF7969WbNmDTVq1LCrHVH52FVXAAgICLCpeGVYWBhnz54tcn9CgrqQQnh4uNXjgoOD8fb2Nu9X0rFhYWHk5uaSmJhYYMpcVlYWly9fNu9nT5uvvvoq/fv3x2AwEBcXB8DVq1cBNcGWlZVVbN8rgsROQoiqZwX8cBI2Paqu2hycBI2Pg286sILr12/hyJEbJXYSVYa9sZOwT7mSTC+//DIGg4EPPvgAPz8//v77bw4dOsTatWtZuHAhADqdjtxcmcgohKi8FEVh06ZNLF++nKtXr9K6dWvq1atHcHAwoaGhGAwGTCYTGRkZpKWlcfHiRU6cOEFaWhrJyclcvnyZy5cvYzKZ8PT0JCQkhMDAQHx9fc0BTXZ2NteuXSMpKYkrV66gKAo6nY6goCBq1qyJv78/vr6+GI1GvLy80Ov1KIpCbm4umZmZXL9+nbS0NK5evcrFixfJycnBx8eHBg0aUKdOHQICAvDx8TFfVcvJySEtLY1z585x+PBhDAYDUVFRdO3alSlTpqDT6Vz5kgsHclag1Lp1a7Zs2UJKSkqBpNSePXvMj1uj1+u59dZb2bdvX5HH9uzZQ6NGjcwJFK2Nffv2FVhdbt++fZhMJvPj9rR5+vRpPv/8cz7//PMi+0ZFRdGqVSsOHjxY6vN3FomdhBBVTtxrsHswnGgEehPUSUQJvMKmI6dZ/skprqb0p3XrMOrVu4Pg4AESO4lKT5JMzlWuJFNCQgLTp09nxIgRRR67fPkyBw8e5Pfffy/PKYQQwqni4+MZOXIkrVq14p133iEiIqJc7eXm5nLx4kWSk5O5fv26+YOil5eX+WpazZo1HRKoXL9+nfj4eC5evEhKSgrXr18nOzsbUOvU1K1blzvuuIO33nqr2ClRoupzVqDUr18/3nvvPT755BPGjh0LqNPVFi9eTHR0tHllufj4eNLT02natGmBY1977TX27dtnXjnu77//ZvPmzea2AO6++26Cg4OZP39+gSTT/Pnz8fX1pXfv3na3uXr16iLPZcWKFXz55ZcsW7aMevXq2fU6OJrETkKIqiVVTS6l+4JfGoSeJ772PkbO30KrW/W8M6gxETflQMglCMgCugP2jcSU2ElUNEkyOVe5kkzt2rUzD0EvrFatWtxzzz3cc8895TmFEEI4zYEDB3j11Vf5+OOPiYyMdEibHh4ehIaGEhoa6pD2SuLj48PNN99sXlFLVE96nYKHDYUp9SbFrnajo6Pp378/EyZMIDExkcaNG7N06VLi4uJYtGiReb+BAweybds2FCW//eHDh7Nw4UJ69+7N2LFj8fLyYvbs2dStW5cxY8aY9/Px8WHq1Km8+OKL9O/fn+7du7N9+3Y+++wzpk2bRnBwsN1t9u3bt8hz0UYu9ezZk5CQELteB0eT2EkIUbVMVW/qJEJwEgeUA7z6xR4+nlCPyFohkFgHjl+FDCNEbAK/qcBMu84gsZOoaM6KnYSqXKvLTZ8+nUWLFpGYmOio/gghRIVISkpi7NixfPXVVw5LMAnhCp56k82bvZYtW8aoUaNYvnw5L7/8MtnZ2axdu5ZOnTqVeJy/vz9bt26lU6dOvPPOO0ycOJFWrVqxbds2ateuXWDf4cOH88knn/D777/z4osv8uuvvzJnzhwmTJhQ5jYrM4mdhBBVS6q5BlNS4z2MXbOTr96vR2RgKBxuCT91g01dYWcHONgakj4Fxrm600KUyJmxU2ZmJuPHjyc8PBwfHx+io6PZuHGjTceePXuWAQMGEBQUREBAAA888AAnTpywuu+iRYto1qwZRqORJk2a8OGHHxbZ5++//2b06NF06NABo9GITqcz16u05rvvviMqKgqj0UhERASTJk0iJ6f0AumF6RTLS492uuOOO0hOTiYpKYkpU6Zw3333VUgGuqpISUkhMDCQ5ORkm4qsCiEqzjPPPMPzzz9P+/btXd0V4aac/R6gtb+8Tiy++tKnJqSbUnkqMVLek1xMYqeSSewkRGWzAs6PgqRgnplyiuef8KN9nRshJgp+6sZXOzvQLPwct7Y8DLfvhrb7oOVhMP4IdHZ150UV4w6x02OPPcbKlSsZNWoUTZo0YcmSJfz2229s2bKFjh07FntcWloaUVFRJCcnM2bMGLy8vJjz/+zdeXwTdfrA8U/SNk1DelBKobUgZ0EExCKHriuggCigKAgoiojCgqgryOl6sZ54gK6KK6KiCD8ExGORdUXl8lgQCiILWE4BW0CovehFm/n9MZk0adM2aZLm6PN+veaVdDLzne8kTfLkme+xYAGKorBr1y6aNGli2/bNN99k0qRJDB8+3NYKfOnSpTz33HPMmjXLtt2SJUu4++676dSpE+Hh4ezatYsjR47QqlWrKsf/97//zeDBg+nbty+33norP//8M6+//joTJ07kjTfecOncNR51lzOZTBw6dIjTp0/zl7/8hUmTJpGUlFRlhpSLLrrIk8MIIYRXHT16lLKyMg8STPnW29q+nDKALOv2BZUeM1v3T7Xed1aedpwCu/va3862q3wcM5AMtHehriJYhektLjX5DkPGFQgEEjsJIYLLaGh+L0dzD1EWcZ6eXZvC3njISOXLXd34+PdEDvyeSJShlHYtj6nd5gylSIJJBDJfxU7btm1jxYoVvPDCC7bxIseOHUvnzp2ZOXMm33//fbX7Lly4kAMHDrBt2zZ69OgBqN38O3fuzEsvvcQzzzwDqOOK/e1vf2Pw4MGsXr0agAkTJmCxWHjyySeZOHEijRs3BuCGG24gJyeH6OhoXnzxxRonPpk+fTpdu3blyy+/JDxcTRPFxMTwzDPP8Ne//tVh7M3aeJRk0pp9/f777+zevZuff/6Z3bt3s3v3bl5++WWKi4v9NkNKSUkJjz32GEuXLuWPP/6ga9euPPXUUwwYMKDWfX/77TemTp3Kl19+icVioV+/fixYsIA2bdrUQ82FEL722muvMWXKFDf32gRspiJxpCWJtFv7JE6+dZt0bEkmSzlYrD2U9RbQhwFJVJ9kqpxgKqi0HhwTStZjlNl9rIeXgT4OSEMN9kZZjydCiSSZgovETkKI4DOP195axJT7f4FSg5pIKjCTU2jiLGpkEmcqhNQMuOJ7Nc4RIoD5KnZavXo1YWFhTJw40bbOaDRy99138/DDD3P8+HHbxCnO9u3Ro4ctwQTQsWNHrrnmGlauXGlLMm3YsIGzZ89y7733Ouw/ZcoUli1bxueff87tt98O4DC2ZU327t3L3r17ef31120JJlCHNHj66adZvXo1jzzyiGtPAh4mmTRNmzatMlClxWIhIyODPXv2eOMQbhs3blyVZmrXX3+9S83U+vXrR25uLg8//LCtmVqfPn2qNFMTQgSnvXv30qtXLxe3XgHMhOwCyI5XZ1cpNkJ4tprEMZSqS3hZRVBVFq4ueTFQ3FHdvizcMcmk7WM+A+EnHQMyi15dtH0seiiLte7fuKIc+2217UsN6n3tGKZCiDsNCW9A88dBvxwY7fmTKAJGRHgZBn3t/eXPW9zvUy98R2InIUTwmMDevavo1asIzmRDygnoupuRhSb6nEih2ciVcN8G4H/A+/6urBC18lXstHPnTlJTU6t0rdN6T+zatctpkslisbB7927Gjx9f5bGePXvy5Zdfkp+fT3R0NDt37gSwzbSr6d69O3q9np07d9qSTO7U21mZycnJpKSk2B53lVeSTM7o9Xo6duzoVrMqb6mPZmpCiOB0/vx5N6akzQc+h7wcOJkCJ5tDTpyaaDKUgrFYTeKYCh0TTVqy53QiFJjVpdBU0cpIS0yZCiEuR90v3PolpiWLtKXUUHHf4mSuBvsEU1l4xXH0lorykzPV4yWeBn2ap09hPUq33gZTneuftGQKHRI7CSECUUXslApxv6jxRJvDUGii2ZXfwvh3gGJ/V1MIl7kbO+Xl5Tmsj4yMdPp7Iisri6SkpCrrtXWZmZlOj5OdnU1JSUmt+3bo0IGsrCzCwsJITEx02M5gMNCkSZNqj1GTrKwsh2NVPr67ZXqUZOrXrx9du3a1LZ07dyYqKsr2+I8//khERATdunXz5DBuq49makKI4HT06FGng905twlIVxM3OXFwIgWOtVSTRuYCdbYVbYnJq0gU6S1q4icnTk1MnU6saAUFanIqJk9NAKWcUP+2bwVVbFQXrdWUdl8r234B9Vha8/UzCZwvNRARXqaW3+awemsugPAPCI7ucvnATLC8qZ5b+K3AUn9XKmBJkim4SOwkhAg2auyUBMRBeBIkfg+tjqrf0d12qXGMEEHE3dip8vff448/zhNPPFFl+6KiIqfJJ6PRaHvcGW29K/sWFRVhMBiclmM0Gqs9Rk1qO37lJFttPG7JtGzZMl599VV0Oh16vZ42bdrQtWtXunTpwo4dO9i1axe//vqrp4dxS300UxNCBKcjR464OEZIFraxl4y/qS2BCsywvyN5J5sT0+6gmrxJOKMmcpIzwXgJFa1uPleTQIUmyEjl4J7O/HSsJaVl4bRJPE2vdgdBK8NcoCaoysLVY5Qa1FtrguqPMwmcyI4nTG8h1lRIE3MBRnOB2lIpvEwN8gpN5GXH8/H2yzidF4PZWMygrrtp3WmvOttLsvtXNfwjH3gSit+FE9bXqd0KAifJ9BZqC6tU1G6HVa/41LdwneLSFLvhdZ9MVniZxE5CiGCixk4tgUbqClOG2prJooeW0gVfBB93Y6fjx487fD9W1ysiKiqKkpKSKuuLi4ttj1e3H+DSvlFRUZSWljotp7i4uNpj1KS247tbpkdJpg0bNgDqYI8//fQTu3fvZteuXXzzzTd89NFH6HQ62rZt68kh6qQ+mqk5U1JS4vDCuJvxE0L43tmzZ10cHyQLdWDtVIgrUKfjjc+GAjOGMwlw70Lo+h9qTDL0ti5AuzU38/LwNawHfun/FSyaqLZmqlYGZPeGddcT9cHtPPNTN0YlZTJs2CfQ/yt1iuCWAM+jJrY2EVMwnqTOexiXW84DRU2Y/MkwaH4SeM6F8w0UnwOb1ITbmQTrc7TQ35Wy0x2K7w+oq7YuX41TpCVTIJDYyZHETkIEPjV2agxciBobpYH5S/VCGc/7tW5C1IW7sVNMTEyVizDOJCUl8dtvv1VZr3VHS05OdrpffHw8kZGRtu1q2jcpKYny8nJOnz7t0GWutLSUs2fPVnuM2uqtHavyBaWsrCy3Z+R2MsCH+y644AKuv/56Zs+ezYoVKzh16hTz58+ncePGfPLJJ944hFvqo5maM88++yyxsbG2pbpm5UII/9Hr9Vgs8mNbhA69NVCqbdHLbD8BRWInlcROQgQ+NXaS1rAidPgqdurWrRsZGRlVLphs3brV9rjz+ujp0qUL27dvr/LY1q1badOmja1FsFZG5W23b9+OxWKpU3f76srMzMzkxIkTbpfplSRTZTqdjgcffJBrr72Whx56yBeHqFF9NFNzZs6cOeTm5tqW48ePu113IYRvJSYmcurUKRe2TALMQAbk/Aa7u8IXg2B3V0rLwmHhvfDa0/BVfzjcRh0PiV7AZOvSEv7bG/45Ce5ZzMHnZtOv2UmeaHKGrbu7wu0fwLT5sHIkrLsevhyo3q4cqbZyeup9eOzv8NkNFJUaePiSXaQ2P8lvu7tSvGiiuu/Yp2B8IYz7HkbGkjfsE7Jy4nhe15iOUYUcGfYJPDcbMt2/ouE/g4E+ajfAhDNqN0XurW2nerQDjHcBC1Bbu/mfK0GSq1fshP9I7CSxkxCBSo2dfgcOWJd0tVt/Thww0691E6IufBU7jRgxgvLychYtWmRbV1JSwrvvvkuvXr1sF1KOHTvG/v37q+z7448/OiR6fvnlF7755htuueUW27qrr76a+Ph43njjDYf933jjDUwmE4MHD3arzgAXX3wxHTt2ZNGiRZSXlzuUqdPpGDFihFvl+Wx2OYDevXsze/ZsXx7CqfpopuZMdaPMCyECR9u2bVm1apULWyZZlwI1gVRqUMdO6rifmJQT6n29Re3SZdGrg3sbi0H/nXWMpBQ42A7yYiAuh3ad99CuzWG1aG3gb3OBGqAVGx0H/rbo1ceSMyE+m8bFRhrXNvC3uYCYmDzuNBc4DvxdbFSTXT1TIOVF1HGEAlk08CgY86GNdeBvbvV3pexM8HcFqpDucqFFYichRKBRY6f3gMNAFhSegdNt4GgriFsBLaXLnAguvoqdevXqxS233MKcOXM4ffo07dq147333uPo0aO8/fbbtu3Gjh3Lpk2bUOzGy7z33nt56623GDx4MNOnTyciIoL58+fTrFkzh4tPUVFRPPnkk0yZMoVbbrmFa6+9li1btvDBBx/w9NNPEx8fb9s2NzeXV199FYDvvvsOgNdee424uDji4uK47777bNu+8MIL3HDDDQwcOJDRo0ezZ88eXnvtNe655x4uuugit54Hj5JMY8eOpVu3bnTt2pVLLrmEpk2bOjy+f//+OvUJ9FS3bt3YsGEDeXl5Dn0nvdlMTQgRnFJSUjhx4oSLW/cB0sD0acVMcOYCdTBvQ6maLDIVVgzArbHo1QRQXI66Pi5H3afM+pFrKFUXU2HFNtr+Fr26nf1SaqhIPlVm0TvuU2gioixcPb5WvqlQveJYdjuEpxH4M8xFA2+AfoK1vW1aLds3bIbwMgxhZbVvp6t9G+F7EjsJIYKNGjudBn6Fsl/gdIqaYNrbSZ15d7wxoMYqFKI2voyd3n//fR599FGWLl3KH3/8QdeuXVm7di1XXXVVjftFR0ezceNGpk6dylNPPYXFYqFv374sWLCgSqxw7733EhERwUsvvcRnn31GixYtWLBgAX/9618dtvvjjz949NFHHda99NJLAFx44YUOSaYhQ4awZs0a5s6dy/3330/Tpk15+OGHeeyxx9x+DjxKMn377bcsW7YMRVHQ6XQ0a9aMSy65hNTUVLKysvjyyy9ZsWKFJ4eokxEjRvDiiy+yaNEipk+fDlTfTK2wsJCOHTs67Dt79my2b9/OZZddBlQ0U9PKEkIEL71eT1lZGRaLBb2+th7D0cBgiNmkDqBtLFaTNcXGisSQljAKL3NsjVQWrq4vNqqLfZJIb6nYR5tZzv5qin3iqPJ97fHK22pLsbEiyWWfyIrJsx5DmxktGEhyyRXSkim4SOwkhAg2FbHTL+hz4tTW24fbwO6unDqRQjOLHu67GPifv6sqhEt8GTsZjUZeeOEFXnjhhWq32bhxo9P1KSkpLva4gAkTJjBhQs0t7Fu1auXQWqo2w4YNY9iwYS5vXx2d4s5RnTh37hx79uxhz549/Pzzz7bb33//HYDw8HDatGlDp06d6NSpExdffDG33ur7rg8jR47k448/ZurUqbZmatu2bePrr7+2ZRH79u1bpZlafn4+l156Kfn5+Q7N1MrLy9m1a1eVLGJN8vLyiI2NJTc316XR6IUQ9WPevHl06tSJoUOHurHXJmAzkIE6Fo8ZNQml3dpfqc+3bpNuvc0HS7ljkkkfhtodL9VaBk7KAHUWl3zrrf167NZRcYwyu2sH4WWgj0NN1vQBRhE8Cabg5+vvAK38nd2/JjrMXOv2+eUFXLrjGvlOCgASO1VPYichAtFbzJv3AZ067WBoh2TY0xnWXc/Kz25g8e+JpAHT+2wkYfw7cNtyx9bdQrhBYqfQ4PGYTI0aNaJXr1706tXLYf3vv//Ozz//7BA8ffXVV5w7d65eAqX6aKYmhAhOEyZMYNy4cW4mmfpYF6hI9NTWBURLSOWDvqDSVAtacqq6JJP9cQqoPrlkt50+Hwz2j5mBZKC9C3UVwSpMp7h2NU5mBgoYEjsJIYLLLCbccY5xfyll6KvW4QLMBcSZCmmCGmHkFJpIyEiF76+AK/WOLbSFCDASO/mWxy2Z3HXkyBFat25dn4f0G7kaJ0Tgeuyxx+jatavbsyUI4ar6uhr3v55fEh3eqNbt88vOcfG2gfKdFIQkdhJC+M8KOPkgZMfz2KITdO1gYMSl7SA9Db4cyMrvr+Ci5Ey6dN0Nvf8Ll22HrrvB+B8qLs4J4RqJnUJDbQOSOOjUqRPvv/8+paWlLu+j9efv1KkTQIMJkoQQge2RRx5h0aJF7Nu3z99VEcIjvpqGV3iHxE5CiOC2CU42h4xUHhnQk0Xvl7AvMwdSM+Dqbxh5w2d0GfglXLVZTS61OwjGB5AEkwhkEjv5llvd5caNG8e0adP461//yg033ED//v1JS0ujdevWmEzq9Nrnzp3jyJEjbN++na+++op//etfGAwGZsyY4ZMTEEKIujAYDCxdupTbb7+d+++/nxtuuMHfVRKiTlwevFICJb+Q2EkIEdyiITseDrbDUBbO0jvN3P7sRu4fXcYN3cvUiVG0GXhbHgPzvcDz/q60EDWS2Mm33O4ul5+fz9tvv82SJUvYvXs3Op0OUAepBCgrUwd6UxSFzp07M378eMaPH98gm5dJk28hAl9xcTFPPPEEBw8e5M477+T6668nLCysTmXl5+dz6NAhsrKyyM3NpaioiPLycgAiIiIwmUzEx8eTlJREq1atbD8w6yIvL4+9e/dy9OhRfv/9d/Ly8igqKuL8+fMoikJERARms5nmzZtz2WWX0blzZ9vntagf9dXk+/CVa11u8t3m2yHyneQHEju5TmInIQJNPnxzI3wxSG3R1Pwkxe1/5olNGzh4Oo87b2jM9dfqCWt2FmKuBpbizjiQEjsJexI7hQaPxmQ6evQo33//Pfv37+fs2bMANGnShI4dO3L55Zc3+ObdEigJETyysrJYtmwZX3/9NZGRkTRv3pyEhATMZjMRERGUl5dTXFzMuXPnKCgooKCggPz8fM6fP28rw2w207ZtW5KSkoiLiyMqKsr2I7K0tJRz587xxx9/kJmZydGjRykqKgJAp9OhKAoGg8G2j16vR1EUysvLKS0tpbi42BZ0gTpw8MUXX0zr1q1JTEwkJiYGk8lEREQEAOfPn6egoIDMzEx++OEH9u7dS3R0NH/6058YP3480dEyELiv1VegdPSqz4hxIVDKKztHq803yHeSn0nsVDOJnYQIQEdbwZJxsLurOqB3u4PQbRdZTX9i2Y8ZfL1JITIylubNLyIh4WqJnUSdSewUGup94O+GRAIlIYLT+fPnOXXqFNnZ2RQUFHD+/Hn0ej1Go5FGjRphNpsxm81ER0fbAhNPKYpCaWkpJSUlnD9/HovFgk6nIywsDIPBgNForHMLK01BQQHr16/n7bffpmnTpsyePZsOHTp4pf6iqvoKlLKuXuNyoJT0zc3ynSQCmsROQgSiFfDFEviqv9p1Lj5bTTR12gtXnQXmcf78tRI7CY9J7BQa3BqTSQghGoKIiAhSUlJISUmpt2PqdDoiIyOJjIz02THMZjM33XQTN910ExkZGTzzzDMoisK8efNo3ry5z44rfEvGFRBCCOFbo2HQJkhYAfs7gkUPiach4QwwBBhCRAQSO4mgIbGTb0mSSQghGqDU1FSWLFnCrl27mDRpEr1792bmzJno9W5NOioCQJhOcS1Q0knDZSGEEHX1BlyGujRQEjuFDomdfEveEUII0YB169aNjz/+mPj4eG688UaOHDlST0eeDKTX07FCm0zDK4QQQtQf/8VOwlskdvItSTIJIUQDp9PpmDhxIgsWLODee+/lo48+8vIRsoC3gJnAMKAlWN4E0qyPCU/o9BaXFyGEEEJ4zvexk/AliZ18S5JMIkSlAwtQf9BeDMRbl15Ahv+qJUQAa9euHZ9++ilfffUV8+fP92LJSajTGWtLEuhTUN+PSV48jr10IN+D/TfVcb8nPThmHektri9CCCGE8BrfxU7CpyR28imPxmTaunUrvXr18lZdGpC3gANAe9TB8tz9kZWB+gMqy7pEA82BVOtSW3lZ1jK0/Qus65tj+wFINGC23mry7fY9CWTa7a/9mNP2SwVGo7ZUcEc+6nMD6vNT16lC84EVUPA/dRaMwkR1dcxxSPbVj1ohgp/BYGDhwoX8/e9/Z/bs2Tz77LPodDovlJyG+tmgLdpnRy/Uz4upuP95YS+fisRSgfUY2meZu2Von6/pqJ9j7nxmvAWsRT2f693YzwOuBkESKAUEiZ2EECK0+C52Ej4jsZNP6RRFqfNoVnq9nnbt2nHHHXcwZswY2rRp4826Bb36mYa3F7DVR2W7YwVkToPkTH9XxLltPcFYDF13+7smQgSNf/zjHxw4cIBXXnnFS4NaaknkDOutlqi2T3YnWZdU1ESzfaIon4ok0gG7ddrjWgIr2q4MVxNEWj20BLxWHy1ZlQT0cbJfhnXZScUFADXRnpfXj9jYu30+DW/uje8RE2GqffvzhcR+eqdMw+tnEjvVrH5iJyGE8A3vx04Ni6+/AyR2qh8eJZmWL1/OsmXLWL9+PeXl5fTu3Zs77riDkSNHEh8f7816BqXq3yS9qGjJdA8wwY1S04HPgR3W+9oVe+0HmXabjPqjyGzdL8tu0X7cHaDiB1s+FT+kkqz7az+uNNq2m7D9UCzVQVm4OpUpqNne8DIwKFS0TEiz1suVc7P/gdcc9UedK/s68xYUTIWD7SAzWV3V6ih02lvH8oRoeN58801+/vlnXn31VS9eldOSOVqrSPuWldburJZyx130YTgmk1JxTChpLTqTcEwyuVOnLCpabDrrale5VZT2mZiF42epevy8vBRiY//q+0Bp+NuuB0of+S7pJVwjsVPNJMkkhAh2vomdGoZ6SzJJ7ORTHiWZNGfOnGHFihUsX76c//73vxgMBgYNGsTtt9/ODTfcgMFg8EZdg071b5IFVCSHtESOfVLI/qq99qPF/sdPARVX2cHx6r12q923p+1j3xogv9JjzlS3n/3+Gvs6pFLxo8/Z+TlTuT61bV/ZW8CHULYR8mKgwAyFJig1qAkwYzG0+xVYitoNRghRm9dff50jR47wwgsveDlY0hJNB6hI8th3ebP/fLFPMFWXELdPMNWlW6z9Z2y+3TpNQaVttXUFldapCa+8vFhiY0f5PlC65S3XA6VVEyRQChASOzknSSYhRCjwXewU2uotySSxk095Jclk79ChQ7ardAcOHCA2NpYRI0YwduxYrrzySm8eKuCFRqBUOemTT9UfVPYqtzSoT9ZxmGxjqqSrLa1KDWpLK62VlXEQaguyIfVcPyGC17x58ygpKeGxxx7zcsn2XehcTTLZJ7Htk0rafW/Vq3IdKn/2OXtMS+5Hk5cXTWxsd98HSiMXEWOIqn370iJiV04M8u+k0CSxU4XQiJ2EEMKXsVPoqrckk8ROPuXRwN/OREVFYTKZMBqNKIqCTqfj008/5e233yYtLY333nuPTp06efuwwmeiK90G8qDZ0ahdD+263RiywGD/QzEJGEz9J8CEqC/2YxR5z6xZs5gzZw6vvfYa9913nxdLjkbtUpuE+r41U9E6qLokk5bE9qTVkiv1cpa0qq5lkz0tEZbng3o5IYNXBj2JnYQQIvT4LnYSHpPYyae8kmTKz89n9erVLFu2jE2bNqHX67nuuut47LHHGDp0KHq9no8//piHHnqIu+66i61bA2GgahG6tB+dnsxUJURttK5VWXZ/23dVdXdmM/synXW/ctb91b4O9mMKackRd8ZEq90zzzzD5MmTWbZsGWPGjPFKmRWC5X0bXc19P5JAKShJ7CSEEKHPt7GTqDOJnXzKoyTTp59+yrJly1i7di3FxcX06NGDl19+mdGjR9OkSROHbUeMGMEff/zBlClTPKqwEEIEBm3AZ23wasfxeCpmI3MlEaEliLTZ1k5WetxMxYD+2t+V66KNbZRBRbJGm53NO3Q6Ha+//jpjx44lNjaWIUOky2lAkEApqEjsJIQQDYfETgFKYief8ijJdNNNN9GiRQumTp3K2LFj6dChQ43bX3LJJZLBFUKECC15k4HjbI3RqMmdNNxr6ZIJ7KRibKLKLZJqS1itBRZDwa9gzrJu787Mla4JCwvj3Xff5dZbb8VgMDBw4ECvH0O4SQKloCKxkxBCNCw1x04ZVIxH2Z3Ab9EdIiR28imPkkzffPMNffv2dXn7nj170rNnT08OKYQQASQJNZGTDvzdemumIinkTjl9qGiJlG5dn4Y6SL0rMyEOUZfCRDDXNFuk5wwGA8uWLePWW2/l/PnzDB482KfHE7WQQCmoSOwkhBANT/WxUypq3HeAijhwMAHTJT9USezkU3pPdnYnSBJCiNCVBnwCZAPHgOdxfxykaGAqsBUs5ajJpa24lmCyk9iaiiSV7xiNRtv06++8847PjydqoAVKrizC7yR2EkKIhqn62Gk0cBXqRcd86iOOa/AkdvIpj5JMQggh7K0ANnlejP4Gqp+5rDZbqa+m1pGRkSxdupSMjAz++te/UlRUVC/HFZWEl7m+CCGEEMJvqo+dhqBebByNdJmrBxI7+ZQkmYQQwmtG4143uep8Aiz1Qjm+p9free655xg4cCCDBw/mX//6l7+r1PDoFNeuxOkUf9dUCCGEaPBqjp20mYqFT0ns5FOSZBJCCOGxwYMHs3btWv773/8ydOhQPv30UxRFvpjrhTT5FkIIIYLIW0CGxE7+JLGTT0mSSQghhFeYTCaefvppli9fzr59+xg0aBB3330369evp7S01N/VC10SKAkhhBBBpA9wL/AkJlO5xE7+ILGTT3k0u5wQQghRWXR0NLNnz2b27NkcO3aM5cuX8/rrr1NWVoZOp+PCCy+ka9eudOjQgcTERMxmM1FRUURERKDX69HpdCiKQnl5OeXl5Zw/f57S0lJKSkooLi6mqKiIc+fOce7cOQoKCigoKKCwsJCioiLOnz9PWVkZFosaFOj1esLDwzEYDBiNRqKiojCZTJhMJqKiojAajRiNRiIjI4mIiCAiIoLw8HDCw8NtddHpdAAoioLFYsFisVBeXk5ZWRmlpaWcP3+ekpISioqKKCwspKCggPz8fE6fPl0/T7jMkCKEEEIEkVSgPbADeBIYTHR0H4mdJHYKGZJkEkII4TMtW7Zk9uzZtr8tFgu//vorP/30Ez/++COnT58mPz+f4uJizp8/bwtwdDoder2esLAwIiIiMBgMREZG2oKdRo0a0ahRI8xmM02bNrUFPgaDwRbkaIGNFtBoQVZhYSF//PEHmZmZFBcXU1JSQklJiS3o0YKg8vJyh2brWtAUFhZmWyIiIoiMjLTVzWQyYTabadasGcnJyfXzJEugJIQQQgSZN6p9RGKneiCxk09JkkkIIUS90ev1tG7dmtatW/u7Kj6Xl5dXPweSQEkIIYQIWRI7+YDETj4lSSYhhBAimEmgJIQQQgjhOomdfEqSTEIIIUQwk0BJCCGEEMJ1Ejv5lCSZ/CrLuphRB4DzRD5wwHoLEI06oFy0h2UW2JVpTyvX7OExMuyO4Y06V5ZuPUY+kIQ6m4M3yxdCCD8LK4fwstq3Kyv3fV2EEEIIIQKdxE4+pfd3BRq2JGABnieYQE2cpAGbURMpaXieTIlGrWMq8CHQ27ok2S2eHiMVNQl0B7DJC+VVZgbLbVAwFfW5kQSTECLEyDS8QgghhBCuk9jJp0KyJVNOTg4zZ87k448/prCwkJ49e/LSSy+RlpZW434Wi4X333+fNWvWsHPnTrKzs2ndujWjR49m+vTpGI1GL9ZyAbAYNcGyCRgMTEBNDrnjLdTWOumoraIKrGWbcUwGaUknZy2PtBZLWZWW/Ir7FmsW16KH8Hi7suyTTUl267G7LbC7zcexhZR2mwR8bn0utMSWlizzRBYUmCEnDswrgOc9LE8IIQKMNPkWXhAcsZMQQgjhBRI7+VTIJZksFguDBw/mp59+YsaMGSQkJLBw4UL69u3Ljh07aN++fbX7FhYWctddd9G7d28mTZpEYmIiP/zwA48//jhff/0133zzDTqdzgu1fBI1uWSmIolygIpWTc2B7jgmhLQua/bJn5NUdAWrnDiy/7sANQlVeb0m3247LfGjsSaO9NbtqrR9K7DWHSqSStGV/nZWJ+1vLTml0c65ADXhpD1PrnZz24TaYmkTWL6BYiOUGcFUCDllYDKAYQ0wxIWyhBAiCEigJDwUHLGTEEII4SUSO/lUyCWZVq9ezffff8+qVasYMWIEACNHjiQ1NZXHH3+c5cuXV7uvwWDgu+++44orrrCtmzBhAq1atbIFS/379/ewhlrSJInqkzAF1u2otI2W/NESQfYtibT19uVULrfy8So/rrUosi/L/riV1zsrQ2Ou4bHoarZztp/29wGqJrG0+mjJsSzUJJO19ZU+DAyljh8Oegtq178CYHQ15yKEEEFEAiXhocCPnYQQQggvktjJp0IyydSsWTNuvvlm27qmTZsycuRIPvjgA0pKSoiMjHS6r8FgcAiSNDfddBOPP/44+/bt80KglEpFIqegmm2cJVM0lZM81ZVhX467KpfpLLFUW901NSWfnD3uLvukWJb1b621VwGEF0C41kVPO1Ya6uvgrAWYEIGuumSyaLAkUBIeCvzYSQghhPAiiZ18KuQG/t65cydpaWno9Y6n1rNnTwoLC8nIyKhmz+qdPHkSgISEhBq3KykpIS8vz2Fxzr6bmLNFe7ymfWsrI8nJtq4ulctJdbKkVbNU3q62OnlLNBXjOA1G7Q432G4ZbXfrrYHRhfC1LGAtahfbYdblXtTx3NKr3Us0MD4cvLKkpIRZs2aRnJxMVFQUvXr1Yv369S7t+9tvvzFy5Eji4uKIiYnhxhtv5PDhw063ffvtt7noooswGo20b9+eV199tc5lHj9+nLlz59KzZ08aN25MQkICffv25auvvnLv5BuQ4IidhBBCCC+Rgb99KuRaMmVlZXHVVVdVWZ+UpLZ4yczMpEuXLm6V+fzzzxMTE8N1111X43bPPvssc+fOdats4QvOxnoSwlu0wfrt2Q98b9+FtS7yreV/ri6lJ6HUoA66bywGw/WoyVL5/xZWPrwaN27cOFavXs2DDz5I+/btWbJkCddffz0bNmzgyiuvrHa/goIC+vXrR25uLg8//DAREREsWLCAPn36sGvXLpo0aWLb9s0332TSpEkMHz6cadOmsWXLFh544AEKCwuZNWuW22V++umnzJs3j2HDhnHnnXdSVlbG+++/z4ABA3jnnXe466673H4eQp3ETkIIIRoUacnkUwGdZLJYLJSWlrq0bWRkJDqdjqKiIqdNurXZTYqKityqwzPPPMNXX33FwoULiYuLq3HbOXPmMG3aNNvfeXl5tGjRwq3jCSECXTRqAkibzVEbrN6M2pquPerA/XWZGTELWAEshoJf1VkRC9qoSaZWR8GwHrUlXkNk321XWiE6CCuH8DLXtnPDtm3bWLFiBS+88ALTp08HYOzYsXTu3JmZM2fy/fffV7vvwoULOXDgANu2baNHjx4AXHfddXTu3JmXXnqJZ555BlC/k//2t78xePBgVq9eDajj+VgsFp588kkmTpxI48aN3SqzX79+HDt2zKEFzaRJk+jWrRuPPfZYyCeZJHYSQgghauGj2EmoArq73ObNm4mKinJp+eWXXwCIioqipKSkSlnFxcW2x1314Ycf8sgjj3D33XczefLkWrePjIwkJibGYRFChJok4BNgK2oXTC3B1B21hdEE6pZg0sq27ltshNOJcLAd5MVATB4NJ8GURUWLsbXWZZN1Sbcu2thrrtDGbNPKzbD729Uyqiszw1ofrX7udyvymI+afK9evZqwsDAmTpxoW2c0Grn77rv54YcfOH78eI379ujRw5YMAujYsSPXXHMNK1eutK3bsGEDZ8+e5d5773XYf8qUKZw7d47PP//c7TIvvvjiKl20IiMjuf766zlx4gT5+XV9zYODxE5CCCFELaS7nE8FdEumjh078u6777q0rdakOykpiaysrCqPa+uSk5NdKm/9+vWMHTuWwYMH889//tPFGgshGoZ0YAdqgqkPMAq1FZM39AH+Bwmoy9GbrV9wEVSMLaYlt0KRfRLoJFVbMNnPqunqc1BARUKpcnnRuJ8U1BJUmVS0aNNatfkhEeijJt87d+4kNTW1yo/+nj17ArBr1y6nLU4sFgu7d+9m/PjxVR7r2bMnX375Jfn5+URHR7Nz504ALrvsMoftunfvjl6vZ+fOndx+++1ulVmdkydPYjKZMJlMtZ98EJPYSQghhKiFdJfzqYBOMjVv3pxx48a5tU+3bt3YsmULFovFYQDLrVu3YjKZSE2t/Yfg1q1buemmm7jssstYuXIl4eEB/TQJIeqdNtC9L/WCo6egXYzanDc7GmL+D8K1ZMkQQnNcJi0hpLVW0n74aokl7bl359y1bTOBA1QkhNxJVFUuT6vbASoSWNqkCPXMzUCp8sDKkZGRTrtKZWVl2ZIQ9uzH6XEmOzubkpKSWvft0KEDWVlZhIWFkZiY6LCdwWCgSZMmtmO4U6YzBw8eZM2aNdxyyy2EhYU53SZUSOwkhBBC1EKSTD4V0N3l6mLEiBGcOnWKNWvW2NadOXOGVatWMXToUIdA+tChQxw6dMhh/3379jF48GBatWrF2rVr3WoiLhqqqld/hfDMW+pNy2PQaS903A/xV0H4C8BC1C55oZhgAjVJMwRIRk3gpFtvU4E3UM+9Lq3GkqzltkdNNhXgWbJQqydUJMRG17EsD7nZ5LtFixbExsbalmeffdZpsXUdp0db78q+RUVFGAwGp+UYjUaH7Vwts7LCwkJuueUWoqKieO6555xu09BJ7CSEEKJBkZl5q2yn0+mcLnWJnULuMtOIESPo3bs3d911F3v37iUhIYGFCxdSXl5eZfaSa665BoCjR48CkJ+fz7XXXssff/zBjBkzHMaCAGjbti2XX355vZyHCBbpqD9Yh9S2oRBumAAMAX2+9VJAQ5stMQl41Lp42xC8935NAp63Ln7k5tW448ePO3SBc5a4gbqP06Otd2XfqKioagepLi4udtjO1TLtlZeXM3r0aPbu3cu///1vl7t9NTQSOwkhhGhQZGbeKmUCDBgwgLFjxzqsu/TSS91+DkIuyRQWFsa6deuYMWMG//jHPygqKqJHjx4sWbKk2mb0mrNnz9oGMp09e3aVx++8804JlISdTcBmoOq0z0J4Tuse1pBpY19prZACVQZ+TQS6GSi5OrhyUlISv/32W5X1tY3TEx8fT2RkpEtj/CQlJVFeXs7p06cdusyVlpZy9uxZ23bulGlvwoQJrF27lmXLlnH11VfXeL4NmcROQgghGhQfJZmCdWZeTWpqKrfffrtb5+xMyHWXA2jcuDGLFy/mzJkznDt3jo0bN1YZVBTUq3DalTiAVq1aoShKtcuSJUvq7yREgEtH/WHpp4F+hWgQ0rC16gpoqfg1IeijJt/dunUjIyOjyhhOW7dutT3utDp6PV26dGH79u1VHtu6dStt2rSxDdCtlVF52+3bt2OxWGyPu1OmZsaMGbz77rssWLCAW2+9tdbzbegkdhJCCNFgyMy8DmXaKyoqsrUSr6uQTDIJ4XtJ+G2QXyGEsOejQGnEiBGUl5ezaNEi27qSkhLeffddevXqZZtZ7tixY+zfv7/Kvj/++KNDUuiXX37hm2++4ZZbbrGtu/rqq4mPj+eNN95w2P+NN97AZDIxePBgt8sEeOGFF3jxxRd5+OGH+etf/+rWeQshPJEOPAlMBtb6uS5CCFENH8VOrszM64w2i66zizs9e/bk0KFD5Ofn244BNc/M626ZmiVLltCoUSOioqLo1KkTy5cvd+Gsqwq57nKB6NNPP7U19TcYDERERBAeHm5b9Ho9YWFh6PV6h0G27K8EWiwWysvLqyxlZWWUlZXZ/ta2s1gc3xB6vd52nPDwcNuts/taXcLCwmx1ARzqoh1TO762nD9/3mHRtrNYLCiKYqtLWFiY7XmIjIwkIiLC9vzY31Z+zrR6lJWVUVJSQmlpKcXFxRQXF1NSUkJxcTFFRUUUFRVRUFBAbm4uOTk55OTkkJ+fT0lJCTqdDrPZTJMmTUhMTCQxMZGmTZuSnJxMSkqK7XxrJl2ZhBABIqxcnYHQle3c0KtXL2655RbmzJnD6dOnadeuHe+99x5Hjx7l7bfftm03duxYNm3aZPuMB7j33nt56623GDx4MNOnTyciIoL58+fTrFkzHnroIdt2UVFRPPnkk0yZMoVbbrmFa6+9li1btvDBBx/w9NNPEx8f73aZH3/8MTNnzqR9+/ZcdNFFfPDBBw7nNWDAAJo1a+bWcyHqn8ROwRo7zYQzu+B0IuTtBNPD0HUfcL6e/nOEEMIFbsZODWFm3iuuuIKRI0fSunVrMjMzef311xkzZgy5ublMnjzZab2rI0mmelBQUMC5c+dsX+xaAGEf4NgHE/aBuk6nswVQWhBjH+xUvq8t9gEO4BBEaQFO5UBLu2+/nX19tDL1en2VAEtbIiIiHBb7YFAL/rTytcBKe17sl5KSEtti/5xp9QgPD7cFU0aj0XarLY0aNaJRo0YkJCTQrl0722j6RqMRi8VCQUEBZ8+e5fTp05w4cYJdu3Zx4sQJDh48SK9evRgyZAi9evVymMpZCCECkg8Hr3z//fd59NFHWbp0KX/88Qddu3Zl7dq1XHVVzWPRRUdHs3HjRqZOncpTTz2FxWKhb9++LFiwgKZNmzpse++99xIREcFLL73EZ599RosWLViwYEGVFkiulvnTTz8BcODAAe64444qdduwYYMkmYKAxE7BGjt9iT7hTsj7Dg63UZNNeztB71bQ6mh9/gsJIUT13IydtNbbmscff5wnnniiyubBPDPvd99957DN+PHj6d69Ow8//DDjxo1za+ZYSTLVgzFjxrg0yKrwP0VR2Lp1K//+97959NFHmTVrFv3793exdZMQQviBD5NMRqORF154gRdeeKHabTZu3Oh0fUpKCqtWrXLpOBMmTGDChAm1budKmU888YTTwE8EF4mdgocaO73Ev/89gkcfvZBZs+bSv//d6GJGwLaecLQVbL4KjM2h+Ul/V1cIIWRm3lrqA2rLqPvuu49JkyaxY8eOGmfGq0yaaQhhR6fT0bt3b+bOncvq1avZuHEjo0ePJjs7299VE0II53w0roAQQrhCjZ3+wty5Y1j9wQ42bpzI6NHPk61fB9evh57bwFistmiiautCIYSod27GTtrMvNpSXZIpKSnJ7Zlwoe4z89rz1sy89rQWXO7+FpYkkxDViIuL4+mnn2bmzJnccsstnDhxwt9VEkKIqiTJJITwq8lQ3BROLCcupzVP31/EzJnHuOWWhzhx4ghcdjVc8T3E5EH257UXJ4QQviYz8zqdmbeyw4cPA1QZ6qA2kmQSohbdu3dn0aJFjB07llOnTvm7OkJ4yQp/V0B4iySZhBB+9aHaJS49DdYOgS8G0T0SFi1qYo2dHoKUfZCWDoZSoOpVdSGEqFcyM69Dmb///nuVc8nPz+fll18mISGB7t27u/U8yJhMQrigbdu2vPbaa4wbN45PPvmk2iaSQgSPxcAm4I3aNhSBzodjMgkhRO2yoaUJMlLVRBPAsZa0vXkNr732hF3sZAHzk0A+MkOvEMKvfBQ7BevMvK+//jqffPIJQ4cOpWXLlmRlZfHOO+9w7Ngxli5dWu1A49WRJJMQLurUqRN33nknL730Eg8//LC/qyNEHWUA99r9vQIYDNTcXFYEMEkyCSH8zVQIN0yGNaXknUghpiwc4rPpNG4cd9652C52etTfNRVCCJmZt1KZf/rTn/j+++9ZvHgxZ8+epVGjRvTs2ZN33nmHq6++2u3nQKfYp8+EV+Xl5REbG0tubq7MkBIiFEVhxIgRPPXUU1x00UVu7JllXTKBAuu6JCAN+XEvfCcDtbXSSRz/91IBM9Deej/VL7ULdb7+DrCV/9E1xDSq/ZpR3rkyYod/Ld9JIqBJ7BQC9nRWu82Fl0FaOkq/VEaM+L0OsZMQoqGR2Ck0SEsmIdyg0+l4+eWX+ctf/sLnn3+OTqdzcc981B/5Wag/+LHeT0WSTMK70lGTS/lU/K8BJKMmlkBNLiWjJjqly0LQk5ZMQohA0nkPJDSHXd0gLged7iAvv/xuHWInIYTwEYmdfEqSTEK4qUWLFlx22WWsX7+egQMHurFngXXJty7RqMkA+ZEvXLEWGOJkfYZ1yaKipZKmORVJTLP1vpZYkuRmyJBASQgRaJqfhIF6yIkD+nsQOwkhhA9I7ORTkmQSDUw+6g9xzxI706dPZ9iwYVxzzTWEhYW5uJeZiiSTlnBKB/p4VBfREGQBm4EPUf9/7EVTkUCKRk0sJdn9bUaSSiFOAiUhRCDSWyB+LVqcU7fYSQghfEBiJ5+SJFO90pIL9szONqyFr34sVq5f5R+zlY9vdrLOV/JxbKlR1x/OB1C7rYEnY9HExMRw/fXXs3r1akaNGuXCHkmo9deeM+25TUcdM0cSTaImO1D/V7T/G/vEkpmKrnD243xJC7kGQwIlIUTAqmiB637sJIQQPiKxk09JkqneaAM/O2uFYE9LQlRORlVW1wRPdYkj+2PmV7q1r4v2w1Y7rqv11fbV9nNXFmqXIPtBs7WWGu7aiXpuB6zl1m3w7fvvv59BgwYxfPhwwsNreyvZd1PSkmXa7QrUZJckBYQzWdbbNByTTKD+z2jJpVAbY8k+sWz/uSWts6qQQEkIESTci52EEMJHJHbyKfl0rzfaODxaoinabj1O/q58vzrR1jKr+7HlShmVty3AeYIJKrp8mSutc3ZO1f04rEt3Na17mfaDW/uR6a40YAEVrYq0OnZ3u7zIyEhuueUW3nnnHSZOnOjCHkk4JpqwHjsdmAw8Zq2fEPaSqGhxZ9+ST0uyhlJiCeAt1PdEOhXvE1DPVZsNrz0wwcXy7JP7nrbw0srSPje0v0/aHSMNGEy9JsAkUBJCBAn3YychhPABiZ18SpJM9SaVih9MWsJES9Q4a+FTOclTWwuoympLLkVXup9vd6vR6gmOSST7VhP2LZuwe1xrKWRfl8pluCOJisGNk1CTQnVlRv0Ba//823c/ct2kSZMYOHAgd955J5GRkbVsbZ8Q0J4j7UfqAWAYcMyt44uGQmvpVjnJFErygcVQNgMKTVBsBItenQLbWAzmAuAeYKqb5WrvtwWoySlng6dXrkcWjq1Ptc/CTBwTTNbFUm6tqw4472b9vEACJSFEEHEvdhJCCB+Q2MmnJMlUr/qgjr+jtaLRkjXtcf6DUfsRo40hVLmVUzQ1dxmrnKiyb/1QXXcT+2NW7qpixrUfaVjLTaOim1uW3fq6tCTQxppJt9bBk9YIb4DlTdBXTsQV4O4Pd71ez6RJk3juued4/PHHXdhDSzbaj9Gk/ZB1p9WZaHjq2j00WGwCVkBmMuTFQKkB4nKgTVNgq4dl56N+/rryuaE9z1nWOmktquw/R62fi6U6KGykJsTis4FSD+tZRxIoCSGCiPuxkxBCeJnETj4lSaZ61wfXB3nWrsDXZxcqZ8e0b4FU1/K8IRV43jtF6V/C/RYRzg0fPpwPPviAEydOkJKS4sIefaj6fB5wtqEQDcgQdTElqAkmY7G65O0Dsx70N6C+dwbj/oD9WtLbHX2s+xxATZTbt2iyMuSDQSvfjDq+2mg3j+MFYeWufZuHlfu8KkII4Qr3YychhPAiiZ18SpJMwgWh2HrCOwkmAJ1Ox9y5c3nkkUdYsmSJi3tpgzjXNP6VEA3NCkjIVVsF6cNQk0nXoL5ftKRPfdKSUwE+VpreAnqda9sJIUQAqFvsJIQQXiKxk0/p/V0BIULBJZdcQmJiIp999pkbe2kDGGvj7dgPCC5EKKqtS+hg4CvQbwf+B/wXWIqaFA7wRI8/aU2+XVmEECJA1C12EkIIL5DYyaekJZMQXjJ37lwGDx7MgAEDiIqKcmEP+26J0VSMgxVKM4UJYU8b66i6//FoXO9OLGzkapwQIki5HzsJIYQXSOzkU9KSSQgviYqKYsaMGcyZM8eNvVJRE01aiyYhQp0kUb1OrsYJIYJU3WInIYTwkMROPiUtmYTwouuuu45///vfrF+/ngEDBri4l5ZcyvBVtUQDVV5ezrZt29i9eze//vorv/76K7m5ueh0FVdumjRpQsuWLWnZsiWdO3emR48ehIWFeakGWvdPSSz5lFyNE0IEsbrFTkJ4Sz7wOdostP6PnUS9kNjJpyTJJISXPfnkk9x0001cfvnlmM1mF/dKpaIrkRCeycjIYMmSJfz3v//lz3/+M5dddhmXX345F154IbGxsbbtFEXh7NmzHDt2jGPHjrFu3Tr+9re/0a1bN4YNG8aVV17pEFTVLh9IR/0/1v6X1aBN+JAESkKIIFe32EkIT2QBC6y3S62x06uVYqckLrxwlgexkzYWZShOohTkJHbyKZ2iKIq/KxGq8vLyiI2NJTc3l5iYGH9XR9SjDRs2sHTpUt5++203f6RLyw9Rd0eOHOHxxx9HURQeeOAB0tLS3L6ypigKe/bsYfny5fz000+MHz+e4cOHu/B/nA9sAg5Q8X/cHpjg9nmECl9/B9jKT29DjLn21zmvoJzYtMPynSQCmsRODVfdYych3PUWauul7hw5cnul2CmfsLA43JlwpObYKd16LDMwGonxayaxU2iQMZmEcEsGrnRr69evHxdccAGffvqpm+VrV+9qm4VL1K8MAvk1KSsrY+7cuUydOpVHHnmEpUuX1rnptk6no0uXLjz77LOsWLGCjIwMbrzxRnbt2lXLnllAgd3fScgg3vUkrBzCy2pfwsr9XVMhhKhW3WMnIdzxFnCAsrJXmTvXYhc7LaRHjxTCwq7G3Rlta46d0lBnz90E9ALu8PL5iDqR2MmnpCWTD8nVuFCTgfoFARUDdlff/LWwsJAhQ4bw0Ucf0bhxYzeOk4/6Y91cY/mivuQTyK/DmTNnuOuuu7jtttsYPXq0T67+ZmVlMXXqVHr06MG0adOqOYZ9VzmoeI80XPV2Ne7nFsRE137NKC/fQmyX4/KdJAKaxE4NW91jJyFcsQnI58yZ3k5ip3RgB2qLbFBjmLp3+a8aOx0AboLiQ2AsB857fjohSGKn0CAtmYRwWTqwGHjSbllb7dYmk4nnnnuOyZMn414uV0topAMrUK+4VH8c4WuBm2A6evQoo0aN4qmnnuLWW2/1WfeCpKQk/u///g+AiRMncv68s8AoGjUYG21dGnaCqV7JDClCiBBR99hJCFf04ejRzpVip8Wwuyt8fx9sewsyPoHC11AvnrmTYJoJxENeDBQbSUoaxv/93wxAi51aA/8D42oo1anbCv+R2MmnJMkkhMusLTXKMlGvhGwCdta4R8+ePWnfvj3r1q1z81hat7ksKpJbw1AHKBQCfv31V8aPH88777zDJZdc4vPj6XQ6HnroIQYNGsTYsWOrSTQJv5BASQgRQuoeOwlRs6qx00w49iQcbQU5cer3ZMtjYCrE/TElnwdGwZkEONwGDp5F98cAHnroYKXYaQgY5kFhMeqFZOEXEjv5lCSZhHDZSSgOg2KjessB1Ga1Nc8IN3v2bObPn09+vjtj+kRT0YKmwHqsz6Fshnr8AB4fSPje2bNnueuuu3jnnXe48MIL6/XYw4cPZ8SIEUyZMkWuMgcKCZSEECGmbrGTENWrGjstgMwP1AQTQPOTcFkcGIs9OMobYC6AQhNkJkNGKhz+D8OHP1YpdpoKhlLgQ09PS9SVxE4+FZJJppycHCZOnEjTpk1p1KgR/fr1Iz093e1yzp8/T6dOndDpdLz44os+qKkIOsVGdSk1QKmW/NlR4y6NGjXiscceY86cOW4eLImKFk1AmaIetywc73Xhcv99IfyrrKyMu+66i5deeolWrVr5pQ7Dhw+nffv2vPbaa345vqhEAiXhBRI7iUBS99hJOJoJ9LfeNtyYr2rslAGFf1NbHZUaoON+SFsAfOX5wRJPq62h9Ba1ddSxlnCwhOHDn3eMncJvxSHOF/VLYiefCrkkk8ViYfDgwSxfvpz77ruP559/ntOnT9O3b18OHDhQewF2Xn31VY4dO+ajmoqgVGxUkzzaQgZqoqnmGef69OnDmTNn+OWXX9w4WJLdYq74oPPoCou9dNQZLiaj9gvfVPPmIiA8/fTTjBo1iksvvdSv9Zg+fTobN250839a+IQESsJDEjuJQFS32EkA6rhA31wNa/fCt8VweDUUX4Ea91WXbFoLDFNj3cxkawuf2mdUDgZVY6d7ocCa4InLgdQMvDojbuJpNXFlLFZbNZ1sDid/Zfr0IrvYaal3jyncI7GTT4Vckmn16tV8//33LFmyhMcff5wpU6awceNGwsLCePzxx10u5/Tp0/z9739n1qxZPqytCC5msOgdP2zKFNQv4Nq/hF966SUeeughN7sYpaImmaJBH2edTtNbgztnotb7DWurrOC6wnXu3Dm2bdvGF198wfr16/npp58oLS31d7V8KiMjg59//pkxY8b4uyrodDqeeeYZHnvsMX9XRUigJDwksZMIVHWLnRq4PZ3htfvgsxsgPU1NFp1OhOx4zp1dybZtPfnii6asX9+Rn34aQmnp46iT2bwMhV+qLW92d4UvB8KKx9QkSRCrGjulq4veoiaYOu73zYETzkDnPWr3ubJwyI5HpzzBM888aBc73eObY4vaSezkU+H+roC3rV69mmbNmnHzzTfb1jVt2pSRI0fywQcfUFJSQmRkZK3lzJ49mw4dOnD77bfLjyiBmowZDcn3QFljtWmt7UMnC7U1Uzo1zah1wQUXkJaWxtq1axk6dKiLx021Hru9epxwd2e6qEkytisohve9VKZvFRUVsWTJEv7zn/+g0+no1q0bTZo0wWKxsGHDBnbv3o3JZGLAgAGMGTMGkym4A6PKZs+ezYIFgTP4e4cOHWjRogU//PADl19+ub+r03DpFNeCIJ38SBPOSewkAlXdYqcGLDseVj+gJoli8iA5k6JGv7Pk30f4z3/z0BFGt9RomsTpsXCGDdlZ7M5Yjym6nAHXljNmDJjMBep4QXkxaqLpaCuYZrCOIRR8qsZOGUASxByAhFzAhxOZJJyBjolq4s6ihwIzHTr8JrFTIJDYyadCLsm0c+dO0tLS0OsdG2n17NmTRYsWkZGRQZcuXWosY9u2bbz33nt8++23PpsSXASb1Iq74WUQ3h8s31hXZGH7wqI9NY2XNHv2bIYMGcKAAQMwGo1uHPsAFQOMe6v/dhrwoPX+aC+V6Ttr165l/vz53HPPPSxbtoxGjRo53S43N5e1a9cyZMgQpkyZwvDhw+u5pr6xefNm2rVrV+8Dfddm0qRJPPHEExIo+ZOrLRzDJVASzknsJAJZ3WKnBurbK9WubuYC6LiftYXfMP/Z/dwzPI5l09JoVB6rfmckZ6q3AKUGcv/Qsfb7bIYM/J0pE/IZ/udjkBdD3heDiPlvb/hkGIz065nVifPYyQxcBYY01C5rPpZ4GkhUu+dZ9MABiZ0CgcROPhVy3eWysrJISqra0kNbl5mZWeP+iqJw//33M2rUKLff+CUlJeTl5TksIhDkAyvw7phDX4H+L6BPQZ39rYCKFk3VM5lMTJw4kTfeeMONY6WiJq/sus55zRAvluU7//znP/n000/597//zW233VZtggkgNjaWMWPGsG7dOn788ceQaWb/2muvMW3aNH9Xo4p27dphsVg4ffq0v6vScEmTb+EhiZ1EIKtb7NRA5cSprWd6buOfpz7m0z2H+PfLqdzW4TIaHbpEbZWUHV8xxmipAQpNxJY0Y8xF3Vk3vR8/bjTz0LNnUNoeIKbTXvXH+OE2qON3BhfnsVMqMJh6STBpElepySbruKoSOwUAiZ18KqCTTBaLheLiYpcW7UdkUVGR0ybd2pWPoqKiGo+5ZMkSfv75Z+bNm+d2fZ999lliY2NtS4sWLdwuQ/hCNGoCaAXqoIbe8gbqlxTW8rUWTTVPt3vLLbfwxRdf1Bq0O9ISTe3xXne54PDZZ5+xY8cOFi1a5FJ3DY3RaOS5556jZcuWPPvssz6soe8dPHgQk8lE8+bN/V0VpwYNGsSXX37p72o0XBIoCTsSO4lQVLfYqQGKy4Guu/ms5At2ZGay6NEUIk+0g4191YHAv79C7UqXmayO05SZrCaQdneF76/A+O0Anrt0FC2NTXn27d/hym/VMYtMhXAmoH82VlF97JRK/V9k7QPmWWBsC6j1kdjJzyR28qmA/rTYvHkzUVFRLi3azBNRUVGUlJRUKau4uNj2eHXy8vKYM2cOM2bMqFOQM2fOHHJzc23L8ePH3S5D+IoZNSnk7S+VN1CTPvmoCaZ04HMqurZVFRYWxt///nc3p3bWvhAHW+8HwyDdNSfbXHHu3DleeeUVXn/99Rq6X2ShPh/OB19/4IEH+Omnn9yeISmQfPTRR9xxxx3+rka1hgwZwkcffeTvajRcEigJOxI7iVBUt9ipAbpqM+eG/odX1h7m9Wlt0f17MP+aNp/urzxIzEcj6Lnsdl54brY61tLGvvDlQM5/cDvvPfUIQ16YSYsF0+g79WW6frGOnzY25sCF62HSP+HqbyAhuL5DAi92ehT4HzABkNjJ7yR28qmAHpOpY8eOvPvuuy5tqzXpTkpKIiur6g98bV1ycnK1Zbz44ouUlpYyatQojh49CsCJEycA+OOPPzh69CjJyckYDAan+0dGRrrV0kLUpwk+LLs9ajc5rcuctlTf4qhnz5784x//ID09nbS06gcLd5SEOlh3FrCDmgYZDwyed+t79dVXuf/++6t9z6nPRUso1alfAuHdUb/EK5KJ2ixoc+bMYeXKlR7XyR82b97Mgw8+6O9qVCs+Ph5FUTh//jwRERH+rk7Do7eA3oVxBfTB321U1E5iJxGq6hY7NTBxfXn1uXPcf+c2DPkJcKwl6b+l8BNQzrf8yKV0Vhqp3bbMBVBsJLvATHpuHF9YtznBpaw73J5nRo1lzpNPs3KtTu2CxxL/npubJHYSNZLYyacCOsnUvHlzxo0b59Y+3bp1Y8uWLVgsFocBLLdu3YrJZCI1NbXafY8dO8Yff/zBxRdfXOWxZ555hmeeeYadO3fSrVs3t+okQl0f1GRHAWrrHfuBwJ0nmnQ6Hc8//zxTpkzh448/dmOQ1PZAJrATdYypPh7WPbBt2LCBmTNnVvPoW8As2N9WHVsgvAxisiBxBBjvQm1lpmrbti16vZ4//viDxo0b10fVveb3338nLi4u4H+EtWrVimPHjtG2bVt/V6XhkUBJ2JHYSYSqusdODcnzbNhwDTNfj4fD6nu5SWQxiSVGskgikUYManoabl4DzU/C6USamQu4KiOV9081J4dwoBEGoK0pCX1uBH/80YXGjfsTDJPEaCR2ErWS2MmnArq7XF2MGDGCU6dOsWbNGtu6M2fOsGrVKoYOHerwYXPo0CEOHTpk+/uBBx7g448/dljefPNNAMaNG8fHH39M69at6+9kRJAYTUUyyT7RVH2XOaiYlnf16tVuHCsatbtcPrCZ6rqIhYLdu3dz0UUXVZntSDUZCv8KB+PVcQTS09Rld1fY2wnOrAJ6Yf/8/OlPf+Lbb7+tr+p7za5du+jRo4e/q1Gr1q1bc/DgQX9Xo2GSJt/CQxI7iWBRt9ip4di9u5iLLvodfbiitlRqfpI+HfdzAzCAtrza9DQjZz8HVyyBNoeh98fwwD8YPv1F5jUqoDO9GQT0ufAoJJ7mT91MfPvtDuAev56XuyR2ErWS2MmnArolU12MGDGC3r17c9ddd7F3714SEhJYuHAh5eXlzJ0712Hba665BsDWvDstLa1K81vtsYsvvphhw4b5uvoiaKVR0ZopCzUZlElNrZkAZs2axfXXX8+1115LTEyMi8fSyssAFqB2BQy9ZuMbNmzguuuuc/LIZChYCkfbqLOkbOupzpRiKFWvyrU5rLZsKj0Kyf2BT4A0Bg0axCuvvMLQoUPr8zQ8dvjw4aC4wtW5c2d27drFtdde6++qNDy6cHDlir5OAcp8Xh0RfCR2EsGkbrFTw7Bhw7+57hqLOlB3XA60PEaXbruYZNETZSilw+0fwMRFwHzrHknqdvcZmWjRc9HieygoNjLwsu3Q5jCD2ut55cMihg4NrklnJHYStZLYyadCLskUFhbGunXrmDFjBv/4xz8oKiqiR48eLFmyhA4dOvi7eiJkjUYdfFobXNq+NVP1X8yRkZFMnz7dzcEso62LNtB4OjCVYGrG7Irjx487+dLdBJY3IbMdHGsJezux95ur2XMihWhjMVd13E+jAjNY9NYxmg5D4kzgK9q1a2f74RNMsrKy6N69u7+rUatLLrmE999/39/VaKCa41rDZAtwwsd1EcFIYicRTOoWOzUMx4+nc+2V4RVjLiVnQqe9dDMVQqujcNtyNQFVmbEYxiXy51KDOttcu4PQ6ijtuhZydF7wdUuU2EnUTmInXwq5JBNA48aNWbx4MYsXL65xO1d+cLZq1co2xa8Q1dO6sWmtmQqAk6itmdpT0yDY1113HZ999hmbNm2iTx9Xx1jSpmPNgrI84HYIXwBsrWP9A09+fj6xsbGV1qZDgRnyYtSpd4+24smdaawALgKm58Qx3lisXpWLz1Zv4zaDYQU63ehqut4FtpycHOLj4/1djVo1adKE7Oxsf1ejgWoEhLmwXbmvKyKCmMROIpjULXYKffn5+4ltFG690FamJpoST6v3u+2CxKer3znxHbjyRTUJ1fwkJJ5G16iIIAydJHYSLpDYyZeC8GNDiEDVBzWZZEZtyeTa2EwAzz33HH//+985f/68i8dKRW0hZYaycDXxcvoInElAHRB7U11OIKCUl5dXnxQqC1e7xBWa+AwAHfv4hUP5MfxRYIZCk7oUG6HUQEULM295y8vlVa+kpKQeBq6s/X+0NjIAqz+ZqWjhWNNi9lcFhRDC69yPnUJfefkZ9GUGNfYpC1cXrXW3sRioqXXPEDUpZSpUhyAIt3YRUoLv+71+YifPSezkTxI7+ZIkmYTwmjTU5I8mn4oxmmoWGxvL5MmTmTNnjovHSgWSgWg1cLDo1YTKmQRIfwNOjHG38gEnKiqK4uLiSmv7qMGPqVANhGLyGASYUBhEB9KanaRxXI5jgKS3AGYURfHilfUJXiqndgaDgZKSEh8fJbjGWhCVmd1YhBAiNLgfO4W+qKg8iv+Igpw4dbzK04lwIgUOtoP9HYH+Nex9h9pV7lhLdb+cOJRzUShBmGSqn9hJBDeJnXwpJLvLCeE/jwJPoo6XpCWYMlBbOdVsxIgRbNiwga+//to2sGr1krDN9GFYAaZDaqIJ1CtV8V5seltqAMMoYKn3ynRBYmIiWVlZXHjhhXZr0yB8L3TuqCaQDKV8dCaB4ux4jOYCdbyBdgfVwb/bHIaWx8AwD5jKzp3pdOrUqV7PwRuaNGnCmTNnaNOmjb+rUqPy8nLCwlxpdiy8zwxEuLCdXO0XQoQW92Kn0JeYcI6sgxdw4dmO6uQoG/vy2heDWF1ixAAsXnwPLZ+6Gq7+xm6vtfD9M/w283lu+e5KDMD9zU4y/Lbl7OySR6dO+6htjNFAo8ZOv9KmTVNqGrLC3yR28ieJnXxJWjIJ4XWXYuvKBrjamglg3rx5PP300xQVFbmwdZL1WGlgLK9o4WMq9NJ0mwvUllGGUuo7wQRwxRVXsGHDBiePpIL+JXUwy1ZHoetujJdtV8caSM1Qk0utjkLKCTA8ijooOqxfv55BgwbV3wl4SUpKCidOBP6AgxkZGUExk0toaoRrV+Ia+auCQgjhM+7FTqHtiq6N2LArRx27MjOZr3d3ZXGJkU18zXo+4E8/XMFvj/1d7UbHk0AEfPUyv818npTvevADd7GJV5h3qjl/7OrG+u/PMWioEXU24+Chxk6ZeGM4AF/KyPhOYie/kdjJlyTJJITXDaHiao/rXeYAzGYzc+bM4YEHHnDxWH2Aq9RbY3FFkknrR++RJEj43gvl1E3fvn35+uuvsVicJcymQtxlaiKp437otFe9bXdQbb2UnAnGB1Bblqm+/fZbevfuXW/195aOHTuyZ88ef1ejVj/++CM9evTwdzUaKFfGFNAWIYQILe7HTqFqGH1bteLrbflYjOcAyCk0WUel/BH4iRP8xLPfXQnvjId1P8DiO+EfD3DPd1cCjwBLgMX8yFlOZMfzbcYZevcugpxFwEz/nFYdqLHTXtT4O9/f1XFC/V3w449LJHbyG4mdfEmSTEL4RBq2MZOAikHAazdgwAASExNZvXq1C1tHoyaa+oD+ajCdV5NN4d7oPz8axzGm6ldYWBj9+/fn448/rmaL5yH5dzWxlJphm26XlBNgvkl93Grjxo20a9eOqKio+qi6V1122WX88MMP/q5Grfbs2UOXLl38XY0GSgavFEI0bO7FTiEqZyNh+7rQv1sCH/+yD1IzuCEtncEA3A7cQyqX0D6iFDKT1XGajrXkTF4MiYB6kfRa4DJSacLZ+DW0a19O1G+tYHdXKHzNX2fmpiwuuyyGH37YZvs7sEwGFgObJHbyK4mdfEnGZBLCJ/qgjsVkP8tcNK72Z3/ssce47rrruOaaa2jcuHEtW6eCNYQAIDyjDvUNTA8++CBDhw6le/futGrVqtKjacBSaHWPOuh5eJl1wO9RwELbVkVFRTz55JNBG3gaDAZatGjBwYMHadeunb+rU63//e9/pKb6LynZsDUCjC5sJ1/5QojQ5V7sFILOJMC2njzYycTQ9z6i+4zdtBphYaWpkP/b2JesnOZ0TjnKwLR09eKcuQDickgoNjIrL4aonX34nD60BRb13MDkU2+x+skW8M2f1VmMWx6DVv4+SVdkYDDk06JFMw4ejCCwQqdhULoODJcCmfzvf+cldvIbiZ18SZ41IXymO2pXuQIck021J5oiIyN59tlneeCBB1i61JXxkNKoaA5sth5nE64MOB7IjEYjixcv5q677uKVV15xcrVnNJg3gfkA6nn3QRuDCSA/P59x48YxZ86coA44b7/9dt5++22effZZf1fFqf3793PBBRcQEeHKAIrC+5oDrrTSk/FKhBChy/3YKcSEl3EqPY1mZxJYfFs2d73wFa/MyKfL+JPc2nejOuOcqRCan1THsQwvg0ITxGfTKeEM/+y2C84kkJ94kHG7FzBncgyNd15D8dohGJMzYfQK/56fy9TJd26//TrefvtfARQ7rYWT/wXioXk6+/frueCCHtbY6S3qc+ZiARI7+ZZ0lxPCZ9KoSChp4zK53mS3V69etG3blo8++sjFPfqgDgTeHbV102bXqxoQ3rIuji2xLrzwQv7v//6PJ554ghkzZvDbb79V2u8N4CvgE7QEk8ViYcWKFdxwww08+OCD9O9f05S9ge/Pf/4ze/bs4fTp0/6uilOLFi1i4sSJ/q6GExlU/n8KTTJ4pRBCQF1ipxDSqhlHzySwZVtPLtw/iP974HKeePcYMz7bwm8dvoa+G+HKb6HntorxK1seU8e0vOJ7LP3/w4oLXuKGbU/z4L0G+veMZe8743nzm6vVgcKTb/f3GbqhgD//+YJqYif34nGvOTEJTqSorcIs5SxaFG+NndYCHxKYY0eFMomdfElaMgnhU2lUfJG515oJYObMmQwePJj+/fsTGxvrwh72LZcyCY4rI1nAxVBcqM5kp/8L6nhKFQPtNWvWjI8++ojvv/+eqVOnUl5eTqdOnWjVqhXJyclERUWRk5PD8ePHOXjwIHv27GHw4MGsW7cuKMdhqkyn0zF79myeeOIJFi5cWPsO9SgzM5ODBw9y2WWX+bsqdvKBxWB5SP1TfwPqDImhOnhjNGByYTuZJlkIEfrcj51CxVZ6pX3A1vQ0zmSk0iz5cj56LJ/vS7Yz9Y3TlJ//hU4XNqJVkoHk1jqijJBzBo4fKlBjp0M/M3hgBOv+bSHqXBh8dRkLd6bRtVEB3LwG9WJeMOgO7Kghdsqn4gLUkPqp0pkE2N9NbT2WcIbMk+04eDDMGjvFWzcK1RglUEns5EvSkkkIn0pFTShp3ea0qyeuXa0wmUzMnTuXOXPmuHi8aNQv1/bW46UDgda8udK5F7aFw3FwOlFttm0bv6qqK664gpUrV7JkyRJuuukm4uPjOXLkCDt27ODMmTOkpqZy//33s379eqZNmxYSCSbNn/70J8LCwvjPf/7j76rYKIrCtGnTePLJJ/1dFScK1CuG+zsCBwjt4M13V+NKSkqYNWuWLZnbq1cv1q9f79K+v/32GyNHjiQuLo6YmBhuvPFGDh8+7HTbt99+m4suugij0Uj79u159dVX661MIURocT92CiF/f4xe45aQ0HkPWPRwtBVXNGvHypV5LHl/BTeNfZ/41FEcOVnIjp/Pc6agmNQeOdz/9BnWb7uMac9YiCppDN9fAd9eyU2tDzPxudkw7BN/n5kb0lAvuiZVEzslocaan1MvM+aVGmBbT7W7YngZSpPfmTYtwRo7rYC8MmRwaX+Q2MmTMmulCJ/Jzc1VACU3N9ffVRF+tUNRlL9bl0WKovxLUZRf3Cph3Lhxyu7du93Y4xdFUSYpinKjdVnk1vF8q0XF3fxGirK1h6LsvERRfktSFKWxoigb/VSvwHfu3Dnl6quvVs6cOePvqiiKoihLlixRnnnmGX9Xo2afDvXboX39HVBR/iZF/ZypecnN3eR2fUaPHq2Eh4cr06dPV958803l8ssvV8LDw5UtW7bUuF9+fr7Svn17JTExUZk3b54yf/58pUWLFkpKSkqV/99//vOfCqAMHz5cWbRokXLHHXcogPLcc8/5vEwReCR2Et7ifuwUKn5RlN+bKMpPXRTlfxcpSlYzRVHCldpjwUWKcj5M3eejmxTlpamKsq9DPdTXt5zHTv9SFOUaRX1eOvnw6C0U5fPrFGXpGEX512BF+bWFsmTJpIrY6XyYopxtrKi/EYSiSOwUKrGTJJl8SAIlUeHviqLMsN7OVxTl/xT1wyvPpb2PHz+uDBw4UDl//rwbx1ykKMrtivrlGa4o5TpFTTj50O9N1KUo0nqsapJp+Y18Ww+3bFTU1+Rf/q6IS3bu3KkMHTpUKSkp8Ws9du3apQwePNjN/8l69nsTNbjOb6So/4+uvd9q51owWH+BUrqiKBm1Lrm56W7VZ+vWrQqgvPDCC7Z1RUVFStu2bZXLL7+8xn3nzZunAMq2bdts6/bt26eEhYUpc+bMsa0rLCxUmjRpogwePNhh/zFjxiiNGjVSsrOzfVqmCDwSOwlvqVvsJEKR89hpo5rkOX6BovzaQlFjQS8p1ynKlj8pysc3Ksr6a6zl73CMnUoiFCU3WnH3wnOok9gpNGIn6S4nRL3QmsHmU9FtLhNXBx5MSUnh+uuvZ9WqVW4cU+uqpw4wSLERCr6iou83qN3pvCgjVW0SnJ4GmduAxc63e38s6ux3gSAJ9XmY5e+KuKRbt27cfffd3HPPPZSXl/ulDvv372fatGksWbKE8PBAHdpvEySYoLkBzP2B0Xivy9woL5XjLb5p8r169WrCwsIcBnU3Go3cfffd/PDDDxw/frzGfXv06EGPHj1s6zp27Mg111zDypUrbes2bNjA2bNnuffeex32nzJlCufOnePzzz/3aZlCiNBVt9hJhCLnsVMfCH8cjMVwrCWkLwW8MEtuoQl2dVPvtzqqDrbe8hj795scY6eycIiZixqvi/onsZMvYydJMglRL9pTMdi3faLJ9dmvJk6cyOLFi8nJyXHxmGkVx9VbB60rNcBJg3XsI4A7XCzLRYmn1X786WlqUovnnW/XbRfsnQwEwlg+qaiz0pnx/vhVvkmk3XjjjQwYMICJEyfWe6IpPT2d++67j2XLlpGQkFCvx3ZPH9TBvj+xLqO9WHagBYRm1ARabYt7Yz7s3LmT1NRUYmJiHNb37NkTgF27djndz2KxsHv3bqeDwffs2ZNDhw6Rn59vOwZQZdvu3buj1+ttj/uiTCFE6HM/dhKhynns9CgkXAd6izo49+bL4WRz6j77XLpaTlwOdN4D3e4EY7GT2GkFmF5BmxVZ+IPETr6MnSTJJES9GII2CKH6gZUPnKRiIPDav8yioqKYNWsWzz9fTeKmCm0Q8FR10VvU1WXhkJmsfokW/OrmeVSWAdyhDi6ZFwPmArhqM9z3GrRxPqAcAJ32qlPnBkxrpjRgK45Jt5YelLcWGIYvB5S84447uOaaaxg7dizFxcU+O45GURTeeust5s6dy8qVK2nevLnPj+m5PqivbWjLy7O4vKjb5zksJSUlTsvNysoiKanqTJjauszMTKf7ZWdnU1JS4tK+WVlZhIWFkZiY6LCdwWCgSZMmtu18UaYQIvS5HzuJUOY8dlqqtjiKy1Fj5MNt4PCfgIvdLP0tYBi0LIA2cyAuB0V50EnslIHa0j/QZ38ObRI7+TZ2kiSTEPUmDbU1RXMquu24N+PcwIED2bdvH0eOHHHjmNYWTYZSdepUUJNCJ5tDdjyet2ZKV2eG298R3hkPa4fA/Glq17nqkixxEyHuIWCh88f95rzd/WN12H8TMBm4CbI34/XuiJXcdttt3HHHHdx0003VzhLhDX/88Qe33XYbubm5rFmzhvj4+Np3Ej5nMBho3rw5LVq0IDY2ttalRYsWmM3mKts/++yzTssvKioiMjKyynqj0Wh7vLr9AJf2LSoqwmAwOC3HaDQ6bOftMoUQDYP7sZMIZU5jp+S1kJYO7Q5CfLYaJ585hTrExFoXSs1AvZC8FMgGJlQTO2kJpq98cWrCBRI7eVamqwJ1MA0hQlQSaqJpBWpLJi3JpKm91cWLL77IjBkzWL16tYvHTAMOgP4AGA6pyaZSg9qy6UQKxH0KMQuoW5PdVOAeiJujtmRKzYCWx8BUqE7VenoJJH4OPIjjmDiBfEUxAzVZ5M4Vpk2or+nnUJANeU3VBF78Hp/U0N6gQYNo3749999/PzfffDPjx49Hp9N5peyysjLef/99PvjgA5599ll69erllXKFdxiNRo4cOUJpaanL+yiKUuX/w1nwAWoLAGdX6rSrv1FRUdXuB7i0b1RUVLX1Ly4udtjO22UKIRoO92MnEcqcxk7hZdByBWoSaBNqzHoVFReCaxrX0dprgJpip03WcgI5Bg59Ejt5VqarpCWTEPUumooWTeA4PlPt2rZtS0pKCl9//bWLx0sFLgXS1AST1qJJW461hLIZ1L3VzVQw/k3tHtd5jzreUuc9arIpPhv1C7oP3ht02deexPUEU751+zug8D04Xawml4qNkHJCfW65GFdaqXmibdu2fPbZZ2RnZ3PjjTeyefNmj8orLy/ngw8+4Prrr6e0tJR169ZJgilAGY1GYmJiXF5iY2OrrKsuUEpKSiIrq2pXXm1dcnKy0/3i4+OJjIx0ad+kpCTKy8s5ffq0w3alpaWcPXvWtp0vyhRCNBzux04i1DmPnfoAH6O2bM9GHdNxAq7EsDXHTiusZQ/xxakIN0ns5PvYSZJMQvhFNOoXjRk1AaF1mXMt0fT000/z9NNPu5GFt45No79InUXDWFyRcCoLV1s0edRt7lEI3wYdC6HzL5BaDM0HQPgnwBsE3kDJNVnqxrYrwPK4mlzKTIYCs5pca3NYTTTF5VAx4LtvhYWFMWPGDBYtWsRHH33EsGHDeP/9991q3rpr1y4eeeQRBgwYQG5uLh9++CGTJk2yNakVDUu3bt3IyMggLy/PYf3WrVttjzuj1+vp0qUL27dvr/LY1q1badOmDdHR0Q5lVN52+/btWCwW2+O+KFMI0bC4HzuJ0JZPWNi/K8VOk3n//VkUFT2Gqxdf1dhpMgMG9HESO61Fje+9OfmICGQSO6l0iqIobu0hXJaXl0dsbCy5ublVRpgXQpUOfA5kUtEstzuuJCaWLVvG8ePHmT17thvHegtYDHlRave2vBh1PKWrNqsJkphJSDNed7WEw+Hq89d1tzpzX6lBTeCZnsZfM4fk5uby0Ucf8dFHHxEREUFKSgqtWrXiwgsvpHHjxhQWFnLixAmOHDnC8ePHyc3NpW3btowdO5bu3bsTFhbml3qHkmD/Dti6dSu9e/fmhRdeYPr06YDa5Lpz5840adKE//73vwAcO3aMwsJCOnbsaNt33rx5zJ49mx9//NE2U8kvv/zCxRdfzPTp03nuuecAdQyAlJQUrrjiCv71r3/Z9r/jjjtYs2YNx48ft40B5osyReAJ9veNCGzux04i9D2JOqxDdKXY6SwpKX/QqlUSF17Ym8aN+9vFTjs4fvwAubnnaNv2ciex0ybUC6z1c6ExlAT7d4DETipJMvlQsL9JRH1ZgfplVIBtkG4XEk2KojB8+HDmzp1Lly5d3DjWYijbqI6ZlBejDgB+xSWoX4YrUFvyBFPLo0DQH44eVGfXi8uB8L7AKAJl5pDz58/z22+/ceTIEY4dO0Zubi5RUVG2xFNKSortSobwnlD4Dhg5ciQff/wxU6dOpV27drz33nts27aNr7/+mquuugqAvn37smnTJuzDifz8fC699FLy8/OZPn06ERERzJ8/n/Lycnbt2kXTpk1t2y5cuJApU6YwYsQIrr32WrZs2cL777/P008/zcMPP+zTMkXgCYX3jQhcdYudROjTJqq5By0GdoydviQ3dz9RUdGkpPSgVav+Ejv5SCh8B0jsBCjCZ3JzcxVAyc3N9XdVRMBbpCjKjYqiXKMoyiRFUeYrirKj1r2ysrKUIUOGKBaLxY1jbbQeI1xRcqMV5Zf2inKgrftVFkLUKBS+A4qKipTp06crzZs3VyIjI5UePXooX3zxhcM2ffr0UZyFE8ePH1dGjBihxMTEKGazWRkyZIhy4MABp8dZtGiR0qFDB8VgMCht27ZVFixY4PRzzRdlisASCu8bEdjqFjsJIepDKHwHSOykKNKSyYdCIRMr6tMw4ABqC6b2qK2a+lBbq6KXXnoJk8nE5MmT3TjWCnUpW6u2ZLLooWUSsLVONRdCVCXfAUK4T943oj7ULXYSQviafAeEBhn4W4iAEY068HcGarLpAOo4SjXPTDZ16lT+85//sG/fPjeONRjoA+Hd1S5epkIo21HHegshhBBCBI+6xU5CCCFcIUkmIQJGGpQpOM40pyWaqqfX63n55ZeZNWsWFovFxWNFo850MaQiyaS3WI8bjDahts7SZvEQQgghhHCubrGTEEIIV0iSSYiAMRXKwq2JpgzUZEkmFUmn6rVq1YpBgwaxYMECN46XBIxSB6k2GUEfh5qoCTYZqDP0LbYua/1bHSGEEEIEvLrFTkIIIWojSSYhAolFb13KqUguHUBNpNRs8uTJ/Pjjj/z0009uHDAVtevcYOAqgrMVkHWK2LKNYPnM35URQgghRJCoW+wkhBCiJuH+roAQQjMZjMVqkkkfZl1XgDomk5ZwSqp2b51Ox4svvsiECRNYu3YtYWFh1W7raLS1XC3BlEFtg40HnqkQPtXflQhh2v+g9v9oP05YNOr/T5L1vhBCCBEc6h47CSGEqI7MLudDMjq+qJs7qBiHyX6mue7W25q99957/Prrrzz22GNuHjcdtXteskvHCSwZYOlo7fKX7e/KhAAtqbkDOIn6f6ElmAoqbZuEmpRsjq1VWdAlKX1DvgOEcJ+8b4Q/1D12EkJ4k3wHhAZpySREwFmKOq7Q56g/6KNxbEFSc2uRsWPHctttt/HLL7/QoUMHN46bhprQynLpOIElC/TTqZihLxSSHPZjceXb3donebT12mtlRk0Smq3rzJUet9/PvpWcdqsllA6of1v2qS3rysIrunKCOki8toSXgf4G1CRodQmmYPt/EkII0ZDUPXYSQghRWUi2ZMrJyWHmzJl8/PHHFBYW0rNnT1566SXS0lxrnWGxWHjzzTd58803+eWXXzCZTFxyySUsWLCASy65xOV6SCZWeMda1ISA9gO++i5zmsOHD3P//ffzr3/9C73eB0Ov2ZINNwBTgT5u7NwL2Or9OoWUlsAxJ+szgAXA51B6EoqNUGpwTALprbPkVL61Zxv7S1+RRHK2lBoqXmtQE0qmQkg4AykX4Px1zEed7Q+815VuBfAkFUk1+0SbllCzb/XXh5oTjW8BEzyoj70ngUedPiLfASKYSOwkGjqfx05CiFrJd0BoCLkkk8Vi4c9//jM//fQTM2bMICEhgYULF3L8+HF27NhB+/btay1j3LhxLFu2jLFjx3L55Zdz7tw5du7cyZgxYxgwYIDLdZE3ifAerfucGVdb6bz66quEhYVx7733enjsDNQf9e2pSBRkwLH+0DIJ+IqG10plE+4l1lyVDvwd+FydZTC8A/Ax1b/mLeF0MWTHQ6GpIuFUmX2iqXKCqbokk0WvlgdgKFUTS912QeJpJ/WYbL21Tyql2t13hdaaKh21JZX9zIrW8aAsOY51tm9Zpd3WlFyzfz70YXb1HYz6/51K7YlcrRvhTuvtAdT3ZRpqwrXitZLvABEsJHYSQuW92EkIURfyHRAaQi7JtHLlSkaNGsWqVasYMWIEAL///jupqalcd911LF++3KX916xZw0033eRRXeRNIrwrg4ofxbWzWCxce+21fPzxx5jN5tp3qJbWMuVldQa37Hg42VxNNjQ/WYfy+oPlm5qTAA1SBurzrCVZ7LvLJQHzgCHV715ogj2dISO1ogWSxcmVWGcJpuoSTTF5MOwT6LTXuvMwOPOtWr7eog5UbywG4yWoSZY0XEvUOGOfZNLO3X7Ace1vaze/MruvrsrJJu2+bQB9++6Ezu5rCVSti6F9d0NtG+3YWn3sx6nSjpGEmmhSz12+A0SwkNhJCJX3YichRF3Id0BoCLkk08iRI9m8eTOZmZkOTV3/8pe/8MEHH5CdnU1kZGS1+/fu3RtFUdi6dSsWi4WioiIaNWpUp7rIm0R4l/Yj1/Uf7xs2bGDNmjW8+uqrHh47C5gMls8gJw7yYtQkRFwOJNwCvOFiOcMgZ6Pa7crcG7WVThC2gjrZHJo3Af7nxUIzUJ/nDCrGRrJvxbPPMTmkt4BBAe6hyvN/IgW+HAhHW0GBuWqXusoJJrskU3GxEaOhFFoeU1svxWerr3Ncjpp0issBc4F631wApvPAY8ClVIzLVFf5qEmmGpJLVW4rqy6hVPkx7W/7sauc7WNP66anHd/+b60cLdGWJN8BImhI7CREBe/FTkIId8l3QGgIuYG/d+7cSVpaWpW+1D179mTRokVkZGTQpUsXp/vm5eWxbds27r33Xh5++GFeffVVCgoKaN26Nc899xwjR46sj1MQohruJ2P69evHa6+9xokTJ0hJSfHg2NYuRfoCiNmoJjiKjWpiIvtDML8NhqtwnjTKQh1TZwWU7QCDQe1+Bagtd2ponRNw3gLuBX1jyM6COD3o/4KaZPEkuQKO3cu0ljJ2g3Hr84ETasKo2Ki2XCo0QeEPUHCler/ADKcToXCkuk14mZoMsm91ZChVk1BnEtSEYbFRTTKFl4G5AGPi6YokUstj6m3lxVygLuEdgKuAUXhnsPVo1CRNFuoA5pnW58M+qaMNgA9Vx2ayL0e7NVd63FkSKRrH/9vqrl7bJ5Xsb+0l1bC/EIFJYichKngvdhJCiIYp5JJMWVlZXHXVVVXWJyWpPwAzMzOrDZQOHTqEoiisWLGC8PBwnn/+eWJjY3nllVcYPXo0MTExDBo0qNpjl5SUUFJSYvs7Ly/Pw7MRojL3E01PPfUUc+bMYenSpR4ee7R6Ew7EbYLi4ooxe0oNwGYw9KYiUWLGcQazLAiPsX7qXIE6plGyh3WqL/nAYnUp1VlnVLOoiR3jWxD+Oer5aF3GqptlrTb2yY40Kp67DHWV/gCY0iG8wHGGN3sFdgkObZymUoPazVHrBgfqfomn1aSTobQiCWUqVBdzgfq4llCyX2zJJe2cvTmbn/1z0J6K1kxQfYJJU13iqLYEU104SzB5Up4Q/iOxkxCOvBc7CSFEwxPQSSaLxUJpaWntGwKRkZHodDqKioqcNuk2Go0AFBUVVVtGQYH6o+Xs2bP897//pVevXgDccMMNtG7dmqeeeqrGQOnZZ59l7ty5LtVXiPpy0UUXodPp2LdvHxdddJEHJUWjJpqigfZg3AzGDLCU281qZp8MsG9FYj8AdDRqgqI7aoIiGGzClugJL1OTMWCX4NHGEsq3bpdq/VtLONU18aAlSlJRE3I71DIN6epizHZMChWa1IRRsbFi0ZJM9l3jtLqHl6lL5USTlmxKOFNRvqkQ9D2oSCz1wfPWW66ef+XjBEKCR5JJIjBJ7CSE57wXOwkhRMMT0EmmzZs3069fP5e23bdvHx07diQqKsrhipimuFj9URgVFVVtGdpjrVu3tgVJAGazmaFDh/LBBx9QVlZGeLjzp23OnDlMmzbN9ndeXh4tWrRwqf5C+NKTTz7JzJkz+fDDDz0sSUs0aS11MkCfpXajc0gs2d9WHkw5Cd/MzOZL0ahJnvagB0wZdo/F4NhFylfJB62VVIZ12QnGHWBMB3NmRVLJVFjRpU5LLtnPGKclBLXFPtGkdafTEk1xOWBoTkXLM60O/k6w+Pv4QgQuiZ2E8A7vxU5CCNGwBHSSqWPHjrz77rsubas16U5KSiIrK6vK49q65OTqu+dojzVr1qzKY4mJiZw/f55z584RGxvrdP/IyMgaB8YUwl8uvPBCYmNj2bFjB927d/dCiVrCwX6q+QIcEy2Vk0yetOjxN607WHPUc648nbeWPNOSTUmoSSlvdiOzr0sq6mtwANgB4QfAnA7mDDBlViSX7JNMlQcNd5Zk0lppGUpBnwI8incG9BZC1BeJnYTwDu/HTkII0TAEdJKpefPmjBs3zq19unXrxpYtW7BYLA4DWG7duhWTyURqavU/+pKTk2nevDm//fZblccyMzMxGo1ERwfrj2TR0M2dpvILvQAAJkNJREFUO5fJkyfzySefeKlEbZBmrctbfqXHQomWQErFYYwkG/uEmn3XQF+yf/7zURNOGWDaBKYD2MbBsuRU7SoHFQkmvQX0cdY6t0c9R+022FqcCSEkdhLCe7wfOwkhROjT175JcBkxYgSnTp1izZo1tnVnzpxh1apVDB061OFq2aFDhzh06JDD/qNGjeL48eOsX7/eYf9PP/2Uq6++usrMK0IEi6SkJDp06MBXX33loyNE2y2hKgk1qTO60jKEitZd/mjxoyWcRgNvAF8B/wOy1SSS1gXOfnwlY3FFkols6/afAM8DE5AEkxANh8ROQjjnPHZaCxnWIQOEEEJUoVMURfF3JbypvLycK6+8kj179jBjxgwSEhJYuHAhx44d48cff6RDhw62bVu1agXA0aNHbetOnTrFpZdeSkFBAdOmTSM2NpZ//vOfHD9+nB9++IFLLrnE5brk5eURGxtLbm4uMTEx3jpFIeosLy+PYcOGsXbtWkwmk7+rI0RIk+8AESwkdhKielVjp3RY8TzEZ8PAZoDMQCeEt8h3QGgIuUtLYWFhrFu3jlGjRvGPf/zDFix98803DkFSdZo1a8a3335L//79WbBgAX/7299ISUlh06ZNbgVJQgSimJgY7rvvPp5//nl/V0UIIUSAkNhJiOpVjZ2s4zKmp8HycsiTH8JCCGEv5FoyBRLJxIpApCgKd911F2PGjGHAgAH+ro4QIUu+A4Rwn7xvRCByjJ06w/fDYVc3KDRBygm44nto+RW+mfBDiIZDvgNCQ0AP/C2E8D6dTscbb7zBiBEjiIqK4sorr/R3lYQQQgghAlQ6Ol1/3nhDYcSIDURFXcqVrY5BsRHOJKjjHGbHQ3wamFegjtMohBANlySZhGiAoqKi+PDDD7njjjvYt28fd999twzMKoQQQghRxd/haAxRpxP58NFz3DH3C/YNDOfua39Dby5QJ9JIPA3meKQlkxBChOCYTEII15jNZlatWkVJSQnXXnst77zzDmfPnvV3tYQQQgghAkfhl3C4DezqhnnbNawafTMluUaunXyUdzYf4Kzud7U1k99mmBVCiMAiLZmEaMDCw8O57777uPPOO1m7di2TJ0+mqKiIpKQkmjRpQqNGjTAYDLZWTuXl5ZSVlVFWVsb58+dt98vKyhwes19nsViwH/pNp9Oh1+sJCwuzLeHh4bbFfp1er7dtr9PpAHVcBEVRsFgstrK1+/bHq2m4Oa08Vxb7crRyKy/2x3S2aPva087H/tb+XKtb7Pexr1d1t87qUNutM87qa1/v6tY7q6d93Wqrhyt1c1bP6u5X9xxWrmdNz2VNr739/2RJSYlLdRZCCBHAziTAsZawtxOcSSDcVMh91xdy5+B9rN3zK5OfLKGoKJGkpGKaNHlGYieJnWqsr329JXaS2ClUycDfPiQDl4lgVF5ezqlTp8jOzubcuXOUlpZisVgAdQaiiIgIh8DG2aIFOmFhYej1eoeueFpQY7FYqgRY2v3y8nLbon3paOy/mLWyteBCO17lL297tQU1NQVZzoKDysGN/X37be33h+q/gKurX+V9nNWrujo6W195XeVyKqtc39ru11ZPV+viSt2c1bO6etQWRFdXt+pee/vXvPJtQUEBLVu2lO8AIdwgsZMILOmQfg+sux62X8ax04lEG4tpfP066LobOu2FlAsoL/+IU6f0Ejs5IbGTxE4SOzU80pJJCOEgLCyM5ORkkpOT/V0VIYJaWFiYv6sghBDCU4UmOJPAkZPNycqJIyU+m8bGYrWLnLkAGE1YWArJyUjsJISHJHYKDZJkEkIIIYQQQogq0tRBvWPySIrLISkuB2O7g9D/K+iYAuT4u4JCCBFwJMkkhBBCCCGEEM60OwiXbcdoKAWLXv27Yyzwlb9rJoQQAUmSTEIIIYQQQgjhjN4C/U2QmqHeTzkBFPq7VkIIEbAkySSEEEIIIYQQ1TEVQkd/V0IIIYKDvvZNhBBCCCGEEEIIIYSomSSZhBBCCCGEEEIIIYTHpLucEEKEvHzrbYH11my9jfZDXYQQQgghhBChSpJMQggvyACyrIsZNXmRCiT5s1INVBZqUkm7LbDeB8ckk/Y6JdktknQSQgghhBBC1J0kmYQQHsgH0q2LlmiKRk1gpAJp1iXUkhda8qZyCyF7WhKnvloNaUmldCoSfvYJJ62O+VQkl7TXKQlob3c/1F4vIYQQQgghRH2QJJMQwgMHgBXA51B6EgpNEF4GhlIwtAcGoyYs0vxaS+/IoiKRpiVyKiebNFpyKQlItruvJZ4qJ3HyK91WprUMc5awsm+tlAlsQn1dMqAsE0oN6lIWri4A4afAcFx9nYybUV+fPtbyAqH1mf055dv9rT0GFc+B/XNSeV117JOCzp7T6vbXXuvKddHYtwwTQgghhBCi4ZEkkxDCA1pLpc/VREaBWU1c6C1gMANXERoJJqhIdmjJpgPYkiCWcrDo1fMG0IehJhq0c0/GMTmitSayL7dyAgMck1JmnLcw0h7XjheNmgQzQ3gWlKImlwpN6mtk0atTMestYCy27tcHNSEYKK+VfdJMu+8syaQlg8xUPH+Vn1/7fSrf10RTdbwq7P62P7Z2HGcJRu11EEIIIYQQomGSJJMQwkMTgO5g7g8nzBCfDaYrgK/8XTEvs+9Ktgk1uZRjTdxEqMkbUFtyhZdBeIF1+1Rq7oqWYb0tsFu0xEWSXRmudmHrY12mqn+aVoBpJpwpVBNNhSb1NYpPApYSOIkle0lUJIq0BJOW4NPkU9FKDKom5DQFlfZxlhjSbu3HqcLJNpXLsU9+VbevEEIIIYQQDYckmYQQXpAGZENpV0g87e/K+FA0FUmctaBfDIbP1BZcpYaKroLh3VGTPKNdKDPVuqCWyU4qEij23djqarS6JKAu6WmQcMbDMutDqt2idQHUus9pj7e3u60tCZdvLSOTiiSRloyyfw1qYp/0y0BtMXbAWp42vpUQQgghhBANl05RFMXflQhVeXl5xMbGkpubS0xMjL+rI4TvFZrAdCfwhr9r4geT8d55e7MsuzItb0KxMYhfo3zgXtSEjif1z0JNXEHFuGF1lQ58Djxa5RH5DhDCffK+EUKIhku+A0KDtGQSQniPaSXQ3d+1qGcZqAmLZGAB3ml99LynlXJepn4CmPIJzC5yrojGO89NEq61MnNFGmpLKiGEEEIIIYQkmYQQXjTE3xXwA1e7WrnDk5Y1NZUZrMkle4E45pEvXi8hhBBCCCGCj97fFRBCCCGEEEIIIYQQwU+STEIIIYQQQgghhBDCY5JkEkIIIYQQQgghhBAekySTEEIIIYQQQgghhPCYJJmEEEIIIYQQQgghhMckySSEEEIIIYQQQgghPCZJJiGEEEIIIYQQQgjhMUkyCSGEEEIIIYQQQgiPSZJJCCGEEEIIIYQQQnhMkkxCCCGEEEIIIYQQwmMhmWTKyclh4sSJNG3alEaNGtGvXz/S09Nd3n/lypX07t2buLg4mjRpQp8+ffj88899WGMhhBBCCP+R2EkIIYQQ3hBySSaLxcLgwYNZvnw59913H88//zynT5+mb9++HDhwoNb9X331VUaNGkVCQgLPPfccjz76KLm5uQwZMoQ1a9bUwxkIIYQQQtQfiZ2EEEII4S06RVEUf1fCm1auXMmoUaNYtWoVI0aMAOD3338nNTWV6667juXLl9e4f2pqKnFxcWzduhWdTgdAXl4eF1xwAVdffTWffvqpy3XJy8sjNjaW3NxcYmJi6n5SQgjhsSwg33o/1Z8VaTDkO0AEC4mdhBBCBAL5DggNIdeSafXq1TRr1oybb77Ztq5p06aMHDmSTz/9lJKSkhr3z8vLIzEx0RYkAcTExGA2m4mKivJZvYUIbhnAZCgLB4se6AW85ec6NWT5qM9/Lyg1QE4cnLwUTlytLgVmIAKYjPraCSEaMomdhBBCCOEtIZdk2rlzJ2lpaej1jqfWs2dPCgsLycio+QdV3759+eKLL3j11Vc5evQo+/fvZ8qUKeTm5vLXv/61xn1LSkrIy8tzWIRoOD6HzGQ42RxKdwIF/q5QPcgC1gIrrMtaAiNpEw1MAPqoSb+cOPW1OdoKjrWEQhNqa6YJSKsmIYTETkIIIYTwlpBLMmVlZZGUlFRlvbYuMzOzxv3/8Y9/0LdvXx544AFat27NRRddxMqVK/n666+5/PLLa9z32WefJTY21ra0aNGi7iciRFBJBY6piYyycDD8F5jq70rVgyTgALDYuuy0rgsUz4OxGFodhbQINQFoKoTE08D/gDQ/108IEQgkdhJCCCGEt4T7uwI1sVgslJaWurRtZGQkOp2OoqIiIiMjqzxuNBoBKCoqqrEck8lEhw4dSElJYciQIeTn57NgwQJuvvlmtmzZQrt27ardd86cOUybNs32d15engRLomExlELLT2hYyYupBH5C7Q44eBYSotTuc0KIkCWxkxBCCCH8KaCTTJs3b6Zfv34ubbtv3z46duxIVFSU07EDiouLAWodG+CWW24hPDycf/3rX7Z1N954I+3bt+dvf/sbH374YbX7RkZGOg3ShGgw2hyG0t5gmEfgJ168aZP1Ng21q1qgyAeeBFZA80iIzwa9BXXMrOeBPn6tnRDC+yR2EkIIIYQ/BXSSqWPHjrz77rsubas16U5KSiIrK6vK49q65OTkass4fPgwX3zxBYsWLXJYHx8fz5VXXsl3333natWFaJjiXkDtLjbE3zWpZ4GarIlGTSaNBnMGFbPLDSGwuvUJIbxFYichhBBC+FNAJ5maN2/OuHHj3NqnW7dubNmyBYvF4jCA5datWzGZTKSmVj/I7alTpwAoLy+v8tj58+cpKytzqy5CNDwT/F0B4VQaDasLoxANl8ROQgghhPCnkBv4e8SIEZw6dYo1a9bY1p05c4ZVq1YxdOhQhybZhw4d4tChQ7a/27Vrh16v58MPP0RRFNv6EydOsGXLFi699NL6OQkhhBBCiHoisZMQQgghvCWgWzLVxYgRI+jduzd33XUXe/fuJSEhgYULF1JeXs7cuXMdtr3mmmsAOHr0KABNmzZl/PjxLF68mGuuuYabb76Z/Px8Fi5cSFFREXPmzKnv0xFCCCGE8CmJnYQQQgjhLSGXZAoLC2PdunXMmDGDf/zjHxQVFdGjRw+WLFlChw4dat3/jTfe4JJLLuHtt9+2BUY9evTg/fff56qrrvJ19YUQQggh6pXETkIIIYTwFp1i37ZZeFVeXh6xsbHk5uYSExPj7+oIIYSoR/IdIIT75H0jhBANl3wHhIaQG5NJCCGEEEIIIYQQQtQ/STIJIYQQQgghhBBCCI+F3JhMgUTriZiXl+fnmgghhKhv2me/9EoXwnUSOwkhRMMlsVNokCSTD+Xn5wPQokULP9dECCGEv+Tn5xMbG+vvaggRFCR2EkIIIbFTcJOBv33IYrGQmZlJdHQ0Op3Oa+Xm5eXRokULjh8/HrIDosk5Br9QPz+QcwwFvjw/RVHIz88nOTkZvV56pwvhComd6k7OMfiF+vmBnGMokNhJ1EZaMvmQXq8nJSXFZ+XHxMSE5AeXPTnH4Bfq5wdyjqHAV+cnV+GEcI/ETp6Tcwx+oX5+IOcYCiR2EtWR9KAQQgghhBBCCCGE8JgkmYQQQgghhBBCCCGExyTJFIQiIyN5/PHHiYyM9HdVfEbOMfiF+vmBnGMoCPXzE0KoGsJ7Xc4x+IX6+YGcYygI9fMTnpOBv4UQQgghhBBCCCGEx6QlkxBCCCGEEEIIIYTwmCSZhBBCCCGEEEIIIYTHJMkkhBBCCCGEEEIIITwmSSYhhBBCCCGEEEII4TFJMgWYr7/+mvHjx5OamorJZKJNmzbcc889ZGVlubT/mjVrGDVqFG3atMFkMtGhQwceeughcnJyqmzbqlUrdDpdlWXSpElePqsKnp4fwG+//cbIkSOJi4sjJiaGG2+8kcOHDzvd9u233+aiiy7CaDTSvn17Xn31VW+dSrWysrKYPXs2/fr1Izo6Gp1Ox8aNG13ev7rXRafT0b59e4dtq9vuueee8/JZOfL0HJ944gmn9TYajU63r+/X0dPzC/T3IXh+jhD470WAnJwcJk6cSNOmTWnUqBH9+vUjPT3dpX2re3/pdDoGDBhg2+7o0aPVbrdixQpfnZoQwkpip9oF+ue1xE61k9ipgsROviWxk/BUuL8rIBzNmjWL7OxsbrnlFtq3b8/hw4d57bXXWLt2Lbt27aJ58+Y17j9x4kSSk5O5/fbbadmyJT///DOvvfYa69atIz09naioKIftu3XrxkMPPeSwLjU11evnpfH0/AoKCujXrx+5ubk8/PDDREREsGDBAvr06cOuXbto0qSJbds333yTSZMmMXz4cKZNm8aWLVt44IEHKCwsZNasWT47x19++YV58+bRvn17unTpwg8//ODW/i+//DIFBQUO63799VceeeQRBg4cWGX7AQMGMHbsWId1l156qfsVd4On56h54403MJvNtr/DwsKqbOOP19HT8wv09yF4fo7B8F60WCwMHjyYn376iRkzZpCQkMDChQvp27cvO3bsqPLDo7KlS5dWWbd9+3ZeeeUVp+/FW2+9leuvv95h3eWXX+7ZSQghaiWxk8ROEjs5ktjJNyR2kthJuEgRAWXTpk1KeXl5lXWA8re//a3W/Tds2FBl3XvvvacAyltvveWw/sILL1QGDx7sUX3d5en5zZs3TwGUbdu22dbt27dPCQsLU+bMmWNbV1hYqDRp0qTK+Y0ZM0Zp1KiRkp2d7eGZVC8vL085e/asoiiKsmrVKgVw+rq448knn1QA5bvvvnNYDyhTpkzxqOy68PQcH3/8cQVQfv/99xq389fr6On5Bfr7UFE8P8dgeC9++OGHCqCsWrXKtu706dNKXFyccuutt9apzLvvvlvR6XTK8ePHbeuOHDmiAMoLL7zgcZ2FEO6T2KlmwfB5LbFT7SR2qiCxk8ROIrBJd7kAc9VVV6HX66usi4+PZ9++fbXu37dv3yrrbrrpJoBq9y8tLeXcuXPuV7YOPD2/1atX06NHD3r06GFb17FjR6655hpWrlxpW7dhwwbOnj3Lvffe67D/lClTOHfuHJ9//rmHZ1K96Oho4uPjvVrm8uXLad26NVdccYXTx4uKiiguLvbqMWvirXNUFIW8vDwURXH6uL9eR0/PL9Dfh+D5OQbDe3H16tU0a9aMm2++2bauadOmjBw5kk8//ZSSkhK3yispKeGjjz6iT58+pKSkON3m3LlzlJaWelRvIYR7JHaqWTB8Xkvs5DqJnSpI7OR9EjsJb5AkUxAoKCigoKCAhISEOu1/8uRJAKf7f/PNN5hMJsxmM61ateKVV17xqK514er5WSwWdu/ezWWXXVblsZ49e3Lo0CHy8/MB2LlzJ0CVbbt3745er7c9Hgx27tzJvn37uO2225w+vmTJEho1akRUVBSdOnVi+fLl9VzDumvTpg2xsbFER0dz++23c+rUKYfHQ+l1DPT3oTuC5b24c+dO0tLSqvw469mzJ4WFhWRkZLhV3rp168jJyWHMmDFOH587dy5msxmj0UiPHj348ssv61x3IYRnJHZSBcvntbdJ7BQar2Ogvw/dESzvRYmdhDfImExB4OWXX6a0tJRRo0bVaf958+YRFhbGiBEjHNZ37dqVK6+8kg4dOnD27FmWLFnCgw8+SGZmJvPmzfNG1V3i6vllZ2dTUlJCUlJSlce0dZmZmXTo0IGsrCzCwsJITEx02M5gMNCkSRMyMzO9dwI+tmzZMgCnH85XXHEFI0eOpHXr1mRmZvL6668zZswYcnNzmTx5cn1X1WWNGzfmvvvu4/LLLycyMpItW7bw+uuvs23bNrZv305MTAxASL2Ogf4+dEewvBezsrK46qqraqxjly5dXC5v2bJlREZGVnkN9Xo9AwcO5KabbuKCCy7g8OHDzJ8/n+uuu47PPvuMwYMHe3YiQgi3SeykCpbPa2+T2Ck0XsdAfx+6I1jeixI7CW+QJJMPWSwWl5v+RUZGotPpqqzfvHkzc+fOZeTIkVx99dVu12H58uW8/fbbzJw5s8pAbZ999pnD33fddRfXXXcd8+fP5/7776+2SaOmvs+vqKjIVlZl2swa2jZFRUUYDAan5RiNRtt2tfHGOXrCYrGwYsUKLr30Ui666KIqj3/33XcOf48fP57u3bvz8MMPM27cuCqDJFZ3jPo+x7/+9a8Ofw8fPpyePXsyZswYFi5cyOzZswHvvI7+fg3Bt+9DqP9zDJb3YlFRkUt1dEVeXh6ff/45119/PXFxcQ6PtWzZkv/85z8O6+644w46derEQw89JIGSEG6Q2KmCxE51I7GTxE4SO6kkdhL+It3lfGjz5s1ERUW5tPzyyy9V9t+/fz833XQTnTt3ZvHixW4ff8uWLdx9991ce+21PP3007Vur9PpmDp1KmVlZS5Nx1nf56d96TvrC6z1qde2iYqKqvZDtbi42KUAwhvn6KlNmzbx22+/VdvEtDKDwcB9991HTk4OO3bscGkff5+j5rbbbqN58+Z89dVXtnXeeB39fX6+fh9C/Z9jsLwXo6KiXKqjKz766COKi4tdfi/Gx8dz11138csvv3DixAmXjyNEQyexk8ROnpLYSWInV0jsJLGT8B1pyeRDHTt25N1333Vp28pNJ48fP87AgQOJjY1l3bp1REdHu3Xsn376iRtuuIHOnTuzevVqwsNde6lbtGgBqE06a1Pf5xcfH09kZCRZWVlVHtPWJScn245XXl7O6dOnHZqalpaWcvbsWdt2tfHkHL1h2bJl6PV6br31Vpf3cec1BP+fo70WLVo41Nsbr6M/z68+3odQ/+cYLO/FpKQkl+roimXLlhEbG8uQIUNc3sf+dXTlqqoQQmInexI71Y3EThI7uUJiJ0cSOwlvkiSTDzVv3pxx48a5vd/Zs2cZOHAgJSUlfP31125/sB06dIhBgwaRmJjIunXrMJvNLu97+PBhQJ1FoDb1fX56vZ4uXbqwffv2Ko9t3bqVNm3a2AKubt26AbB9+3auv/5623bbt2/HYrHYHq9NXc/RG7TZGPr27evWB7o7ryH49xztKYrC0aNHufTSS23rvPE6+uv86ut9CPV/jsHyXuzWrRtbtmzBYrE4DGC5detWTCYTqampLpWTlZXFhg0bGDdunNMm5NVx93UUQkjsVB2JnVwjsZPEToH6GgbLe1FiJ+EViggoBQUFSs+ePZXo6Ghl+/btNW7766+/Kvv27XNYl5WVpbRp00ZJTk5Wjhw5Uu2+Z8+eVcrKyhzWlZaWKn/6058Ug8GgZGVl1fkcauLp+T333HMKoPz444+2dfv371fCwsKUWbNm2dYVFhYq8fHxypAhQxz2v/322xWTyaScPXvWC2dTu1WrVimAsmHDBqePOztHzZo1axRAefvtt50+fvr06Srr8vLylLZt2yoJCQlKSUlJnevtjrqco7O6v/766wqgzJ8/37YuEF7HupxfoL8PK6vLOQbDe3HFihUKoKxatcq27vfff1fi4uKUUaNGOWx78OBB5eDBg07LmT9/vgIoX3/9tdPHnf0/nzhxQmncuLHStWtXD85ACOEKiZ0qBOvntT2JnSR2CsT3YWUSO0nsJKqnUxRFqd+0lqjJsGHD+PTTTxk/fjz9+vVzeMxsNjNs2DDb33379mXTpk3Yv4TdunXjp59+YubMmVVG/m/WrBkDBgwA1Klbn3rqKUaMGEHr1q3Jzs5m+fLl7Nmzh2eeeYY5c+YE5Pnl5+dz6aWXkp+fz/Tp04mIiGD+/PmUl5eza9cuh6z3woULmTJlCiNGjODaa69ly5YtvP/++zz99NM8/PDDPjk/zVNPPQXA//73P1asWMH48eNp3bo1AI888kiN56gZMWIEa9eu5dSpU8TGxlZ5/IknnuCTTz5h6NChtGzZkqysLN555x2OHTvG0qVLXe7/XFeenKPJZGLUqFF06dIFo9HIt99+y4oVK7jkkkv47rvvMJlMtm399Tp6cn6B/j70xjkGw3uxvLycK6+8kj179jBjxgwSEhJYuHAhx44d48cff6RDhw62bVu1agXA0aNHq5Rz2WWXkZWVxfHjx6tM6QvqgKOHDh3immuuITk5maNHj/Lmm2+Sn5/Pf/7zH/r27eujMxRCgMROEjtVkNhJJbGT70jsJLGTcIE/MluiehdeeKECOF0uvPBCh2379OmjVH4Jq9sXUPr06WPbbvv27crQoUOVCy64QDEYDIrZbFauvPJKZeXKlQF9foqiKMePH1dGjBihxMTEKGazWRkyZIhy4MABp8dbtGiR0qFDB8VgMCht27ZVFixYoFgsFl+cmoOaXgd71Z1jbm6uYjQalZtvvrnaY3z55ZfKgAEDlObNmysRERFKXFycMnDgwGqvGHibJ+d4zz33KJ06dVKio6OViIgIpV27dsqsWbOUvLw8p8fyx+voyfkF+vvQlXraC+b3YnZ2tnL33XcrTZo0UUwmk9KnTx+HK4iaCy+8sMpnkKKoVxgBZdq0adUeY/ny5cpVV12lNG3aVAkPD1cSEhKUm266SdmxY4c3T0UIUQ2JnWo+P0UJjs9riZ0qSOwUeO9DV+ppL5jfixI7CU9JSyYhhBBCCCGEEEII4bGqbdeEEEIIIYQQQgghhHCTJJmEEEIIIYQQQgghhMckySSEEEIIIYQQQgghPCZJJiGEEEIIIYQQQgjhMUkyCSGEEEIIIYQQQgiPSZJJCCGEEEIIIYQQQnhMkkxCCCGEEEIIIYQQwmOSZBJCCCGEEEIIIYQQHpMkkxBCCCGEEEIIIYTwmCSZhBBCCCGEEEIIIYTHJMkkhKiz559/no4dO2KxWOr92P/85z9p2bIlJSUl9X5sIYQQQoi6kNhJCBHqJMkkhKiTvLw85s2bx6xZs9Dr6/+jZNy4cZSWlvLmm2/W+7GFEEIIIdwlsZMQoiGQJJMQok7eeecdysrKuPXWW/1yfKPRyJ133sn8+fNRFMUvdRBCCCGEcJXETkKIhkCSTEKIOnn33Xe54YYbMBqNfqvDyJEj+fXXX9mwYYPf6iCEEEII4QqJnYQQDYEkmYRogIqKiujYsSMdO3akqKjItj47O5ukpCSuuOIKysvLq93/yJEj7N69m/79+/9/e/cT0vQfx3H85dRiribVQfQQNiMqlpURZRdb4LXwFEhQmUH0Z9HFhCKiYlAeMm9dnNFF6BTFIImgoCJoa/+o1GIdAnEta2ykstLfIRy/bzPn/IL9fu35OL73/Xy+n+9OL9777PPN+czhcOjAgQM5dZfLpaamJkOtublZjY2Nev78uXbv3i2bzaa1a9fK5/NJknw+n3bu3CmbzaYtW7bI7/cbxm/btk0rV67U3bt3C3p+AACAQpCdAGB+aDIBRchqterWrVt69+6dzp07l62fOHFCyWRSfX19Ki0t/e34Z8+eSZIaGhoM9XQ6rQ8fPmjz5s05Y8LhsOrr63NqyWRS+/fvl8vlksfjUSqVUmtrq27evCm3262WlhadP39e79+/V1tbW868DQ0Nevr0aUHPDwAAUAiyEwDMT9mfXgCAP2PHjh3q6OjQ1atX1dLSotHRUfX396u7u1vr1q2bc+zbt28lSWvWrDHUo9Gopqenc4LSx48fNTY2ZghK8Xhc8XhcJSUlevXqlaqrqyVJFotFbrdb3d3dCgQCstvtkqREIqHr169rcnJSS5cuzc7jcDh0+/bthX8RAAAA80B2AoD8aDIBRezixYu6f/++Dh48qHQ6raamJrnd7rzjPn/+rLKyMi1btsxQj0ajkpQTlEKhkCQZglI4HM6uYSYkScrO2dXVlQ1JklRZWSmLxZLzNpYVK1ZofHxc3759U0VFRd61AwAALBTZCQDmxt/lgCK2ZMkS9fb2KhaLKZVKyev1qqSkZMHzRSIRVVVVqaqqylAPh8OyWCxyOp2GayVp7969hmsHBwdltVrV3NxsqA8NDamurk7l5eWG+szbUcysGwAAYD7ITgAwN5pMQJF78OCBJGliYkLDw8PzGrNq1Sp9//5dqVTKUI9Go7OeKRAMBuVwOGSz2bK1cDis6upq1dTUGK4NhUJyOp2Gbd0z9V/PJZCkL1++qKKiQlardV5rBwAAMIPsBAC/R5MJKGLhcFiXLl3S4cOHtXXrVrW3tyuZTOYdt379ekk/35Tyb5FIJOdMgqmpKT169GjWgytnC1WhUCinnslkNDg4OGtQisVi2rBhQ941AwAAmEV2AoC50WQCilQmk9GhQ4dUU1OjGzduqK+vT6Ojozpz5kzesY2NjZKkly9fZmvxeFyfPn3SyMiI4dqenh4lEglt2rQpW/vx44dev36dE4gSiYRGRkZy6m/evFEmk5k1KAUCAe3atSv/AwMAAJhAdgKA/GgyAUXqypUrCgaD6u3t1fLly1VfX68LFy7I6/XK5/PNOdbhcMjpdOrhw4fZ2sw5AQMDAzp+/Lh6enrU2tqqy5cvS5L8fr9evHghSRoeHtbExMRvD7n8tT5z0OWvQcnv92tsbEz79u0r9PEBAAAKQnYCgPxoMgFFKBAIyOPx6OTJk3K5XNl6Z2entm/frqNHj+rr169zztHW1qZ79+5pfHxc0s+gVFpaqv7+fg0MDOjs2bNKJBJ6/Pix6urqFAwGswdPzoSq2baBz1aPRCKy2+2qra011O/cuaPVq1drz549BX8HAAAA80V2AoD5KZmeeb0AABQgmUzK4XDo2rVrOnLkiNrb2/XkyRMNDQ0tyv0nJydVW1urzs5OnT59elHuCQAAsFBkJwDFgJ1MABaksrJSHR0d6urq0tTUlCKRiDZu3Lho9/d6vSovL9exY8cW7Z4AAAALRXYCUAzYyQTAtOnpadntdp06dUoej+dPLwcAAOA/jewE4G/FTiYApsViMaXT6UX9NQ4AAOD/iuwE4G/FTiYAAAAAAACYxk4mAAAAAAAAmEaTCQAAAAAAAKbRZAIAAAAAAIBpNJkAAAAAAABgGk0mAAAAAAAAmEaTCQAAAAAAAKbRZAIAAAAAAIBpNJkAAAAAAABgGk0mAAAAAAAAmEaTCQAAAAAAAKbRZAIAAAAAAIBp/wCiyYqVX1H+ewAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Initial values of gap and curvature fabrication constraints.\n", - "init_fab_gap, init_fab_curve = fab_penalty_ls(mirror_param(init_rho))\n", - "\n", - "# Visualization of gap and curvature fabrication constraints values.\n", - "gap_penalty_int = fab_penalty_ls_gap(mirror_param(init_rho), beta=gap_par)\n", - "curve_penalty_int = fab_penalty_ls_curve(mirror_param(init_rho), alpha=curve_par, sharpness=4)\n", - "\n", - "fig, (ax1, ax2) = plt.subplots(1, 2, figsize=(12, 8), tight_layout=True)\n", - "yy, xx = np.meshgrid(y_phi, x_phi)\n", - "\n", - "im = ax1.imshow(\n", - " np.flipud(gap_penalty_int.T),\n", - " extent=[x_phi[0], x_phi[-1], y_phi[0], y_phi[-1]],\n", - " interpolation=\"none\",\n", - " cmap=\"gnuplot2_r\",\n", - ")\n", - "ax1.contour(xx, yy, eps_fit, [(eps_min + eps_max) / 2], colors=\"k\", linewidths=0.5)\n", - "ax1.set_title(f\"Gap Penalty = {init_fab_gap:.3f}\")\n", - "ax1.set_xlabel(r\"x ($\\mu m$)\")\n", - "ax1.set_ylabel(r\"y ($\\mu m$)\")\n", - "fig.colorbar(im, ax=ax1, shrink=0.3)\n", - "\n", - "im = ax2.imshow(\n", - " jnp.flipud(curve_penalty_int.T),\n", - " extent=[x_phi[0], x_phi[-1], y_phi[0], y_phi[-1]],\n", - " interpolation=\"none\",\n", - " cmap=\"gnuplot2_r\",\n", - ")\n", - "ax2.contour(xx, yy, eps_fit, [(eps_min + eps_max) / 2], colors=\"k\", linewidths=0.5)\n", - "ax2.set_title(f\"Curve Penalty = {init_fab_curve:.3f}\")\n", - "ax2.set_xlabel(r\"x ($\\mu m$)\")\n", - "ax2.set_ylabel(r\"y ($\\mu m$)\")\n", - "fig.colorbar(im, ax=ax2, shrink=0.3)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Running the Optimization\n", - "\n", - "The figure-of-merit used in the y-branch optimization is the power ($P_{1, 2}$) coupled into the fundamental transverse electric mode of the output waveguides. We will set mirror symmetry about the `y`-axis in the optimization, so we must include only $P_{1}$ in the figure-of-merit. As we are using a minimization strategy, the coupled power and fabrication constraints are arranged within the objective function as $|0.5 - P_{1}| + w_{f} \\times (f_{g} + f_{c})$, where $w_{f}$ is the fabrication constraint weight, whereas $f_{g}$ and $f_{c}$ are the gap and curvature penalty values." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [], - "source": [ - "# Figure of Merit (FOM) calculation.\n", - "def fom(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"Return the power at the mode index of interest.\"\"\"\n", - " output_amps1 = sim_data.output_data[0].amps\n", - " amp1 = output_amps1.sel(direction=\"+\", f=freq, mode_index=0)\n", - " eta1 = jnp.sum(jnp.abs(amp1)) ** 2\n", - " return jnp.abs(0.5 - eta1), eta1\n", - "\n", - "\n", - "# Objective function to be passed to the optimization algorithm.\n", - "def obj(\n", - " design_param, fab_const: float = 0.0, norm_gap=1.0, norm_curve=1.0, verbose: bool = False\n", - ") -> float:\n", - " param = mirror_param(design_param)\n", - " sim = make_adjoint_sim(param)\n", - " sim_data = run(sim, task_name=\"inv_des_ybranch\", verbose=verbose)\n", - " fom_val, eta1 = fom(sim_data)\n", - " fab_gap, fab_curve = fab_penalty_ls(param, norm_gap=norm_gap, norm_curve=norm_curve)\n", - " J = fom_val + fab_const * (fab_gap + fab_curve)\n", - " return J, [sim_data, eta1, fab_gap, fab_curve]\n", - "\n", - "\n", - "# Function to calculate the objective function value and its\n", - "# gradient with respect to the design parameters.\n", - "obj_grad = value_and_grad(obj, has_aux=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Optimizer initialization" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [], - "source": [ - "# where to store history\n", - "history_fname = \"./misc/y_branch_fab.pkl\"\n", - "\n", - "\n", - "def save_history(history_dict: dict) -> None:\n", - " \"\"\"Convenience function to save the history to file.\"\"\"\n", - " with open(history_fname, \"wb\") as file:\n", - " pickle.dump(history_dict, file)\n", - "\n", - "\n", - "def load_history() -> dict:\n", - " \"\"\"Convenience method to load the history from file.\"\"\"\n", - " with open(history_fname, \"rb\") as file:\n", - " history_dict = pickle.load(file)\n", - " return history_dict" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Before starting, we will look for data from a previous optimization." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loaded optimization checkpoint from file.\n", - "Found 100 iterations previously completed out of 100 total.\n", - "Optimization completed, will return results.\n" - ] - } - ], - "source": [ - "# Initialize adam optimizer with starting parameters.\n", - "optimizer = optax.adam(learning_rate=learning_rate * 8)\n", - "\n", - "try:\n", - " history_dict = load_history()\n", - " num_iters_completed = len(history_dict[\"params\"])\n", - " if num_iters_completed:\n", - " opt_state = history_dict[\"opt_states\"][-1]\n", - " params = history_dict[\"params\"][-1]\n", - " else:\n", - " params = np.array(init_rho)\n", - " opt_state = optimizer.init(params)\n", - " num_iters_completed = len(history_dict[\"params\"])\n", - " print(\"Loaded optimization checkpoint from file.\")\n", - " print(f\"Found {num_iters_completed} iterations previously completed out of {iterations} total.\")\n", - " if num_iters_completed < iterations:\n", - " print(\"Will resume optimization.\")\n", - " else:\n", - " print(\"Optimization completed, will return results.\")\n", - "\n", - "except FileNotFoundError:\n", - " params = np.array(init_rho)\n", - " opt_state = optimizer.init(params)\n", - " history_dict = dict(\n", - " values=[],\n", - " eta1=[],\n", - " penalty_gap=[],\n", - " penalty_curve=[],\n", - " params=[],\n", - " gradients=[],\n", - " opt_states=[opt_state],\n", - " data=[],\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we are ready to run the optimization!" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [], - "source": [ - "td.config.logging_level = \"ERROR\"\n", - "\n", - "iter_done = len(history_dict[\"values\"])\n", - "\n", - "if iter_done < iterations:\n", - " for i in range(iter_done, iterations):\n", - " # Compute gradient and current objective function value.\n", - " (value, data), gradient = obj_grad(\n", - " params, fab_const=0.05, norm_gap=init_fab_gap, norm_curve=init_fab_curve\n", - " )\n", - " sim_data_i, eta1, penalty_gap, penalty_curve = data\n", - "\n", - " # outputs\n", - " print(f\"Step = {i + 1}\")\n", - " print(f\"\\tobj_val = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - " print(f\"\\teta1 = {eta1:.3f}\")\n", - " print(f\"\\tpenalty gap = {penalty_gap:.3f}\")\n", - " print(f\"\\tpenalty curve = {penalty_curve:.3f}\")\n", - "\n", - " # Compute and apply updates to the optimizer based on gradient.\n", - " updates, opt_state = optimizer.update(gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # Save history.\n", - " history_dict[\"values\"].append(value)\n", - " history_dict[\"eta1\"].append(eta1)\n", - " history_dict[\"penalty_gap\"].append(penalty_gap)\n", - " history_dict[\"penalty_curve\"].append(penalty_curve)\n", - " history_dict[\"params\"].append(params)\n", - " history_dict[\"gradients\"].append(gradient)\n", - " history_dict[\"opt_states\"].append(opt_state)\n", - " # history_dict[\"data\"].append(sim_data_i) # Uncomment to store data, can create large files.\n", - " save_history(history_dict)" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [], - "source": [ - "obj_vals = np.array(history_dict[\"values\"])\n", - "eta1_vals = np.array(history_dict[\"eta1\"])\n", - "pen_gap_vals = np.array(history_dict[\"penalty_gap\"])\n", - "pen_curve_vals = np.array(history_dict[\"penalty_curve\"])\n", - "final_par = history_dict[\"params\"][-1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Optimization Results\n", - "\n", - "Below, we can see how the device response and fabrication penalty have evolved throughout the optimization. The coupling into the output waveguide improves quickly in the beginning at the expense of higher penalty values. Then, the penalty values decrease linearly after the device response achieves a near-optimal condition. This trend results from the small weight factor we have chosen for the fabrication penalty terms. " - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, 1, figsize=(6, 4))\n", - "ax.plot(obj_vals, \"ko\", label=\"objective\")\n", - "ax.plot(eta1_vals, \"bo\", label=\"p_1\")\n", - "ax.plot(pen_gap_vals, \"ro\", label=\"gap\")\n", - "ax.plot(pen_curve_vals, \"gs\", label=\"curvature\")\n", - "ax.set_xlabel(\"iterations\")\n", - "ax.set_ylabel(\"objective function\")\n", - "ax.legend()\n", - "ax.set_yscale(\"log\")\n", - "ax.set_title(f\"Final Objective Function Value: {obj_vals[-1]:.3f}\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The optimization process obtained a smooth and well-defined geometry. " - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "eps_final = get_eps(mirror_param(final_par), plot_levelset=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can also see a significant reduction in violations to the minimum feature size after the optimization, which results in a smoother structure. The optimized device has not matched the minimum feature size exactly. The minimum radius of curvature and gap size are about 30% higher and 20% lower than the reference feature size, respectively. This deviation is expected, as reported in the previous paper. In this regard, running the simulation longer, adjusting the penalty weight or compensating for the reference feature size could improve the results." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Initial values of gap and curvature fabrication constraints.\n", - "final_fab_gap, final_fab_curve = fab_penalty_ls(mirror_param(final_par))\n", - "\n", - "# Visualization of gap and curvature fabrication constraints values.\n", - "gap_penalty_int = fab_penalty_ls_gap(mirror_param(final_par), beta=gap_par)\n", - "curve_penalty_int = fab_penalty_ls_curve(mirror_param(final_par), alpha=curve_par, sharpness=4)\n", - "\n", - "fig, (ax1, ax2) = plt.subplots(1, 2, figsize=(12, 8), tight_layout=True)\n", - "yy, xx = np.meshgrid(y_phi, x_phi)\n", - "\n", - "im = ax1.imshow(\n", - " np.flipud(gap_penalty_int.T),\n", - " extent=[x_phi[0], x_phi[-1], y_phi[0], y_phi[-1]],\n", - " interpolation=\"none\",\n", - " cmap=\"gnuplot2_r\",\n", - ")\n", - "ax1.contour(xx, yy, eps_final, [(eps_min + eps_max) / 2], colors=\"k\", linewidths=0.5)\n", - "ax1.set_title(f\"Gap Penalty = {final_fab_gap:.3f}\")\n", - "ax1.set_xlabel(r\"x ($\\mu m$)\")\n", - "ax1.set_ylabel(r\"y ($\\mu m$)\")\n", - "fig.colorbar(im, ax=ax1, shrink=0.3)\n", - "\n", - "im = ax2.imshow(\n", - " jnp.flipud(curve_penalty_int.T),\n", - " extent=[x_phi[0], x_phi[-1], y_phi[0], y_phi[-1]],\n", - " interpolation=\"none\",\n", - " cmap=\"gnuplot2_r\",\n", - ")\n", - "ax2.contour(xx, yy, eps_final, [(eps_min + eps_max) / 2], colors=\"k\", linewidths=0.5)\n", - "ax2.set_title(f\"Curve Penalty = {final_fab_curve:.3f}\")\n", - "ax2.set_xlabel(r\"x ($\\mu m$)\")\n", - "ax2.set_ylabel(r\"y ($\\mu m$)\")\n", - "fig.colorbar(im, ax=ax2, shrink=0.3)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the inverse design is complete, we can visualize the field distributions and the wavelength dependent insertion loss." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
12:25:15 -03 Created task 'inv_des_final' with task_id                          \n",
-       "             'fdve-15385808-f738-4961-936a-be519d191dc1' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:15 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'inv_des_final'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-15385808-f738-4961-936a-be519d191dc1'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f73\n",
-       "             8-4961-936a-be519d191dc1'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=847048;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=408806;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=847048;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=484419;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=847048;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32m-15385808-f73\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=847048;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[32m8-4961-936a-be519d191dc1'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a2cbaadc4a5b467f984e7f91fe2f963c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:25:25 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:25 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "f0fa4fa751754ecd9bb9d63e54c53643", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:25:29 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:29 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
12:25:35 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:35 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:25:36 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:36 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "16b2c6e9029e4f28b677d8140b570a07", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:25:54 -03 early shutoff detected at 96%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:25:54 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m96\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b54a82c23ecc444c996db7defa1b1284", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:26:00 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:00 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
12:26:01 -03 View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f73\n",
-       "             8-4961-936a-be519d191dc1'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:01 -03\u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=651410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=196851;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=651410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=101968;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=651410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34m-15385808-f73\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=651410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-15385808-f738-4961-936a-be519d191dc1\u001b\\\u001b[4;34m8-4961-936a-be519d191dc1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "03270ecb4a194cf7a3d7be8eb4388857", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:26:04 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:04 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_final = make_adjoint_sim(mirror_param(final_par), unfold=True)\n", - "sim_final = sim_final.to_simulation()[0].copy(update=dict(monitors=(field_xy, fom_final_1)))\n", - "sim_data_final = web.run(sim_final, task_name=\"inv_des_final\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The resulting structure shows good performance, presenting insertion loss of only 0.1 dB near the central wavelength." - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "mode_amps = sim_data_final[\"out_1\"]\n", - "coeffs_f = mode_amps.amps.sel(direction=\"+\")\n", - "power_1 = np.abs(coeffs_f.sel(mode_index=0)) ** 2\n", - "power_1_db = -10 * np.log10(2 * power_1)\n", - "\n", - "f, ax = plt.subplots(2, 2, figsize=(12, 10), tight_layout=True)\n", - "sim_final.plot_eps(z=0, source_alpha=0, monitor_alpha=0, ax=ax[0, 1])\n", - "ax[1, 0].plot(wl_range, power_1_db, \"-k\")\n", - "ax[1, 0].set_xlabel(\"Wavelength (um)\")\n", - "ax[1, 0].set_ylabel(\"Power (dB)\")\n", - "ax[1, 0].set_ylim(0, 4)\n", - "ax[1, 0].set_xlim(wl - bw / 2, wl + bw / 2)\n", - "ax[1, 0].set_title(\"Insertion Loss\")\n", - "sim_data_final.plot_field(\"field_xy\", \"E\", \"abs^2\", z=0, ax=ax[1, 1])\n", - "ax[0, 0].plot(obj_vals, \"ko\", label=\"objective\")\n", - "ax[0, 0].plot(eta1_vals, \"bo\", label=\"p_1\")\n", - "ax[0, 0].plot(pen_gap_vals, \"ro\", label=\"gap\")\n", - "ax[0, 0].plot(pen_curve_vals, \"gs\", label=\"curvature\")\n", - "ax[0, 0].set_xlabel(\"iterations\")\n", - "ax[0, 0].set_ylabel(\"objective function\")\n", - "ax[0, 0].legend()\n", - "ax[0, 0].set_yscale(\"log\")\n", - "ax[0, 0].set_title(f\"Final Objective Function Value: {obj_vals[-1]:.3f}\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry, a `frequency` to evaluate the permittivity, and a `permittivity_threshold` to define the shape boundaries in custom mediums. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [], - "source": [ - "sim_final.to_gds_file(\n", - " fname=\"./misc/inv_des_ybranch.gds\",\n", - " z=0,\n", - " permittivity_threshold=(eps_max + eps_min) / 2,\n", - " frequency=freq,\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates how to set up and run a simple parameterized level set-based optimization of a Y-branch. In this approach, we use jax to generate a level set surface given a set of design parameters. The permittivity distribution is then obtained from the zero level set isocontour. In addition, we show how to tailor the level set function to a starting geometry, which is helpful to further optimize a device obtained by conventional design.", - "feature_image": "./img/y_branch_level_set.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, level set, y-branch, photonic integrated circuits, design optimization, shape optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.9" - }, - "title": "How to perform the inverse design of a y-branch using level set and the adjoint plugin in Tidy3D FDTD" - }, - "nbformat": 4, - "nbformat_minor": 4 -} diff --git a/AdjointPlugin11CircuitMZI.ipynb b/AdjointPlugin11CircuitMZI.ipynb deleted file mode 100644 index 337c5a44..00000000 --- a/AdjointPlugin11CircuitMZI.ipynb +++ /dev/null @@ -1,2570 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "9347ddbd-a499-412d-941e-12fd17d429ab", - "metadata": {}, - "source": [ - "# Inverse design integrated with circuit simulation\n", - "\n", - "In this tutorial, we will show how to integrate the `adjoint` plugin of `Tidy3D` with a differentiable optical circuit simulator `sax`. This allows one to model a complicated circuit composed of many connected components, each simulated independently using `Tidy3D`. Through the `adjoint` plugin and `jax`, the gradients of all of the individual components are similarly connected. This allows one to write an objective function in terms of the scattering matrix of the entire circuit and optimize this function with respect to the design parameters in each of the individual `Tidy3D` simulations.\n", - "\n", - "To demonstrate this capability, in this notebook we optimize a Mach-Zehnder Interferometer (MZI) circuit. This simplified MZI has a single input and two outputs. We wish to switch the transmitted power between the two outputs depending on a phase shift applied to a waveguide in the system. We set up our circuit to have a single splitter component that takes the input light and splits it into two waveguides, we apply the phase shift to one of these waveguides, and then add a component that combines the light from the two waveguides, mixes it together, and sends it to our two outputs. The scattering matrices of the two components are computed using `Tidy3D` simulations and the waveguide connections and phase shifter are defined using the `sax` circuit simulator. As all of the gradients are passed automatically through `jax`, we then optimize our circuit with respect to the permittivity distributions in each of the two `Tidy3D` simulations simultaneously.\n", - "\n", - "Below is a schematic of this process and some of the variable labels we use in the code.\n", - "\n", - "\"Schematic\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`. You will also need to `pip install sax`.\n", - "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", - "\n", - "\n", - "## Setup\n", - "\n", - "First we import all of the packages we need." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "5174173f-2043-4589-be2a-11fe55664b2c", - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/Users/twhughes/.pyenv/versions/3.10.9/lib/python3.10/site-packages/sax/backends/__init__.py:24: UserWarning: klujax not found. Please install klujax for better performance during circuit evaluation!\n", - " warnings.warn(\n" - ] - } - ], - "source": [ - "import functools\n", - "\n", - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pyplot as plt\n", - "import numpy as np\n", - "import sax\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda\n", - "\n", - "np.random.seed(2)" - ] - }, - { - "cell_type": "markdown", - "id": "e12e343b-d99c-431b-aeba-52affe75d492", - "metadata": {}, - "source": [ - "### Tidy3D Simulation Parameters\n", - "Then we will initialize some parameters needed for our individual component simulations.\n", - "\n", - "For this application, we model each of the `Tidy3D` components as square design regions accepting 1 or 2 inputs and transmitting to 1 or 2 outputs." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "74e7a3a6-0c05-4b4c-893d-722e46ddc0a9", - "metadata": {}, - "outputs": [], - "source": [ - "# wavelength and frequency\n", - "wavelength = 1.0\n", - "freq0 = td.C_0 / wavelength\n", - "\n", - "# resolution control\n", - "steps_per_wvl = 20\n", - "\n", - "# space between boxes and PML\n", - "buffer = 1.0 * wavelength\n", - "\n", - "# optimize region size\n", - "lz = td.inf\n", - "lx = 3.0\n", - "ly = lx\n", - "wg_width = 0.4\n", - "\n", - "# num cells\n", - "nx = 120\n", - "ny = nx\n", - "num_cells = nx * ny\n", - "\n", - "# position of source and monitor (constant for all)\n", - "source_x = -lx / 2 - buffer * 0.8\n", - "meas_x = lx / 2 + buffer * 0.8\n", - "\n", - "# total size\n", - "Lx = lx + 2 * buffer\n", - "Ly = ly + 2 * buffer\n", - "Lz = 0\n", - "\n", - "# permittivity info\n", - "eps_wg = 2.75\n", - "eps_deviation_random = 0.5\n", - "\n", - "# note, we choose the starting parameters\n", - "params0 = np.random.random((nx, ny))\n", - "\n", - "# frequency width and run time\n", - "freqw = freq0 / 10\n", - "run_time = 50 / freqw" - ] - }, - { - "cell_type": "markdown", - "id": "7bc70964-6350-49b4-93d2-c650b401086e", - "metadata": {}, - "source": [ - "Because we want to be able to model a general system of 1 or 2 inputs coupling to 1 or 2 outputs, we pre-define all of the possible waveguide configurations beforehand to make things simpler later." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "adc0c4ca-11b5-4343-9927-eb743cb990ab", - "metadata": {}, - "outputs": [], - "source": [ - "big_number = Lx * 10\n", - "\n", - "dy = (ly - 2 * wg_width) / 4 + wg_width / 2\n", - "\n", - "# all of the possible input and output waveguides\n", - "waveguide_in_center = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(-big_number / 2, 0, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - ")\n", - "\n", - "waveguide_in_top = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(-big_number / 2, +dy, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - ")\n", - "\n", - "waveguide_in_bot = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(-big_number / 2, -dy, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - ")\n", - "\n", - "waveguide_out_center = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(+big_number / 2, 0, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - " name=\"center\",\n", - ")\n", - "\n", - "waveguide_out_top = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(+big_number / 2, +dy, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - " name=\"top\",\n", - ")\n", - "\n", - "waveguide_out_bot = td.Structure(\n", - " geometry=td.Box(\n", - " size=(big_number, wg_width, lz),\n", - " center=(+big_number / 2, -dy, 0),\n", - " ),\n", - " medium=td.Medium(permittivity=eps_wg),\n", - " name=\"bot\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "36041aff-5ef6-49fd-81bf-622ab8bb21b2", - "metadata": {}, - "source": [ - "We also define some information about our mode source and monitor geometries." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "c8ce4993-f813-48f2-baea-abf155c68bfb", - "metadata": {}, - "outputs": [], - "source": [ - "# the source and measurement plane size\n", - "mode_size = (0, wg_width * 3, lz)\n", - "\n", - "# source plane centered at y=0\n", - "source_plane_base = td.Box(\n", - " center=[source_x, 0, 0],\n", - " size=mode_size,\n", - ")\n", - "\n", - "\n", - "def get_source_plane(waveguide: td.Structure) -> td.Box:\n", - " \"\"\"SOurce plane with y position moved to cover a specific waveguide\"\"\"\n", - " return source_plane_base.updated_copy(center=(source_x, waveguide.geometry.center[1], 0))\n", - "\n", - "\n", - "measure_plane = td.Box(\n", - " center=[meas_x, 0, 0],\n", - " size=mode_size,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "082b7c7a-3f90-4231-973b-a88f055a7edc", - "metadata": {}, - "source": [ - "### Design Parameterization\n", - "As in many of the other `adjoint` demos, now we define our design region structure using a `JaxCustomMedium` generated as a function of our design parameters. We will apply filtering and projection to create smooth features. For more details, we refer the reader to our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/)." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "ab6c3499-4221-4c87-9aca-43f3f7058d5c", - "metadata": {}, - "outputs": [], - "source": [ - "from typing import List\n", - "\n", - "from tidy3d.plugins.adjoint.utils.filter import ConicFilter\n", - "\n", - "radius = 0.120\n", - "beta = 50\n", - "design_region_dl = float(lx) / nx\n", - "conic_filter = ConicFilter(radius=radius, design_region_dl=design_region_dl)\n", - "\n", - "\n", - "def tanh_projection(x, beta, eta=0.5):\n", - " tanhbn = jnp.tanh(beta * eta)\n", - " num = tanhbn + jnp.tanh(beta * (x - eta))\n", - " den = tanhbn + jnp.tanh(beta * (1 - eta))\n", - " return num / den\n", - "\n", - "\n", - "def filter_project(x, beta, eta=0.5):\n", - " x = conic_filter.evaluate(x)\n", - " return tanh_projection(x, beta=beta, eta=eta)\n", - "\n", - "\n", - "def pre_process(params, beta):\n", - " \"\"\"Get the permittivity values (1, eps_wg) array as a function of the parameters (0,1)\"\"\"\n", - " params1 = filter_project(params, beta=beta)\n", - " params2 = filter_project(params1, beta=beta)\n", - " return params2\n", - "\n", - "\n", - "def get_eps(params, beta):\n", - " params = pre_process(params, beta=beta)\n", - " eps_min = 1.0001\n", - " eps_values = eps_min + (eps_wg - eps_min) * params\n", - " return eps_values\n", - "\n", - "\n", - "def make_input_structures(params, beta) -> List[tda.JaxStructure]:\n", - " size_box_x = float(lx) / nx\n", - " size_box_y = float(ly) / ny\n", - "\n", - " x0_min = -lx / 2 + size_box_x / 2\n", - " y0_min = -ly / 2 + size_box_y / 2\n", - "\n", - " coords_x = [x0_min + index_x * size_box_x - 1e-5 for index_x in range(nx)]\n", - " coords_y = [y0_min + index_y * size_box_y - 1e-5 for index_y in range(ny)]\n", - "\n", - " coords = dict(x=coords_x, y=coords_y, z=[0], f=[freq0])\n", - "\n", - " eps_boxes = get_eps(params, beta=beta).reshape((nx, ny, 1, 1))\n", - "\n", - " field_components = {\n", - " f\"eps_{dim}{dim}\": tda.JaxDataArray(values=eps_boxes, coords=coords) for dim in \"xyz\"\n", - " }\n", - " eps_dataset = tda.JaxPermittivityDataset(**field_components)\n", - " custom_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset)\n", - " box = tda.JaxBox(center=(0, 0, 0), size=(lx, ly, lz))\n", - " custom_structure = tda.JaxStructure(geometry=box, medium=custom_medium)\n", - " return [custom_structure]" - ] - }, - { - "cell_type": "markdown", - "id": "fa953076-37b3-4eef-9efc-c8a088aba9ce", - "metadata": {}, - "source": [ - "### Base Simulation\n", - "Next, we write a \"base\" simulation (without sources or monitors) as a function of our input parameters. We also accept the `shape` of our component, which specifies the number of inputs and outputs. This determines which waveguides we add to our simulation." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "1b194580-0ba3-4840-8f88-9883decf9250", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim_base(params, beta, shape) -> tda.JaxSimulation:\n", - " input_structures = make_input_structures(params, beta=beta)\n", - "\n", - " num_wg_in, num_wg_out = shape\n", - " if num_wg_in == 1:\n", - " wgs_in = [waveguide_in_center]\n", - " else:\n", - " wgs_in = [waveguide_in_top, waveguide_in_bot]\n", - "\n", - " if num_wg_out == 1:\n", - " wgs_out = [waveguide_out_center]\n", - " else:\n", - " wgs_out = [waveguide_out_top, waveguide_out_bot]\n", - "\n", - " return tda.JaxSimulation(\n", - " size=[Lx, Ly, Lz],\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=steps_per_wvl, wavelength=wavelength),\n", - " structures=wgs_in + wgs_out,\n", - " input_structures=input_structures,\n", - " sources=[],\n", - " monitors=[],\n", - " output_monitors=[],\n", - " run_time=run_time,\n", - " subpixel=True,\n", - " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=False),\n", - " shutoff=1e-8,\n", - " courant=0.9,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "21c2c265-cdf2-4e60-acfc-347db7030311", - "metadata": {}, - "source": [ - "Let's make a base simulation for a few different shapes and plot them to make sure they work properly." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "2fe61cf7-f0a6-4124-a764-1bff5e502781", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, ((ax1, ax2), (ax3, ax4)) = f, (axtop, axbot) = f, axes = plt.subplots(\n", - " 2, 2, tight_layout=True, figsize=(10, 8)\n", - ")\n", - "\n", - "for num_in in (1, 2):\n", - " for num_out in (1, 2):\n", - " ax = axes[num_in - 1, num_out - 1]\n", - " shape = (num_in, num_out)\n", - " sim = make_sim_base(params0, beta=5.0, shape=shape)\n", - " _ = sim.plot_eps(z=0, ax=ax)\n", - " ax.set_title(f\"sim for shape={shape}\")\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "bc854635-4414-4994-a8ee-4d89e11710d0", - "metadata": {}, - "source": [ - "### Mode Solver\n", - "Next, we'll run the mode solver on one of these waveguides to make sure we inject and measure the desired waveguide modes in our system." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "0d796c52-86f0-4f1f-a32c-5174339b8dbd", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
[09:51:22] Mode solver created with                                             \n",
-       "           task_id='fdve-c8eaa444-395e-4f6b-800e-f84df8599f86v1',               \n",
-       "           solver_id='mo-b647dd3c-92f7-4d74-861f-6d3b2acf472f'.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[09:51:22]\u001b[0m\u001b[2;36m \u001b[0mMode solver created with \n", - "\u001b[2;36m \u001b[0m\u001b[33mtask_id\u001b[0m=\u001b[32m'fdve-c8eaa444-395e-4f6b-800e-f84df8599f86v1'\u001b[0m, \n", - "\u001b[2;36m \u001b[0m\u001b[33msolver_id\u001b[0m=\u001b[32m'mo-b647dd3c-92f7-4d74-861f-6d3b2acf472f'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ead7be34e9954115acfd6309938c9cf2", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "32199a97f72344a9b7dd6e1133e57bb6", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[09:51:27] Mode solver status: queued                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[09:51:27]\u001b[0m\u001b[2;36m \u001b[0mMode solver status: queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[09:51:29] Mode solver status: running                                          \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[09:51:29]\u001b[0m\u001b[2;36m \u001b[0mMode solver status: running \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[09:51:40] Mode solver status: success                                          \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[09:51:40]\u001b[0m\u001b[2;36m \u001b[0mMode solver status: success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "24bccea4c70f46d78e6105e3ee6134b1", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "from tidy3d.plugins.mode import ModeSolver\n", - "from tidy3d.plugins.mode.web import run as run_mode_solver\n", - "\n", - "num_modes = 4\n", - "mode_spec = td.ModeSpec(num_modes=num_modes)\n", - "\n", - "sim_start = make_sim_base(params0, beta=5.0, shape=(1, 1))\n", - "\n", - "mode_solver = ModeSolver(\n", - " simulation=sim_start.to_simulation()[0],\n", - " plane=get_source_plane(sim_start.structures[0]),\n", - " mode_spec=td.ModeSpec(num_modes=num_modes),\n", - " freqs=[freq0],\n", - ")\n", - "modes = run_mode_solver(mode_solver, reduce_simulation=True)" - ] - }, - { - "cell_type": "markdown", - "id": "10645822-d7d0-470c-9fe5-dce6a7b68aa8", - "metadata": {}, - "source": [ - "Let's plot the modes." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "5d44083d-8bfe-409d-a36f-72ffef9a3ec6", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Effective index of computed modes: [[1.4718767 1.3555466 1.007765 0.9316404]]\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "print(\"Effective index of computed modes: \", np.array(modes.n_eff))\n", - "\n", - "fig, axs = plt.subplots(num_modes, 2, figsize=(10, 14), tight_layout=True)\n", - "for mode_ind in range(num_modes):\n", - " for field_ind, field_name in enumerate((\"Ey\", \"Ez\")):\n", - " field = modes.field_components[field_name].sel(mode_index=mode_ind)\n", - " ax = axs[mode_ind, field_ind]\n", - " field.real.plot(ax=ax)\n", - " ax.set_title(f\"index={mode_ind}, {field_name}(y)\")" - ] - }, - { - "cell_type": "markdown", - "id": "f47fa88a-1fb1-4673-b780-0411f4ba33f6", - "metadata": {}, - "source": [ - "We wish to inject the fundamental `Ez`-polarized mode, which is given by `mode_index=0` above. Thus, we make a variable to store this and re-set the `ModeSpec.num_modes` to account for this `index` without being too high, which could waste computation." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "f373bb86-d5d4-4dd8-a8c7-18438604f341", - "metadata": {}, - "outputs": [], - "source": [ - "mode_index = 0\n", - "num_modes = mode_index + 1\n", - "\n", - "mode_spec = td.ModeSpec(num_modes=num_modes)" - ] - }, - { - "cell_type": "markdown", - "id": "904782d2-8f9b-4631-b49a-52e4a8280caf", - "metadata": {}, - "source": [ - "### Sources and Monitors\n", - "\n", - "Next we will define our input sources and output monitors for this component. We'll write these as functions of the input and output waveguides so the process of generating them is more general." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "48a9797b-40fa-4e27-95da-94d4f3e447a2", - "metadata": {}, - "outputs": [], - "source": [ - "def make_source(waveguide):\n", - " # source seeding the simulation\n", - " return td.ModeSource(\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=freqw),\n", - " center=[source_x, waveguide.geometry.center[1], 0],\n", - " size=mode_size,\n", - " mode_index=mode_index,\n", - " mode_spec=mode_spec,\n", - " direction=\"+\",\n", - " )\n", - "\n", - "\n", - "def make_output_monitors(waveguides):\n", - " monitors = []\n", - "\n", - " for waveguide in waveguides:\n", - " # monitor where we compute the objective function from\n", - " measurement_monitor = td.ModeMonitor(\n", - " center=[meas_x, waveguide.geometry.center[1], 0],\n", - " size=mode_size,\n", - " freqs=[freq0],\n", - " mode_spec=mode_spec,\n", - " name=waveguide.name,\n", - " )\n", - " monitors.append(measurement_monitor)\n", - "\n", - " return monitors" - ] - }, - { - "cell_type": "markdown", - "id": "545adc31-1e46-4b74-8dba-89df81934a2e", - "metadata": {}, - "source": [ - "### Final Simulation\n", - "\n", - "Finally, we write a function to generate a component simulation based on the design parameters, projection strength, shape (inputs x outputs), and the index of the source we wish to inject." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "4418e6ff-ec6f-4dd3-8d3c-81ce097d0847", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim(params, beta, shape, source_index: int):\n", - " sim = make_sim_base(params, beta=beta, shape=shape)\n", - " num_wgs_in, num_wgs_out = shape\n", - "\n", - " wg_in = sim.structures[source_index]\n", - " forward_source_in = make_source(wg_in)\n", - "\n", - " wgs_out = list(sim.structures)[int(num_wgs_in) :]\n", - " output_monitors = make_output_monitors(wgs_out)\n", - "\n", - " return sim.updated_copy(sources=[forward_source_in], output_monitors=output_monitors)" - ] - }, - { - "cell_type": "markdown", - "id": "6e6b5b94-78ad-45f8-a226-f0d22814d2cf", - "metadata": {}, - "source": [ - "Let's generate a simulation and plot it with the sources and monitors to make sure it works properly." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "5769dea3-d601-4560-b0dc-eae4acece8a2", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = make_sim(params0, shape=(2, 1), beta=1, source_index=0).plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "225a595b-753c-4e6d-bf1c-85442d11fd40", - "metadata": {}, - "source": [ - "## Defining Circuit\n", - "\n", - "With our function to generate the component simulations, now we can start focusing on combining these components together into a circuit using `sax`. We highly recommend referring to the `sax` [documentation](https://flaport.github.io/sax/) for any additional information, but will give a brief tutorial of the tool through the next few cells.\n", - "\n", - "### Components\n", - "\n", - "In `sax`, the individual \"nodes\" in the circuit are defined as functions that return the scattering matrix of that component as a dictionary. In our case, our individual components are modelled as `Tidy3D` simulations. Therefore, we will write our component function to accept the design parameters and run one `Tidy3D` simulation per input source to construct the scattering matrix of the system." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "501677ea-f35e-421a-bffb-48a353c71ad6", - "metadata": {}, - "outputs": [], - "source": [ - "def component(params=params0, beta=5, shape=(2, 2)):\n", - " num_in, num_out = shape\n", - " num_in = int(num_in)\n", - " num_out = int(num_out)\n", - "\n", - " def get_S_column(sim_data):\n", - " \"\"\"Compute a column of the scattering matrix for a single dataset.\"\"\"\n", - " outputs = []\n", - " for out_mnt in sim_data.simulation.output_monitors:\n", - " amps = sim_data[out_mnt.name].amps\n", - " amp = jnp.sum(amps.sel(mode_index=mode_index, direction=\"+\", f=freq0))\n", - " outputs.append(amp)\n", - " return outputs\n", - "\n", - " sims = [\n", - " make_sim(params, shape=shape, beta=beta, source_index=source_index)\n", - " for source_index in range(num_in)\n", - " ]\n", - " sim_datas = tda.web.run_async(sims, verbose=False, path_dir=\"data\")\n", - "\n", - " s_columns = [get_S_column(sim_data) for sim_data in sim_datas]\n", - "\n", - " # assemble the scattering matrix\n", - " s_dict = {}\n", - " for index_in in range(num_in):\n", - " label_in = \"in\" + str(index_in)\n", - " s_col = s_columns[index_in]\n", - " for index_out in range(num_out):\n", - " label_out = \"out\" + str(index_out)\n", - " s_element = s_col[index_out]\n", - " s_dict[(label_in, label_out)] = s_element\n", - "\n", - " return sax.reciprocal(s_dict)" - ] - }, - { - "cell_type": "markdown", - "id": "ac095a26-fab9-41c0-b387-942b1e3f353e", - "metadata": {}, - "source": [ - "> Note: these component functions must only contain keyword arguments (like `x=1`) with default values. So we define `params=params0` and `beta=5` as defaults for now, but will show how to pass our own values later.\n", - "\n", - "Let's test this out by calling this function with some example inputs and visualizing the s-matrix.\n", - "\n", - "We see that it returns a dictionary where the keys are tuples mapping the names of our input waveguide to our output waveguide." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "313ee4b3-b4d4-4ae8-b7c9-fbee7c5b1c2c", - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "{('in0', 'out0'): Array(0.38201833-0.0332941j, dtype=complex64),\n", - " ('in0', 'out1'): Array(0.40652457-0.05268222j, dtype=complex64),\n", - " ('out0', 'in0'): Array(0.38201833-0.0332941j, dtype=complex64),\n", - " ('out1', 'in0'): Array(0.40652457-0.05268222j, dtype=complex64)}" - ] - }, - "execution_count": 15, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "component_sdict = component(params0, beta=1, shape=(1, 2))\n", - "component_sdict" - ] - }, - { - "cell_type": "markdown", - "id": "a0749bdb-bad1-4706-a9ba-c8a5ffb966d3", - "metadata": {}, - "source": [ - "Next, we define a more simple component function to model our phase shifter. This component simply takes the phase value `phi` and adds it to the connection." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "19cec305-3425-4eec-9e18-7c67e2727c93", - "metadata": {}, - "outputs": [], - "source": [ - "def phase_shifter(phi: float = 0.0):\n", - " phase_added = jnp.exp(1j * phi)\n", - " s_dict = {(\"in\", \"out\"): phase_added}\n", - " return sax.reciprocal(s_dict)" - ] - }, - { - "cell_type": "markdown", - "id": "b019d8f3-d714-46d6-892d-bbb78acdca2e", - "metadata": {}, - "source": [ - "### Circuit\n", - "\n", - "Next, we need to combine these components together into a circuit. We do this through `sax.circuit`, which lets us define our \"instances\" (these component functions defined earlier), the \"connections\" between each of these instances, and then the \"ports\" for the entire circuit.\n", - "\n", - "We wish to create a (1->2) component, with one output connected to our phase shifter, and then combine everything in a (2->2) component. We define these components and connections below and then specify the ports for the entire S-matrix, which is a (1->2) system." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "ecca500d-b445-488f-bed8-77a1adf04632", - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "._circuit(*, splitter={'params': Array([[0.4359949 , 0.02592623, 0.5496625 , ..., 0.17671216, 0.59125733,\n", - " 0.48926616],\n", - " [0.54790777, 0.69952065, 0.24581116, ..., 0.6424524 , 0.38690034,\n", - " 0.85511965],\n", - " [0.3807926 , 0.17830983, 0.7816594 , ..., 0.4921191 , 0.9379131 ,\n", - " 0.13442676],\n", - " ...,\n", - " [0.35449517, 0.7365258 , 0.73508275, ..., 0.62516195, 0.26062906,\n", - " 0.5743313 ],\n", - " [0.87019104, 0.9364767 , 0.56900996, ..., 0.47169012, 0.08907937,\n", - " 0.9284895 ],\n", - " [0.25833175, 0.5660962 , 0.85214543, ..., 0.31971204, 0.79901004,\n", - " 0.170014 ]], dtype=float32), 'beta': Array(5., dtype=float32), 'shape': Array([1., 2.], dtype=float32)}, phase_shifter={'phi': Array(0., dtype=float32)}, combiner={'params': Array([[0.4359949 , 0.02592623, 0.5496625 , ..., 0.17671216, 0.59125733,\n", - " 0.48926616],\n", - " [0.54790777, 0.69952065, 0.24581116, ..., 0.6424524 , 0.38690034,\n", - " 0.85511965],\n", - " [0.3807926 , 0.17830983, 0.7816594 , ..., 0.4921191 , 0.9379131 ,\n", - " 0.13442676],\n", - " ...,\n", - " [0.35449517, 0.7365258 , 0.73508275, ..., 0.62516195, 0.26062906,\n", - " 0.5743313 ],\n", - " [0.87019104, 0.9364767 , 0.56900996, ..., 0.47169012, 0.08907937,\n", - " 0.9284895 ],\n", - " [0.25833175, 0.5660962 , 0.85214543, ..., 0.31971204, 0.79901004,\n", - " 0.170014 ]], dtype=float32), 'beta': Array(5., dtype=float32), 'shape': Array([2., 2.], dtype=float32)}) -> 'SType'>" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "def component1x2(params=params0, beta=1.0):\n", - " return component(params=params, beta=beta, shape=(1, 2))\n", - "\n", - "\n", - "def component2x2(params=params0, beta=1.0):\n", - " return component(params=params, beta=beta, shape=(2, 2))\n", - "\n", - "\n", - "circuit_fn, _ = sax.circuit(\n", - " netlist={\n", - " \"instances\": {\n", - " \"splitter\": component1x2,\n", - " \"phase_shifter\": phase_shifter,\n", - " \"combiner\": component2x2,\n", - " },\n", - " \"connections\": {\n", - " \"splitter,out0\": \"phase_shifter,in\",\n", - " \"phase_shifter,out\": \"combiner,in0\",\n", - " \"splitter,out1\": \"combiner,in1\",\n", - " },\n", - " \"ports\": {\n", - " \"in\": \"splitter,in0\",\n", - " \"out0\": \"combiner,out0\",\n", - " \"out1\": \"combiner,out1\",\n", - " },\n", - " }\n", - ")\n", - "\n", - "circuit_fn" - ] - }, - { - "cell_type": "markdown", - "id": "99fc5254-7691-4d7e-bafd-91c0161ee1ac", - "metadata": {}, - "source": [ - "### Passing individual parameters\n", - "\n", - "The `circuit_fn` returned is a function that accepts parameters to each of our component functions. It is worth noting that we can pass different inputs to different functions by passing them as keyword arguments, as shown below. This is important to note as we will be optimizing each of the `Tidy3D` components individually with their own independent parameters.\n", - "\n", - "Let's call the circuit function and print the result, which is the S-matrix for the entire circuit given our passed parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "cc82e7a5-d233-4f92-8b1d-1ce878c80c2d", - "metadata": {}, - "outputs": [], - "source": [ - "# how to pass specific parameters to each of the sub-functions for the instances\n", - "s = circuit_fn(\n", - " splitter={\"params\": params0},\n", - " combiner={\"params\": 0 * params0},\n", - " beta=3,\n", - " phase_sifter=dict(phi=2.0),\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "b2a8783b-a653-41e0-a332-7d13f887cdc3", - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "{('out0', 'out0'): Array(0.+0.j, dtype=complex64),\n", - " ('out0', 'out1'): Array(0.+0.j, dtype=complex64),\n", - " ('out1', 'out0'): Array(0.+0.j, dtype=complex64),\n", - " ('out1', 'out1'): Array(0.+0.j, dtype=complex64),\n", - " ('in', 'in'): Array(0.+0.j, dtype=complex64),\n", - " ('in', 'out0'): Array(0.09807562-0.12380885j, dtype=complex64),\n", - " ('in', 'out1'): Array(0.06793377-0.14270785j, dtype=complex64),\n", - " ('out0', 'in'): Array(0.09807562-0.12380885j, dtype=complex64),\n", - " ('out1', 'in'): Array(0.06793377-0.14270784j, dtype=complex64)}" - ] - }, - "execution_count": 19, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "s" - ] - }, - { - "cell_type": "markdown", - "id": "7aaf264f-acca-4108-b5ea-5edf4142ab6d", - "metadata": {}, - "source": [ - "## Objective Function\n", - "\n", - "With our circuit defined, we can now combine everything into a single objective function. We first write a `penalty` function that evaluates how well the structure respects the feature size constraints that we defined earlier." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "e4703fad-0bfd-4a7b-b95a-f92d3781b86d", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "\n", - "\n", - "def penalty(params, beta) -> float:\n", - " processed_params = pre_process(params, beta=beta)\n", - " ed_penalty = ErosionDilationPenalty(length_scale=radius, pixel_size=design_region_dl, beta=100)\n", - " return ed_penalty.evaluate(processed_params)" - ] - }, - { - "cell_type": "markdown", - "id": "fbcc501e-93f9-48e0-9ef7-a616fbd9c97b", - "metadata": {}, - "source": [ - "We then write a combined objective function that accepts our parameters for each of the individual components (as one array `params`) and the projection strength `beta` applied to each design region.\n", - "\n", - "The objective function uses these parameters to construct each of the individual components and simulates them to compute their scattering matrix. Then, it defines a circuit-level objective to look at the transmission of the entire circuit into the two output ports as a function of the phase shift `phi`. We seek to maximize transmission to the top port when `phi=0` and the bottom port when `phi=pi`." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "5af77f5d-b8e8-4655-9573-445fb36c7504", - "metadata": {}, - "outputs": [], - "source": [ - "def J(params, beta) -> float:\n", - " \"\"\"Circuit-level objective function.\"\"\"\n", - "\n", - " params1, params2 = params\n", - "\n", - " circuit_function = functools.partial(\n", - " circuit_fn, splitter={\"params\": params1}, combiner={\"params\": params2}, beta=beta\n", - " )\n", - "\n", - " def top_minus_bot(phi: float) -> float:\n", - " \"\"\"Power in top port minus power in bottom port.\"\"\"\n", - "\n", - " # evaluate the circuit at phi\n", - " sdict = circuit_function(phase_shifter={\"phi\": phi})\n", - "\n", - " # S-parameters for the whole circuit\n", - " s_00 = sdict[\"in\", \"out0\"]\n", - " s_01 = sdict[\"in\", \"out1\"]\n", - "\n", - " # power at ports\n", - " power_top = jnp.sum(jnp.abs(s_00) ** 2)\n", - " power_bot = jnp.sum(jnp.abs(s_01) ** 2)\n", - "\n", - " # top power minus bottom power\n", - " return power_top - power_bot\n", - "\n", - " # combine objectives together: at worst, it will be -1, at best + 1.\n", - " objective = (top_minus_bot(0.0) - top_minus_bot(np.pi)) / 2.0\n", - "\n", - " # combined penalty for both devices\n", - " penalty_weight = 0.5\n", - " feature_penalty1 = penalty(params=params1, beta=beta)\n", - " feature_penalty2 = penalty(params=params2, beta=beta)\n", - " feature_penalty = penalty_weight * (feature_penalty1 + feature_penalty2) / 2.0\n", - "\n", - " return objective - feature_penalty" - ] - }, - { - "cell_type": "markdown", - "id": "d78a1993-8f30-4713-a47d-c5bde537fd6b", - "metadata": {}, - "source": [ - "Next we use jax to compute a function that returns the value of this objective function and its gradient when passed some input parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "f6d188d4-d4f0-41db-96f0-3d9a1c694f40", - "metadata": {}, - "outputs": [], - "source": [ - "dJ_fn = jax.value_and_grad(J)" - ] - }, - { - "cell_type": "markdown", - "id": "2c41e4c1-b030-4c0c-9f82-e41e9dea302b", - "metadata": {}, - "source": [ - "Let's try running this function with some example parameters and inspect the results." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "0377398e-04cf-4608-855c-0092b95a0afb", - "metadata": {}, - "outputs": [], - "source": [ - "params0_combined = np.stack((params0, params0), axis=0)\n", - "\n", - "val, grad = dJ_fn(params0_combined, beta=1)" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "ad242d41-1e47-4be3-8945-8cbed86f30f7", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "-0.50251895 [[[ 7.14473344e-06 9.11673851e-06 1.05827448e-05 ... -9.17585021e-06\n", - " -7.92271931e-06 -6.19440652e-06]\n", - " [ 8.68899588e-06 1.10152214e-05 1.26921068e-05 ... -1.13362294e-05\n", - " -9.85804763e-06 -7.75598346e-06]\n", - " [ 9.43710984e-06 1.18747666e-05 1.35484370e-05 ... -1.26476180e-05\n", - " -1.10918045e-05 -8.78276478e-06]\n", - " ...\n", - " [-3.22923770e-05 -4.00895296e-05 -4.48482424e-05 ... 4.50681364e-05\n", - " 4.12528025e-05 3.38398604e-05]\n", - " [-2.90573880e-05 -3.61831262e-05 -4.05935389e-05 ... 4.25772196e-05\n", - " 3.88274893e-05 3.17442318e-05]\n", - " [-2.33855517e-05 -2.92259228e-05 -3.28775859e-05 ... 3.56646669e-05\n", - " 3.24215143e-05 2.64082391e-05]]\n", - "\n", - " [[ 3.00405318e-05 3.74189149e-05 4.21421937e-05 ... -3.59632759e-05\n", - " -3.20999643e-05 -2.58842447e-05]\n", - " [ 3.59139303e-05 4.45573241e-05 5.00202914e-05 ... -4.29933280e-05\n", - " -3.85160092e-05 -3.11923541e-05]\n", - " [ 3.78112854e-05 4.67239806e-05 5.22388145e-05 ... -4.54894471e-05\n", - " -4.09546483e-05 -3.33193311e-05]\n", - " ...\n", - " [-3.32819945e-06 -3.46686102e-06 -3.34001015e-06 ... 9.98089945e-06\n", - " 9.28078589e-06 7.96504173e-06]\n", - " [-2.19371759e-06 -2.00998147e-06 -1.55896669e-06 ... 9.13287022e-06\n", - " 8.60987348e-06 7.42999919e-06]\n", - " [-1.26351188e-06 -9.15017154e-07 -3.27843736e-07 ... 7.39111147e-06\n", - " 7.05704315e-06 6.11908763e-06]]]\n" - ] - } - ], - "source": [ - "print(val, grad)" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "74d5d406-77b5-440e-86ce-03c9213ddd9d", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "(2, 120, 120)\n" - ] - } - ], - "source": [ - "print(grad.shape)" - ] - }, - { - "cell_type": "markdown", - "id": "72992a63-5222-4cae-aa50-e658bb34eb42", - "metadata": {}, - "source": [ - "The resulting value and gradient are reasonable. Note the gradient is shaped `(2, nx, ny)`, which represents the gradients with respect to each of the two `(nx, nx)` pixelated grids for the individual components." - ] - }, - { - "cell_type": "markdown", - "id": "7aa7fbe9-36dc-4669-88ff-5b48222185b6", - "metadata": {}, - "source": [ - "## Optimization Loop\n", - "\n", - "Next, as in the other examples, we use `optax` to run the optimization of this entire circuit using gradient descent using the `Adam` optimization method." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "dacfcef4-bccb-4ca9-a5f0-4467a3e1a501", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tbeta = 1.0000e+00\n", - "\tJ = -5.0252e-01\n", - "\tgrad_norm = 2.0214e-02\n", - "step = 2\n", - "\tbeta = 1.4222e+00\n", - "\tJ = -2.4675e-01\n", - "\tgrad_norm = 1.3238e-02\n", - "step = 3\n", - "\tbeta = 1.8444e+00\n", - "\tJ = -2.1442e-01\n", - "\tgrad_norm = 9.7928e-03\n", - "step = 4\n", - "\tbeta = 2.2667e+00\n", - "\tJ = -1.6024e-01\n", - "\tgrad_norm = 8.3475e-03\n", - "step = 5\n", - "\tbeta = 2.6889e+00\n", - "\tJ = -9.5096e-02\n", - "\tgrad_norm = 8.0457e-03\n", - "step = 6\n", - "\tbeta = 3.1111e+00\n", - "\tJ = -1.4640e-02\n", - "\tgrad_norm = 8.4054e-03\n", - "step = 7\n", - "\tbeta = 3.5333e+00\n", - "\tJ = 1.3219e-02\n", - "\tgrad_norm = 3.5979e-02\n", - "step = 8\n", - "\tbeta = 3.9556e+00\n", - "\tJ = -5.9181e-02\n", - "\tgrad_norm = 3.9888e-02\n", - "step = 9\n", - "\tbeta = 4.3778e+00\n", - "\tJ = 1.6096e-01\n", - "\tgrad_norm = 1.1203e-02\n", - "step = 10\n", - "\tbeta = 4.8000e+00\n", - "\tJ = 1.4436e-01\n", - "\tgrad_norm = 3.3816e-02\n", - "step = 11\n", - "\tbeta = 5.2222e+00\n", - "\tJ = 2.6768e-01\n", - "\tgrad_norm = 9.0722e-03\n", - "step = 12\n", - "\tbeta = 5.6444e+00\n", - "\tJ = 2.7818e-01\n", - "\tgrad_norm = 2.2982e-02\n", - "step = 13\n", - "\tbeta = 6.0667e+00\n", - "\tJ = 3.4127e-01\n", - "\tgrad_norm = 1.0269e-02\n", - "step = 14\n", - "\tbeta = 6.4889e+00\n", - "\tJ = 3.7026e-01\n", - "\tgrad_norm = 1.5204e-02\n", - "step = 15\n", - "\tbeta = 6.9111e+00\n", - "\tJ = 4.0043e-01\n", - "\tgrad_norm = 2.1623e-02\n", - "step = 16\n", - "\tbeta = 7.3333e+00\n", - "\tJ = 4.4509e-01\n", - "\tgrad_norm = 1.0588e-02\n", - "step = 17\n", - "\tbeta = 7.7556e+00\n", - "\tJ = 4.7139e-01\n", - "\tgrad_norm = 7.8376e-03\n", - "step = 18\n", - "\tbeta = 8.1778e+00\n", - "\tJ = 4.9354e-01\n", - "\tgrad_norm = 9.4674e-03\n", - "step = 19\n", - "\tbeta = 8.6000e+00\n", - "\tJ = 5.1339e-01\n", - "\tgrad_norm = 7.4156e-03\n", - "step = 20\n", - "\tbeta = 9.0222e+00\n", - "\tJ = 5.3156e-01\n", - "\tgrad_norm = 5.8523e-03\n", - "step = 21\n", - "\tbeta = 9.4444e+00\n", - "\tJ = 5.4703e-01\n", - "\tgrad_norm = 5.7467e-03\n", - "step = 22\n", - "\tbeta = 9.8667e+00\n", - "\tJ = 5.6340e-01\n", - "\tgrad_norm = 9.4500e-03\n", - "step = 23\n", - "\tbeta = 1.0289e+01\n", - "\tJ = 5.5934e-01\n", - "\tgrad_norm = 2.3881e-02\n", - "step = 24\n", - "\tbeta = 1.0711e+01\n", - "\tJ = 5.2488e-01\n", - "\tgrad_norm = 4.0713e-02\n", - "step = 25\n", - "\tbeta = 1.1133e+01\n", - "\tJ = 4.8486e-01\n", - "\tgrad_norm = 4.8898e-02\n", - "step = 26\n", - "\tbeta = 1.1556e+01\n", - "\tJ = 5.7733e-01\n", - "\tgrad_norm = 2.2211e-02\n", - "step = 27\n", - "\tbeta = 1.1978e+01\n", - "\tJ = 5.9732e-01\n", - "\tgrad_norm = 2.4999e-02\n", - "step = 28\n", - "\tbeta = 1.2400e+01\n", - "\tJ = 6.0919e-01\n", - "\tgrad_norm = 1.4594e-02\n", - "step = 29\n", - "\tbeta = 1.2822e+01\n", - "\tJ = 6.1784e-01\n", - "\tgrad_norm = 1.1322e-02\n", - "step = 30\n", - "\tbeta = 1.3244e+01\n", - "\tJ = 6.2263e-01\n", - "\tgrad_norm = 9.7416e-03\n", - "step = 31\n", - "\tbeta = 1.3667e+01\n", - "\tJ = 6.3181e-01\n", - "\tgrad_norm = 9.6787e-03\n", - "step = 32\n", - "\tbeta = 1.4089e+01\n", - "\tJ = 6.3439e-01\n", - "\tgrad_norm = 8.7720e-03\n", - "step = 33\n", - "\tbeta = 1.4511e+01\n", - "\tJ = 6.3985e-01\n", - "\tgrad_norm = 5.5513e-03\n", - "step = 34\n", - "\tbeta = 1.4933e+01\n", - "\tJ = 6.4201e-01\n", - "\tgrad_norm = 6.7834e-03\n", - "step = 35\n", - "\tbeta = 1.5356e+01\n", - "\tJ = 6.4506e-01\n", - "\tgrad_norm = 1.1735e-02\n", - "step = 36\n", - "\tbeta = 1.5778e+01\n", - "\tJ = 6.4778e-01\n", - "\tgrad_norm = 6.5648e-03\n", - "step = 37\n", - "\tbeta = 1.6200e+01\n", - "\tJ = 6.5068e-01\n", - "\tgrad_norm = 6.2077e-03\n", - "step = 38\n", - "\tbeta = 1.6622e+01\n", - "\tJ = 6.5446e-01\n", - "\tgrad_norm = 5.3513e-03\n", - "step = 39\n", - "\tbeta = 1.7044e+01\n", - "\tJ = 6.5579e-01\n", - "\tgrad_norm = 9.0492e-03\n", - "step = 40\n", - "\tbeta = 1.7467e+01\n", - "\tJ = 6.5536e-01\n", - "\tgrad_norm = 1.5514e-02\n", - "step = 41\n", - "\tbeta = 1.7889e+01\n", - "\tJ = 6.1316e-01\n", - "\tgrad_norm = 3.9242e-02\n", - "step = 42\n", - "\tbeta = 1.8311e+01\n", - "\tJ = 4.6327e-01\n", - "\tgrad_norm = 7.3251e-02\n", - "step = 43\n", - "\tbeta = 1.8733e+01\n", - "\tJ = 4.7778e-01\n", - "\tgrad_norm = 6.6240e-02\n", - "step = 44\n", - "\tbeta = 1.9156e+01\n", - "\tJ = 6.3996e-01\n", - "\tgrad_norm = 1.1438e-02\n", - "step = 45\n", - "\tbeta = 1.9578e+01\n", - "\tJ = 6.1807e-01\n", - "\tgrad_norm = 3.0977e-02\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# hyperparameters\n", - "num_steps = 45\n", - "learning_rate = 1.0\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = params0_combined.copy()\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "Js = []\n", - "params_history = [params]\n", - "beta_history = []\n", - "\n", - "beta0 = 1.0\n", - "beta_final = 20\n", - "\n", - "for i in range(num_steps):\n", - " # compute gradient and current objective function value\n", - "\n", - " perc_done = i / num_steps\n", - " beta = beta0 * (1 - perc_done) + beta_final * perc_done\n", - " value, gradient = dJ_fn(params, beta=beta)\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tbeta = {beta:.4e}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # cap the parameters\n", - " params = jnp.minimum(params, 1.0)\n", - " params = jnp.maximum(params, 0.0)\n", - "\n", - " # save history\n", - " Js.append(value)\n", - " params_history.append(params)\n", - " beta_history.append(beta)\n", - "\n", - "power = J(params_history[-1], beta=beta)\n", - "Js.append(power)" - ] - }, - { - "cell_type": "markdown", - "id": "9f27c957-3a0c-4703-aa35-813bad07394b", - "metadata": {}, - "source": [ - "## Results\n", - "\n", - "Finally, we can inspect the results.\n", - "\n", - "First we plot the objective function over iteration number and note that it steadily increases." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "a386922a-2054-47bb-940a-4801b47be484", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(Js)\n", - "plt.xlabel(\"iterations\")\n", - "plt.ylabel(\"objective function\")\n", - "plt.ylim(-1.5, 1)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "c5daf91d-4e80-4bc6-a7e9-777e22b945a5", - "metadata": {}, - "source": [ - "We grab the final design parameters and `beta` value." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "b974a3f6-6a6f-4df0-a45e-ee35571a43d6", - "metadata": {}, - "outputs": [], - "source": [ - "params_final = params1_final, params2_final = params_history[-1]\n", - "beta_final = beta_history[-1]" - ] - }, - { - "cell_type": "markdown", - "id": "1b80e0f3-4cc6-4d5f-b93c-64778e1de856", - "metadata": {}, - "source": [ - "And use these to construct the `Tidy3D` simulations corresponding to the final optimized state of each of the components." - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "e6ff310e-adee-454d-9854-6becccd08589", - "metadata": {}, - "outputs": [], - "source": [ - "sim1_final = make_sim(params1_final, beta=beta_final, source_index=0, shape=(1, 2))\n", - "sim2_final = make_sim(params2_final, beta=beta_final, source_index=0, shape=(2, 2))\n", - "sim3_final = make_sim(params2_final, beta=beta_final, source_index=1, shape=(2, 2))" - ] - }, - { - "cell_type": "markdown", - "id": "942e4c03-c1f5-4ccf-9523-69376f4e0f99", - "metadata": {}, - "source": [ - "Let's plot these simulations. Note that the 3rd and 2nd are the same, except with different source, so we can visualize the fields sourced from each of the individual inputs." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "369c2588-d374-4da9-bdcc-1c1b9badb9c3", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, (ax1, ax2, ax3) = plt.subplots(1, 3, tight_layout=True, figsize=(10, 6))\n", - "\n", - "sim1_final.plot_eps(z=0, ax=ax1)\n", - "sim2_final.plot_eps(z=0, ax=ax2)\n", - "sim3_final.plot_eps(z=0, ax=ax3)\n", - "\n", - "ax1.set_title(\"first component (splitter)\")\n", - "ax2.set_title(\"second component (combiner)\")\n", - "ax3.set_title(\"second component (combiner)\")\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "40417199-564e-4e6c-bb5c-459caa01d74b", - "metadata": {}, - "source": [ - "To visualize the fields, let's create and add a `FieldMonitor` to each of the simulations." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "5e48cfe4-3109-4de3-a7f0-5e6ff115e548", - "metadata": {}, - "outputs": [], - "source": [ - "field_mnt = td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " name=\"field_mnt\",\n", - " colocate=True,\n", - ")\n", - "\n", - "sim1_final = sim1_final.copy(update=dict(monitors=(field_mnt,)))\n", - "sim2_final = sim2_final.copy(update=dict(monitors=(field_mnt,)))\n", - "sim3_final = sim3_final.copy(update=dict(monitors=(field_mnt,)))" - ] - }, - { - "cell_type": "markdown", - "id": "81e1c5d9-4e5a-42a4-bba0-fc10763c0c05", - "metadata": {}, - "source": [ - "Next, run the simulations" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "id": "d6891624-1df1-40f4-9061-f673b3b61cf6", - "metadata": {}, - "outputs": [], - "source": [ - "sims_final = (sim1_final, sim2_final, sim3_final)\n", - "\n", - "sim_data1_final, sim_data2_final, sim_data3_final = tda.web.run_async(\n", - " sims_final, path_dir=\"data\", verbose=False\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "fcd310ba-4cde-45d6-9a7e-54eada3e653e", - "metadata": {}, - "source": [ - "and plot the results." - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "id": "70ea0b48-6891-477f-bf1e-ee4504029e30", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, (axes_eps, axes_fld, axes_int) = plt.subplots(3, 3, figsize=(10, 8), tight_layout=True)\n", - "sim_datas = [sim_data1_final, sim_data2_final, sim_data3_final]\n", - "for sim_data_final, ax_eps, ax_fld, ax_int in zip(sim_datas, axes_eps, axes_fld, axes_int):\n", - " sim_data_final.simulation.plot_eps(z=0.01, ax=ax_eps)\n", - " sim_data_final.plot_field(\"field_mnt\", \"Ez\", z=0, ax=ax_fld)\n", - " sim_data_final.plot_field(\"field_mnt\", \"E\", \"abs^2\", z=0, ax=ax_int)" - ] - }, - { - "cell_type": "markdown", - "id": "130ec263-30cc-4e32-8871-f20540769245", - "metadata": {}, - "source": [ - "While this gives an interesting picture, what we really want to visualize is how the fields look under our design conditions when `phi=0` and `phi=pi`. For that, we write a function to compute the source parameters for the 2nd component under values of `phi` and run that simulation." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "id": "1fc0c149-7020-4f46-ac1e-286f5478522b", - "metadata": {}, - "outputs": [], - "source": [ - "def get_sim_data_right(phi):\n", - " out_top_1 = sim_data1_final[\"top\"].amps.sel(direction=\"+\", f=freq0, mode_index=0)\n", - " out_bot_1 = sim_data1_final[\"bot\"].amps.sel(direction=\"+\", f=freq0, mode_index=0)\n", - "\n", - " # apply phi phase shift to top arm\n", - " phase_top = np.angle(out_top_1) + phi\n", - " phase_bot = np.angle(out_bot_1)\n", - "\n", - " src_top = sim2_final.sources[0]\n", - " src_bot = sim3_final.sources[0]\n", - "\n", - " src_time_top = src_top.source_time.updated_copy(amplitude=abs(out_top_1), phase=phase_top)\n", - " src_time_bot = src_bot.source_time.updated_copy(amplitude=abs(out_bot_1), phase=phase_bot)\n", - "\n", - " src_top = src_top.updated_copy(source_time=src_time_top)\n", - " src_bot = src_bot.updated_copy(source_time=src_time_bot)\n", - "\n", - " sim_right = sim2_final.updated_copy(sources=[src_top, src_bot])\n", - " return tda.web.run(sim_right, task_name=f\"phi={phi:.3f}\")" - ] - }, - { - "cell_type": "markdown", - "id": "fd902f9b-7505-45fd-8ec5-b9407c899514", - "metadata": {}, - "source": [ - "We compute the field data for the output component for both `phi=0` and `phi=pi`." - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "id": "d6cd3e31-3bc8-4f85-b7bc-7ab19feda7c7", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
[17:59:00] Created task 'phi=0.000' with task_id                                \n",
-       "           'fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:00]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'\u001b[0m\u001b[32mphi\u001b[0m\u001b[32m=\u001b[0m\u001b[32m0\u001b[0m\u001b[32m.000'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-\n",
-       "           438a-9087-f48c40f1abb2v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=410425;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=645498;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=410425;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=796423;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=410425;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m-303d2de1-75c2-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=410425;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m438a-9087-f48c40f1abb2v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b123ff9c2c954d53ab0cd6b1f2cb3767", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:03] status = queued                                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:03]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:06] status = preprocess                                                  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:06]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[17:59:10] Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get  \n",
-       "           the billed FlexCredit cost after a simulation run.                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:10]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get \n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:11] running solver                                                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:11]\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or                \n",
-       "           'web.delete(task_id)' or abort/delete the task in the web UI.        \n",
-       "           Terminating the Python script will not stop the job running on the   \n",
-       "           cloud.                                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "f4cee98e73884a57b6be188c202b7e1c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:17] early shutoff detected, exiting.                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:17]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           status = postprocess                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:21] status = success                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:21]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[17:59:22] View simulation result at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-\n",
-       "           438a-9087-f48c40f1abb2v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:22]\u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=260942;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=863137;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=260942;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=914904;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=260942;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m-303d2de1-75c2-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=260942;https://tidy3d.simulation.cloud/workbench?taskId=fdve-303d2de1-75c2-438a-9087-f48c40f1abb2v1\u001b\\\u001b[4;34m438a-9087-f48c40f1abb2v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "45c36002852c4e40a0a344dc3e527b93", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:23] loading SimulationData from simulation_data.hdf5                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:23]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:24] Created task 'phi=3.142' with task_id                                \n",
-       "           'fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:24]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'\u001b[0m\u001b[32mphi\u001b[0m\u001b[32m=\u001b[0m\u001b[32m3\u001b[0m\u001b[32m.142'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-\n",
-       "           4a8c-9fb0-86c13495bb41v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=463754;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=939327;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=463754;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=528521;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=463754;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m-fe2d9123-5397-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=463754;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m4a8c-9fb0-86c13495bb41v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b808c01d263a4f99b49ee5048ad5df9c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:26] status = queued                                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:26]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:30] status = preprocess                                                  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:30]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[17:59:37] Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get  \n",
-       "           the billed FlexCredit cost after a simulation run.                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:37]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get \n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or                \n",
-       "           'web.delete(task_id)' or abort/delete the task in the web UI.        \n",
-       "           Terminating the Python script will not stop the job running on the   \n",
-       "           cloud.                                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b764e3fbe729414ba692956b5005e02f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:43] early shutoff detected, exiting.                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:43]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:44] status = postprocess                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:44]\u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:48] status = success                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:48]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
           View simulation result at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-\n",
-       "           4a8c-9fb0-86c13495bb41v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=872832;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=796948;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=872832;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=165256;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=872832;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m-fe2d9123-5397-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=872832;https://tidy3d.simulation.cloud/workbench?taskId=fdve-fe2d9123-5397-4a8c-9fb0-86c13495bb41v1\u001b\\\u001b[4;34m4a8c-9fb0-86c13495bb41v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "4974939b89eb4d11bca8d6e770770d53", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[17:59:50] loading SimulationData from simulation_data.hdf5                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[17:59:50]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_right_p0 = get_sim_data_right(phi=0)\n", - "sim_data_right_pi = get_sim_data_right(phi=np.pi)" - ] - }, - { - "cell_type": "markdown", - "id": "2c48bd08-9d36-4b56-b8a6-f560766535a2", - "metadata": {}, - "source": [ - "And plot the results. Note that the device works exactly as intended! When `phi=0`, the light is transmitted into the top port and when `phi=pi`, the light is transmitted into the bottom port." - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "id": "03599a3c-4e47-4009-b95a-5e86375c38dc", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "alpha = 0.0\n", - "f, (axes_eps, axes_fld, axes_int) = plt.subplots(3, 3, figsize=(10, 8), tight_layout=True)\n", - "sim_datas = [sim_data1_final, sim_data_right_p0, sim_data_right_pi]\n", - "for sim_data_final, ax_eps, ax_fld, ax_int, phi in zip(\n", - " sim_datas, axes_eps, axes_fld, axes_int, (None, \"0\", \"π\")\n", - "):\n", - " sim_data_final.simulation.plot_eps(z=0.01, ax=ax_eps, source_alpha=alpha, monitor_alpha=0)\n", - " sim_data_final.plot_field(\"field_mnt\", \"Ez\", z=0, ax=ax_fld)\n", - " sim_data_final.plot_field(\"field_mnt\", \"E\", \"abs^2\", z=0, ax=ax_int)\n", - "\n", - " for ax in (ax_eps, ax_fld, ax_int):\n", - " if phi is not None:\n", - " ax.set_title(rf\"output sim (phi={phi})\")\n", - " else:\n", - " ax.set_title(\"input sim\")" - ] - }, - { - "cell_type": "markdown", - "id": "8a2f6d5b-798d-441f-89ce-322785a35282", - "metadata": {}, - "source": [ - "With some minor modifications to this MZI device (such as adding a 2nd input port and adding a 2nd phase shifter on the output), we can implement any unitary 2x2 matrix and build very complex components for performing arbitrary linear operations in optical circuits, such as [optical neural networks](https://www.nature.com/articles/nphoton.2017.93.). \n", - "\n", - "With the `adjoint` plugin of `Tidy3D` and the differentiable circuit modeling of `sax`, we have a convenient tool for combining the power and flexibility of inverse design with the modularity of traditional component design and can perform co-optimization of individual components with minimal overhead." - ] - }, - { - "cell_type": "code", - "execution_count": 52, - "id": "b8d97dbe-212d-4175-ad8d-54886af29a9f", - "metadata": {}, - "outputs": [], - "source": [ - "power_top_p0 = jnp.sum(jnp.abs(jnp.array(sim_data_right_p0.output_data[0].amps.values)) ** 2)\n", - "power_bot_p0 = jnp.sum(jnp.abs(jnp.array(sim_data_right_p0.output_data[1].amps.values)) ** 2)\n", - "power_top_pi = jnp.sum(jnp.abs(jnp.array(sim_data_right_pi.output_data[0].amps.values)) ** 2)\n", - "power_bot_pi = jnp.sum(jnp.abs(jnp.array(sim_data_right_pi.output_data[1].amps.values)) ** 2)" - ] - }, - { - "cell_type": "code", - "execution_count": 58, - "id": "0b45dd73-05d5-41cf-966c-97105056f091", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "phi = 0\n", - " Transmission_top = 58.65 %\n", - " Transmission_bot = 0.91 %\n", - "phi = pi\n", - " Transmission_top = 0.39 %\n", - " Transmission_bot = 79.51 %\n" - ] - } - ], - "source": [ - "print(\"phi = 0\")\n", - "print(f\" Transmission_top = {100 * power_top_p0:.2f} %\")\n", - "print(f\" Transmission_bot = {100 * power_bot_p0:.2f} %\")\n", - "\n", - "print(\"phi = pi\")\n", - "print(f\" Transmission_top = {100 * power_top_pi:.2f} %\")\n", - "print(f\" Transmission_bot = {100 * power_bot_pi:.2f} %\")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "585e1e64-1318-4bc8-bee0-f4f038f328c4", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates how to perform inverse design optimization with a circuit simulator and Tidy3D FDTD.", - "feature_image": "./img/adjoint_11.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, adjoint optimization, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.0" - }, - "nbdime-conflicts": { - "local_diff": [ - { - "key": "language_info", - "op": "add", - "value": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.9" - } - } - ], - "remote_diff": [ - { - "key": "language_info", - "op": "add", - "value": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.12" - } - } - ] - }, - "title": "Inverse Design Integrated with Circuit Simulation | Flexcompute" - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin12LightExtractor.ipynb b/AdjointPlugin12LightExtractor.ipynb deleted file mode 100644 index 3dc6e050..00000000 --- a/AdjointPlugin12LightExtractor.ipynb +++ /dev/null @@ -1,1859 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Adjoint inverse design of a quantum emitter light extractor\n", - "\n", - "> To install the jax module required for this feature, we recommend running pip install \"tidy3d[jax]\".\n", - "\n", - "> The cost of running the entire optimization is about 8 FlexCredits.\n", - "\n", - "In this tutorial, we will show how to perform the adjoint-based inverse design of a quantum emitter (QE) light extraction structure. We will use a `PointDipole` to model the QE embedded within an integrated dielectric waveguide. Then, we will build an optimization problem to maximize the extraction efficiency of the dipole radiation into a collection waveguide. In addition, we will show how to use `FieldMonitor` objects in adjoint simulations to calculate the flux radiated from the dipole. You can also find helpful information in this related [notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/BullseyeCavityPSO/).\n", - "\n", - "\"Schematic\n", - "\n", - "If you are unfamiliar with inverse design, we recommend the [inverse design lectures](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and this [introductory tutorial](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", - "\n", - "Let's start by importing the Python libraries used throughout this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# Standard python imports.\n", - "import pickle\n", - "from typing import List\n", - "\n", - "# Import jax to be able to use automatic differentiation.\n", - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "import optax\n", - "import scipy as sp\n", - "\n", - "# Import regular tidy3d.\n", - "import tidy3d as td\n", - "\n", - "# Import the components we need from the adjoint plugin.\n", - "import tidy3d.plugins.adjoint as tda\n", - "import tidy3d.web as web\n", - "from jax import value_and_grad\n", - "from tidy3d.plugins.adjoint.utils.filter import ConicFilter\n", - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "from tidy3d.plugins.adjoint.web import run" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Simulation Set Up\n", - "The coupling region (design region) extends a single-mode dielectric waveguide placed over a lower refractive index substrate. The QE is modeled as a `PointDipole` oriented in the `y`-direction. The QE is placed within the design region so we surround it with a constant refractive index region to protect it from etching." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Geometric parameters.\n", - "cr_w = 1.0 # Coupling region width (um).\n", - "cr_l = 3.0 # Coupling region length (um).\n", - "wg_thick = 0.19 # Collection waveguide thickness (um).\n", - "wg_width = 0.35 # Collection waveguide width (um).\n", - "wg_length = 1.0 # Collection waveguide length (um).\n", - "\n", - "# Material.\n", - "n_wg = 3.50 # Structure refractive index.\n", - "n_sub = 1.44 # Substrate refractive index.\n", - "\n", - "# Fabrication constraints.\n", - "min_feature = 0.06 # Minimum feature size.\n", - "non_etch_r = 0.03 # Non-etched circular region radius (um).\n", - "\n", - "# Inverse design set up parameters.\n", - "grid_size = 0.015 # Simulation grid size on design region (um).\n", - "max_iter = 100 # Maximum number of iterations.\n", - "iter_steps = 5 # Beta is increased at each iter_steps.\n", - "beta_min = 1.0 # Minimum value for the tanh projection parameter.\n", - "learning_rate = 0.1\n", - "\n", - "# Simulation wavelength.\n", - "wl = 0.94 # Central simulation wavelength (um).\n", - "bw = 0.04 # Simulation bandwidth (um).\n", - "n_wl = 41 # Number of wavelength points within the bandwidth." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's calculate some variables used throughout the notebook. Here, we will also define the QE position and monitor planes." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "# Minimum and maximum values of the permittivity.\n", - "eps_max = n_wg**2\n", - "eps_min = 1.0\n", - "\n", - "# Material definition.\n", - "mat_wg = td.Medium(permittivity=eps_max)\n", - "mat_sub = td.Medium(permittivity=n_sub**2)\n", - "\n", - "# Wavelengths and frequencies.\n", - "wl_max = wl + bw / 2\n", - "wl_min = wl - bw / 2\n", - "wl_range = np.linspace(wl_min, wl_max, n_wl)\n", - "freq = td.C_0 / wl\n", - "freqs = td.C_0 / wl_range\n", - "freqw = 0.5 * (freqs[0] - freqs[-1])\n", - "run_time = 3e-12\n", - "\n", - "# Computational domain size.\n", - "pml_spacing = 0.6 * wl\n", - "size_x = wg_length + cr_l + pml_spacing\n", - "size_y = cr_w + 2 * pml_spacing\n", - "size_z = wg_thick + 2 * pml_spacing\n", - "eff_inf = 10\n", - "\n", - "# Source position and monitor planes.\n", - "cr_center_x = wg_length + cr_l / 2\n", - "qe_pos = td.Box(center=(cr_center_x - 0.5, 0, 0), size=(0, 0, 0))\n", - "qe_field_plan = td.Box.surfaces(center=(cr_center_x, 0, 0), size=(cr_l, cr_w, 2 * wg_thick))\n", - "wg_mode_plan = td.Box(center=(wl / 4, 0, 0), size=(0, 4 * wg_width, 5 * wg_thick))\n", - "\n", - "# Number of points on design grid.\n", - "nx_grid = int(cr_l / grid_size)\n", - "ny_grid = int(cr_w / grid_size / 2)\n", - "\n", - "# xy coordinates of design grid.\n", - "x_grid = np.linspace(cr_center_x - cr_l / 2, cr_center_x + cr_l / 2, nx_grid)\n", - "y_grid = np.linspace(0, cr_w / 2, ny_grid)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Optimization Set Up\n", - "We will start defining the density-based optimization functions to transform the design parameters into permittivity values. Here we include the `ConicFilter`, where we impose a minimum feature size fabrication constraint, and the tangent hyperbolic projection function, eliminating intermediary permittivity values as we increase the projection parameter `beta`. You can find more information in the [Inverse design optimization of a compact grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/)." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "conic_filter = ConicFilter(radius=min_feature, design_region_dl=grid_size)\n", - "\n", - "\n", - "def tanh_projection(x, beta, eta=0.5):\n", - " tanhbn = jnp.tanh(beta * eta)\n", - " num = tanhbn + jnp.tanh(beta * (x - eta))\n", - " den = tanhbn + jnp.tanh(beta * (1 - eta))\n", - " return num / den\n", - "\n", - "\n", - "def filter_project(x, beta, eta=0.5):\n", - " x = conic_filter.evaluate(x)\n", - " return tanh_projection(x, beta=beta, eta=eta)\n", - "\n", - "\n", - "def pre_process(params, beta):\n", - " params1 = filter_project(params, beta=beta)\n", - " return params1\n", - "\n", - "\n", - "def get_eps(params, beta: float = 1.00) -> jnp.ndarray:\n", - " \"\"\"Returns the permittivities after filter and projection transformations\"\"\"\n", - " params1 = pre_process(params, beta=beta)\n", - " eps = eps_min + (eps_max - eps_min) * params1\n", - " eps = jnp.maximum(eps, eps_min)\n", - " eps = jnp.minimum(eps, eps_max)\n", - " return eps" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This function includes a circular region of constant permittivity value surrounding the QE. The objective here is to protect the QE from etching. In applications such as single photon sources, a larger unperturbed region surrounding the QE can be helpful to reduce linewidth broadening, as stated in `J. Liu, K. Konthasinghe, M. Davanco, J. Lawall, V. Anant, V. Verma, R. Mirin, S. Nam, S. Woo, D. Jin, B. Ma, Z. Chen, H. Ni, Z. Niu, K. Srinivasan, \"Single Self-Assembled InAs/GaAs Quantum Dots in Photonic Nanostructures: The Role of Nanofabrication,\" Phys. Rev. Appl. 9(6), 064019 (2018)` [DOI: 10.1103/PhysRevApplied.9.064019](https://link.aps.org/doi/10.1103/PhysRevApplied.9.064019)." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "def include_constant_regions(eps, circ_center=[0, 0], circ_radius=1.0) -> jnp.ndarray:\n", - " # Build the geometric mask.\n", - " yv, xv = jnp.meshgrid(y_grid, x_grid)\n", - " geo_mask = (\n", - " jnp.where(\n", - " jnp.abs((xv - circ_center[0]) ** 2 + (yv - circ_center[1]) ** 2)\n", - " <= (2 * circ_radius) ** 2,\n", - " 1,\n", - " 0,\n", - " )\n", - " * eps_max\n", - " )\n", - " eps = jnp.maximum(geo_mask, eps)\n", - " return eps" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we define a function to update the `JaxCustomMedium` using the permittivity distribution. The simulation will include mirror symmetry concerning the `y`-direction, so only the upper half of the design region is returned by this function during the optimization process. To get the whole structure, you need to set `unfold=True`." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "def update_design(eps, unfold=False) -> List[tda.JaxStructure]:\n", - " # Definition of the coordinates x,y along the design region.\n", - " eps_val = jnp.array(eps).reshape((nx_grid, ny_grid, 1, 1))\n", - " coords_x = [(cr_center_x - cr_l / 2) + ix * grid_size for ix in range(nx_grid)]\n", - "\n", - " if not unfold:\n", - " # Creation of a JaxCustomMedium using the values of the design parameters.\n", - " coords_yp = [0 + iy * grid_size for iy in range(ny_grid)]\n", - " coords = dict(x=coords_x, y=coords_yp, z=[0], f=[freq])\n", - " eps_jax = {\n", - " f\"eps_{dim}{dim}\": tda.JaxDataArray(values=eps_val, coords=coords) for dim in \"xyz\"\n", - " }\n", - " eps_dataset = tda.JaxPermittivityDataset(**eps_jax)\n", - " eps_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset, interp_method=\"linear\")\n", - " box = tda.JaxBox(center=(cr_center_x, cr_w / 4, 0), size=(cr_l, cr_w / 2, wg_thick))\n", - " structure = [tda.JaxStructure(geometry=box, medium=eps_medium)]\n", - "\n", - " else:\n", - " # Creation of a CustomMedium using the values of the design parameters.\n", - " coords_y = [-cr_w / 2 + iy * grid_size for iy in range(2 * ny_grid)]\n", - " coords = dict(x=coords_x, y=coords_y, z=[0], f=[freq])\n", - " eps_jax = {\n", - " f\"eps_{dim}{dim}\": tda.JaxDataArray(\n", - " values=jnp.concatenate((jnp.fliplr(jnp.copy(eps_val)), eps_val), axis=1),\n", - " coords=coords,\n", - " )\n", - " for dim in \"xyz\"\n", - " }\n", - " eps_dataset = tda.JaxPermittivityDataset(**eps_jax)\n", - " eps_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset, interp_method=\"linear\")\n", - " box = tda.JaxBox(center=(cr_center_x, 0, 0), size=(cr_l, cr_w, wg_thick))\n", - " structure = [tda.JaxStructure(geometry=box, medium=eps_medium)]\n", - " return structure" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the next cell, we define the output waveguide and the substrate, as well as the simulation monitors. It is worth mentioning the inclusion of a `ModeMonitor` in the output waveguide and a `FieldMonitor` box surrounding the dipole source to calculate the total radiated power." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "# Input/output waveguide.\n", - "waveguide = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -wg_width / 2, -wg_thick / 2),\n", - " rmax=(wg_length, wg_width / 2, wg_thick / 2),\n", - " ),\n", - " medium=mat_wg,\n", - ")\n", - "\n", - "# Substrate layer.\n", - "substrate = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -eff_inf, -eff_inf), rmax=(eff_inf, eff_inf, -wg_thick / 2)\n", - " ),\n", - " medium=mat_sub,\n", - ")\n", - "\n", - "# Point dipole source located at the center of TiO2 thin film.\n", - "dp_source = td.PointDipole(\n", - " center=qe_pos.center,\n", - " source_time=td.GaussianPulse(freq0=freq, fwidth=freqw),\n", - " polarization=\"Ey\",\n", - ")\n", - "\n", - "# Mode monitor to compute the FOM.\n", - "mode_spec = td.ModeSpec(num_modes=1, target_neff=n_wg)\n", - "mode_monitor_fom = td.ModeMonitor(\n", - " center=wg_mode_plan.center,\n", - " size=wg_mode_plan.size,\n", - " freqs=[freq],\n", - " mode_spec=mode_spec,\n", - " name=\"mode_monitor_fom\",\n", - ")\n", - "\n", - "# Field monitor to compute the FOM.\n", - "field_monitor_fom = []\n", - "for i, plane in enumerate(qe_field_plan):\n", - " field_monitor_fom.append(\n", - " td.FieldMonitor(\n", - " center=plane.center,\n", - " size=plane.size,\n", - " freqs=[freq],\n", - " colocate=False,\n", - " name=f\"field_monitor_fom_{i}\",\n", - " )\n", - " )\n", - "\n", - "# Mode monitor to compute spectral response.\n", - "mode_spec = td.ModeSpec(num_modes=1, target_neff=n_wg)\n", - "mode_monitor = td.ModeMonitor(\n", - " center=wg_mode_plan.center,\n", - " size=wg_mode_plan.size,\n", - " freqs=freqs,\n", - " mode_spec=mode_spec,\n", - " name=\"mode_monitor\",\n", - ")\n", - "\n", - "# Field monitor to compute spectral response.\n", - "field_monitor = []\n", - "for i, plane in enumerate(qe_field_plan):\n", - " field_monitor.append(\n", - " td.FieldMonitor(\n", - " center=plane.center, size=plane.size, freqs=freqs, name=f\"field_monitor_{i}\"\n", - " )\n", - " )\n", - "\n", - "# Field monitor to visualize the fields.\n", - "field_monitor_xy = td.FieldMonitor(\n", - " center=(size_x / 2, 0, 0),\n", - " size=(size_x, size_y, 0),\n", - " freqs=[freq],\n", - " name=\"field_xy\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Lastly, we have a function that receives the design parameters from the optimization algorithm and then gathers the simulation objects altogether to create a `JaxSimulation`." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "def make_adjoint_sim(param, beta: float = 1.00, unfold=False) -> tda.JaxSimulation:\n", - " # Builds the design region from the design parameters.\n", - " eps = get_eps(param, beta)\n", - " eps = include_constant_regions(\n", - " eps, circ_center=[qe_pos.center[0], qe_pos.center[1]], circ_radius=non_etch_r\n", - " )\n", - " structure_jax = update_design(eps, unfold=unfold)\n", - "\n", - " # Creates a uniform mesh for the design region.\n", - " adjoint_dr_mesh = td.MeshOverrideStructure(\n", - " geometry=td.Box(center=(cr_center_x, 0, 0), size=(cr_w, cr_l, wg_thick)),\n", - " dl=[grid_size, grid_size, grid_size],\n", - " enforce=True,\n", - " )\n", - "\n", - " return tda.JaxSimulation(\n", - " size=[size_x, size_y, size_z],\n", - " center=[size_x / 2, 0, 0],\n", - " grid_spec=td.GridSpec.auto(\n", - " wavelength=wl_max,\n", - " min_steps_per_wvl=15,\n", - " override_structures=[adjoint_dr_mesh],\n", - " ),\n", - " symmetry=(0, -1, 0),\n", - " structures=[substrate, waveguide],\n", - " input_structures=structure_jax,\n", - " sources=[dp_source],\n", - " monitors=[field_monitor_xy],\n", - " output_monitors=[mode_monitor_fom] + field_monitor_fom,\n", - " run_time=run_time,\n", - " subpixel=True,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Initial Light Extractor Structure\n", - "Let's create a random initial permittivity distribution and verify if all the simulation objects are in the correct places. We can safely ignore the warning regarding the touching `JaxStructures` because we will include only the upper one in the optimization due to the simulation symmetry about the `y`-axis." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:jax._src.xla_bridge:An NVIDIA GPU may be present on this machine, but a CUDA-enabled jaxlib is not installed. Falling back to cpu.\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "init_par = np.random.uniform(0, 1, (nx_grid, ny_grid))\n", - "init_par = sp.ndimage.gaussian_filter(init_par, 1)\n", - "init_design = make_adjoint_sim(init_par, beta=beta_min, unfold=True)\n", - "\n", - "fig, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(12, 4))\n", - "init_design.plot_eps(z=0, ax=ax1, monitor_alpha=0.0)\n", - "init_design.plot_eps(y=0, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We will also look at the collection waveguide mode to ensure we have considered the correct one in the `ModeMonitor` setup. We use the `ModeSolver` plugin to calculate the first two waveguide modes, as below." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
12:26:24 -03 Mode solver created with                                           \n",
-       "             task_id='fdve-1902060c-c3b3-4ab6-8be0-a9afc3e8c896',               \n",
-       "             solver_id='mo-6d7cbf93-d1c5-4a26-8acf-ac85d3c25e5e'.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:24 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver created with \n", - "\u001b[2;36m \u001b[0m\u001b[33mtask_id\u001b[0m=\u001b[32m'fdve-1902060c-c3b3-4ab6-8be0-a9afc3e8c896'\u001b[0m, \n", - "\u001b[2;36m \u001b[0m\u001b[33msolver_id\u001b[0m=\u001b[32m'mo-6d7cbf93-d1c5-4a26-8acf-ac85d3c25e5e'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "8090fc40a2ce4e1abf33690f91e9c07a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "9bd6f93e91654aab8f585d621e375f18", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:26:30 -03 Mode solver status: queued                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:30 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:26:43 -03 Mode solver status: running                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:43 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: running \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:26:55 -03 Mode solver status: success                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:26:55 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "353a683ac2eb49c1a41c4ab1a01e917e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "from tidy3d.plugins.mode import ModeSolver\n", - "from tidy3d.plugins.mode.web import run as run_mode_solver\n", - "\n", - "sim_init = init_design.to_simulation()[0].copy(\n", - " update=dict(monitors=[field_monitor_xy, mode_monitor] + field_monitor)\n", - ")\n", - "\n", - "mode_solver = ModeSolver(\n", - " simulation=sim_init,\n", - " plane=wg_mode_plan,\n", - " mode_spec=td.ModeSpec(num_modes=2),\n", - " freqs=[freq],\n", - ")\n", - "modes = run_mode_solver(mode_solver, reduce_simulation=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "After inspecting the mode field distribution, we can confirm that the fundamental waveguide mode is mainly oriented in the `y`-direction, thus matching the dipole orientation." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, axs = plt.subplots(2, 2, figsize=(10, 6), tight_layout=True)\n", - "for mode_ind in range(2):\n", - " for field_ind, field_name in enumerate((\"Ey\", \"Ez\")):\n", - " ax = axs[mode_ind, field_ind]\n", - " mode_solver.plot_field(field_name, \"abs\", mode_index=mode_ind, f=freq, ax=ax)\n", - " ax.set_title(f\"index={mode_ind}, {field_name}(y, z)\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Then, we will calculate the initial coupling efficiency to see how this random structure performs." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
12:27:01 -03 Created task 'initial QE light extractor' with task_id             \n",
-       "             'fdve-9a5cfff1-a370-4298-845f-62b9320fbe97' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:01 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'initial QE light extractor'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-9a5cfff1-a370-4298-845f-62b9320fbe97'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a37\n",
-       "             0-4298-845f-62b9320fbe97'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=919491;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=388607;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=919491;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=537394;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=919491;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32m-9a5cfff1-a37\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=919491;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[32m0-4298-845f-62b9320fbe97'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "21f63342dd0648479f58ac2135b5de23", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:27:05 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:05 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "503168de20e54e0f90824538639087be", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:27:09 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:09 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
12:27:15 -03 Maximum FlexCredit cost: 0.061. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:15 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.061\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "25880332c6de4f19a0fd3b38f33cc05b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:27:29 -03 early shutoff detected at 12%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:29 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m12\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "27ece9577d294188a621fb25b27412e5", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:27:41 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:41 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a37\n",
-       "             0-4298-845f-62b9320fbe97'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=906863;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=202441;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=906863;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=977097;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=906863;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34m-9a5cfff1-a37\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=906863;https://tidy3d.simulation.cloud/workbench?taskId=fdve-9a5cfff1-a370-4298-845f-62b9320fbe97\u001b\\\u001b[4;34m0-4298-845f-62b9320fbe97'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "4227c61d7e7443dd8a7b3471aa206c07", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:27:48 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:27:48 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data = web.run(sim_init, task_name=\"initial QE light extractor\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The modal coupling efficiency is normalized by the dipole power. That is necessary because the dipole power will likely change significantly when the optimization algorithm modifies the design region." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "mode_amps = sim_data[\"mode_monitor\"].amps.sel(direction=\"-\", mode_index=0)\n", - "mode_power = np.abs(mode_amps) ** 2\n", - "dip_power = np.zeros(n_wl)\n", - "for i in range(len(field_monitor)):\n", - " field_mon = sim_data[f\"field_monitor_{i}\"]\n", - " dip_power += np.abs(field_mon.flux)\n", - "\n", - "coup_eff = mode_power / dip_power\n", - "\n", - "f, (ax1, ax2) = plt.subplots(1, 2, figsize=(12, 4), tight_layout=True)\n", - "ax1.plot(wl_range, coup_eff, \"-k\")\n", - "ax1.set_xlabel(\"Wavelength (um)\")\n", - "ax1.set_ylabel(\"Coupling Efficiency\")\n", - "ax1.set_ylim(0, 1)\n", - "ax1.set_xlim(wl - bw / 2, wl + bw / 2)\n", - "sim_data.plot_field(\"field_xy\", \"E\", \"abs^2\", z=0, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Optimization\n", - "The objective function defined next is the device figure-of-merit (FOM) minus a fabrication penalty. In our optimization strategy, we included a penalty threshold parameter so that the fabrication penalty is included only after some initial iterations defined by `pen_thr`." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "# Figure of Merit (FOM) calculation.\n", - "def fom(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"Return the coupling efficiency.\"\"\"\n", - " mode_amps = sim_data.output_data[0].amps.sel(direction=\"-\", f=freq, mode_index=0)\n", - " mode_power = jnp.sum(jnp.abs(mode_amps) ** 2)\n", - " dip_power = 0\n", - " for m in range(1, 7):\n", - " field_mon = sim_data.output_data[m]\n", - " dip_power += jnp.abs(field_mon.flux)\n", - " return mode_power, dip_power\n", - "\n", - "\n", - "def penalty(params, beta) -> float:\n", - " \"\"\"Penalize changes in structure after erosion and dilation to enforce larger feature sizes.\"\"\"\n", - " params_processed = pre_process(params, beta=beta)\n", - " ed_penalty = ErosionDilationPenalty(length_scale=min_feature, pixel_size=grid_size)\n", - " return ed_penalty.evaluate(params_processed)\n", - "\n", - "\n", - "# Objective function to be passed to the optimization algorithm.\n", - "def obj(param, beta: float = 1.0, step_num: int = None, verbose: bool = False) -> float:\n", - " sim = make_adjoint_sim(param, beta)\n", - " task_name = \"inv_des\"\n", - " if step_num:\n", - " task_name += f\"_step_{step_num}\"\n", - " sim_data = run(sim, task_name=task_name, verbose=verbose)\n", - " mode_power, dip_power = fom(sim_data)\n", - " fom_val = mode_power / dip_power\n", - " penalty_weight = 0.1\n", - " penalty_val = penalty(param, beta)\n", - " J = fom_val - penalty_weight * penalty_val\n", - " return J, [sim_data, mode_power, dip_power, penalty_val]\n", - "\n", - "\n", - "# Function to calculate the objective function value and its\n", - "# gradient with respect to the design parameters.\n", - "obj_grad = value_and_grad(obj, has_aux=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the following cell, we define some functions to save the optimization progress and load a previous optimization from the file." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "# where to store history\n", - "history_fname = \"./misc/qe_light_coupler.pkl\"\n", - "\n", - "\n", - "def save_history(history_dict: dict) -> None:\n", - " \"\"\"Convenience function to save the history to file.\"\"\"\n", - " with open(history_fname, \"wb\") as file:\n", - " pickle.dump(history_dict, file)\n", - "\n", - "\n", - "def load_history() -> dict:\n", - " \"\"\"Convenience method to load the history from file.\"\"\"\n", - " with open(history_fname, \"rb\") as file:\n", - " history_dict = pickle.load(file)\n", - " return history_dict" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Then, we will start a new optimization or load the parameters of a previous one." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loaded optimization checkpoint from file.\n", - "Found 100 iterations previously completed out of 100 total.\n", - "Optimization completed, will return results.\n" - ] - } - ], - "source": [ - "# initialize adam optimizer with starting parameters\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "\n", - "try:\n", - " history_dict = load_history()\n", - " opt_state = history_dict[\"opt_states\"][-1]\n", - " params = history_dict[\"params\"][-1]\n", - " opt_state = optimizer.init(params)\n", - " num_iters_completed = len(history_dict[\"params\"])\n", - " print(\"Loaded optimization checkpoint from file.\")\n", - " print(f\"Found {num_iters_completed} iterations previously completed out of {max_iter} total.\")\n", - " if num_iters_completed < max_iter:\n", - " print(\"Will resume optimization.\")\n", - " else:\n", - " print(\"Optimization completed, will return results.\")\n", - "\n", - "except FileNotFoundError:\n", - " params = np.array(init_par)\n", - " opt_state = optimizer.init(params)\n", - " history_dict = dict(\n", - " values=[],\n", - " coupl_eff=[],\n", - " penalty=[],\n", - " params=[],\n", - " gradients=[],\n", - " opt_states=[opt_state],\n", - " data=[],\n", - " beta=[],\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the optimization loop, we will gradually increase the projection parameter `beta` to eliminate intermediary permittivity values. At each iteration, we record the design parameters and the optimization history to restore them as needed." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [], - "source": [ - "iter_done = len(history_dict[\"values\"])\n", - "\n", - "if iter_done < max_iter:\n", - " for i in range(iter_done, max_iter):\n", - " print(f\"Iteration = ({i + 1} / {max_iter})\")\n", - "\n", - " # Compute gradient and current objective function value.\n", - " beta_i = i // iter_steps + beta_min\n", - " (value, data), gradient = obj_grad(params, beta=beta_i, step_num=(i + 1))\n", - " sim_data_i, mode_power_i, dip_power_i, penalty_val_i = data\n", - " # Outputs.\n", - " print(f\"\\tbeta = {beta_i}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - " print(f\"\\tpenalty = {penalty_val_i:.3f}\")\n", - " print(f\"\\tmode power = {mode_power_i:.3f}\")\n", - " print(f\"\\tdip power = {dip_power_i:.3f}\")\n", - " print(f\"\\tcoupling efficiency = {mode_power_i / dip_power_i:.3f}\")\n", - "\n", - " # Compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn).\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # Cap parameters between 0 and 1.\n", - " params = jnp.minimum(params, 1.0)\n", - " params = jnp.maximum(params, 0.0)\n", - "\n", - " # Save history.\n", - " history_dict[\"values\"].append(value)\n", - " history_dict[\"coupl_eff\"].append(mode_power_i / dip_power_i)\n", - " history_dict[\"penalty\"].append(penalty_val_i)\n", - " history_dict[\"params\"].append(params)\n", - " history_dict[\"beta\"].append(beta_i)\n", - " history_dict[\"gradients\"].append(gradient)\n", - " history_dict[\"opt_states\"].append(opt_state)\n", - " # history_dict[\"data\"].append(sim_data_i) # Uncomment to store data, can create large files.\n", - " save_history(history_dict)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Ultimately, we get all the information to assess the optimization results." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "obj_vals = np.array(history_dict[\"values\"])\n", - "ce_vals = np.array(history_dict[\"coupl_eff\"])\n", - "pen_vals = np.array(history_dict[\"penalty\"])\n", - "final_par_density = history_dict[\"params\"][-1]\n", - "final_beta = history_dict[\"beta\"][-1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Results\n", - "The following figure shows how coupling efficiency and the fabrication penalty have evolved along the optimization process. The coupling efficiency quickly rises above 0.8, and along the binarization process, we can observe two large drops before a more stable final optimization stage. The formation of resonant modes sensitive to the small structural changes can potentially explain this behavior. The discontinuities in the fabrication penalty curve are caused by the increments in the projection parameter beta at each 5 iterations." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, 1, figsize=(7, 5))\n", - "ax.plot(ce_vals, \"ko\", label=\"C. Efficiency\")\n", - "ax.plot(pen_vals, \"bs\", label=\"Fab. Penalty\")\n", - "ax.set_xlabel(\"iterations\")\n", - "ax.set_ylabel(\"objective function\")\n", - "ax.set_title(f\"Final Coupling Efficiency: {ce_vals[-1]:.2f}\")\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Interestingly, the final quantum emitter light extractor resembles a nanocavity, even though we have considered only the coupling efficiency into the output waveguide in the optimization. We have DBR mirrors on both sides of the dipole. However, on the left side, the mirror has only a few periods and partially reflects the radiation, which couples to the output waveguide." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, figsize=(10, 4))\n", - "sim_final = make_adjoint_sim(final_par_density, beta=final_beta, unfold=True)\n", - "sim_final = sim_final.to_simulation()[0].copy(\n", - " update=dict(monitors=[field_monitor_xy, mode_monitor] + field_monitor)\n", - ")\n", - "sim_final.plot_eps(z=0, source_alpha=0, monitor_alpha=0, ax=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To better understand the resultant design, let's simulate the final structure to obtain its spectral response and field distribution." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
12:28:38 -03 Created task 'final QE light extractor' with task_id               \n",
-       "             'fdve-318f5226-2e2b-4aa5-810c-162e67b7c979' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:28:38 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'final QE light extractor'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-318f5226-2e2b-4aa5-810c-162e67b7c979'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2\n",
-       "             b-4aa5-810c-162e67b7c979'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=695443;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=342432;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=695443;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=464612;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=695443;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32m-318f5226-2e2\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=695443;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[32mb-4aa5-810c-162e67b7c979'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "361a2b6984f341ec9d3febb25851e37a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:28:42 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:28:42 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "42b6cc3ac8064268ac33e600331cb97a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:28:46 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:28:46 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
12:28:53 -03 Maximum FlexCredit cost: 0.061. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:28:53 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.061\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "079b82041e7c48bd8657e33134a084c9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:29:21 -03 early shutoff detected at 48%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:29:21 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m48\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e83f91f745a54e0ea00571caca512b06", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:29:34 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:29:34 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2\n",
-       "             b-4aa5-810c-162e67b7c979'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=253674;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=625047;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=253674;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=313416;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=253674;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34m-318f5226-2e2\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=253674;https://tidy3d.simulation.cloud/workbench?taskId=fdve-318f5226-2e2b-4aa5-810c-162e67b7c979\u001b\\\u001b[4;34mb-4aa5-810c-162e67b7c979'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7aa35bc85f084d04abe6317e9ba5a1fb", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
12:29:42 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:29:42 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_final = web.run(sim_final, task_name=\"final QE light extractor\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this cavity-like system, the extraction efficiency of photons from the QE into the collection waveguide mode is proportional to $\\beta\\times C_{wg}$, where the $\\beta$-factor quantifies the fraction of the QE spontaneous emission emitted in the cavity mode, and $C_{wg}$ is the fraction of the cavity photons coupled to the guided mode `A. Enderlin, Y. Ota, R. Ohta, N. Kumagai, S. Ishida, S. Iwamoto, and Y. Arakawa, \"High guided mode–cavity mode coupling for an efficient extraction of spontaneous emission of a single quantum dot embedded in a photonic crystal nanobeam cavity,\" Phys. Rev. B 86, 075314 (2012)` [DOI: 10.1103/PhysRevB.86.075314](https://link.aps.org/doi/10.1103/PhysRevB.86.075314). By the field distribution image below, we can see a cavity mode resonance, which should increase the Purcell factor at the QE position, thus contributing to a higher $\\beta$-factor. At the same time, the partial reflection mirror at the left side was potentially optimized to adjust $C_{wg}$." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, ax1 = plt.subplots(1, 1, figsize=(12, 4), tight_layout=True)\n", - "sim_data_final.plot_field(\"field_xy\", \"E\", \"abs^2\", z=0, ax=ax1)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To conclude, we will calculate the final coupling efficiency and the cavity Purcell value. The coupling efficiency is above 80% along an extensive wavelength range, and we have confirmed the Purcell enhancement." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Coupling efficiency.\n", - "mode_amps = sim_data_final[\"mode_monitor\"].amps.sel(direction=\"-\", mode_index=0)\n", - "mode_power = np.abs(mode_amps) ** 2\n", - "dip_power = np.zeros(n_wl)\n", - "for i in range(len(field_monitor)):\n", - " field_mon = sim_data_final[f\"field_monitor_{i}\"]\n", - " dip_power += np.abs(field_mon.flux)\n", - "coup_eff = mode_power / dip_power\n", - "\n", - "# Purcell factor.\n", - "bulk_power = ((2 * np.pi * freqs) ** 2 / (12 * np.pi)) * (td.MU_0 * n_wg / td.C_0)\n", - "bulk_power = bulk_power * 2 ** (2 * np.sum(np.abs(sim_final.symmetry)))\n", - "purcell = dip_power / bulk_power\n", - "\n", - "f, (ax1, ax2) = plt.subplots(1, 2, figsize=(10, 4), tight_layout=True)\n", - "ax1.plot(wl_range, coup_eff, \"-k\")\n", - "ax1.set_xlabel(\"Wavelength (um)\")\n", - "ax1.set_ylabel(\"Coupling Efficiency\")\n", - "ax1.set_ylim(0, 1)\n", - "ax1.set_xlim(wl - bw / 2, wl + bw / 2)\n", - "ax2.plot(wl_range, purcell, \"-k\")\n", - "ax2.set_xlabel(\"Wavelength (um)\")\n", - "ax2.set_ylabel(\"Purcell Factor\")\n", - "ax2.set_xlim(wl - bw / 2, wl + bw / 2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry, a `frequency` to evaluate the permittivity, and a `permittivity_threshold` to define the shape boundaries in custom mediums. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# make the misc/ directory to store the GDS file if it doesn't exist already\n", - "import os\n", - "\n", - "if not os.path.exists(\"./misc/\"):\n", - " os.mkdir(\"./misc/\")\n", - "\n", - "sim_final.to_gds_file(\n", - " fname=\"./misc/inv_des_light_extractor.gds\",\n", - " z=0,\n", - " permittivity_threshold=(eps_max + eps_min) / 2,\n", - " frequency=freq,\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates how to set up and run a quantum emitter light extractor optimization.", - "feature_image": "./img/adjoint_12.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, adjointt optimization, quantum emitter, light extractor, single-photon source, nanocavity optimization, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "title": "How to perform the inverse design of a quantum emitter light extractor in Tidy3D FDTD" - }, - "nbformat": 4, - "nbformat_minor": 4 -} diff --git a/AdjointPlugin13Metasurface.ipynb b/AdjointPlugin13Metasurface.ipynb deleted file mode 100644 index 1a756e46..00000000 --- a/AdjointPlugin13Metasurface.ipynb +++ /dev/null @@ -1,1752 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "4ddde2f6-0a95-4d80-98e9-a2d99bb8b6ae", - "metadata": {}, - "source": [ - "# Diffractive metasurface inverse design with topology optimization\n", - "\n", - "In this tutorial, we will use inverse design and topology optimization to design a diffractive metasurface that generates a desired intensity pattern when light is transmitted through it. We use the `adjoint` plugin from Tidy3D to perform gradient based optimization of a mask to minimize the difference between the measured and target intensity distribution.\n", - "\n", - "With Tidy3D's `adjoint` plugin, we can optimize objective functions that involve arbitrary functions over measured field patterns. We define our metasurface using an arbitrary permittivity distribution as a function of (x,y) and minimize the loss function with respect to this pattern. We also include a penalty for small feature sizes.\n", - "\n", - "\"Schematic\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`. You will also need to `pip install sax`.\n", - "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/). For another example of metalens adjoint optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin7Metalens/).\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "91836104-8a1e-47ac-91ab-0c4324293ffe", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pyplot as plt\n", - "import numpy as np\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda" - ] - }, - { - "cell_type": "markdown", - "id": "3c57a46c-641a-4d48-896a-e436e6095243", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "The setup is simple and similar to other examples, such as our [metalens](https://www.flexcompute.com/tidy3d/examples/notebooks/Metalens/). Our structure consists of a slab of dielectric in the xy plane sitting on a substrate. A plane wave is incident from below (-z). We define the slab using a custom medium, which gives us full control of the permittivity value at each point in space.\n", - "\n", - "### Set Global Parameters" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "3b9ed675-6b49-452f-a827-c4edb63c9371", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# wavelength and source properties\n", - "wavelength = 1.0\n", - "freq0 = td.C_0 / wavelength\n", - "fwidth = freq0 / 10\n", - "run_time = 100 / fwidth\n", - "\n", - "# permittivity of the mask and substrate\n", - "permittivity = 2.0\n", - "\n", - "# side length on x and y\n", - "length = 20\n", - "\n", - "# thickness of the metalens, enough to apply a relative phase shift of just over pi\n", - "k0 = 2 * np.pi / wavelength\n", - "delta_n = np.sqrt(permittivity) - 1\n", - "thickness = 4 / k0 / delta_n\n", - "\n", - "# distances between PML and source / monitor\n", - "buffer = 1.5 * wavelength\n", - "\n", - "# distances between source / monitor and the mask\n", - "dist_src = 1.5 * wavelength\n", - "dist_mnt = 6.1 * wavelength\n", - "\n", - "# resolution\n", - "min_steps_per_wvl = 17" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "b3c7abb1-6d18-4a0b-a5ed-35adeb459dc6", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# total z size and the center of the slab\n", - "Lz = buffer + dist_src + thickness + dist_mnt + buffer\n", - "z_center_slab = -Lz / 2 + buffer + dist_src + thickness / 2.0" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "d1f2c4d4-bf35-41fc-a97c-3429aa7f4a6a", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# resolution of the design region\n", - "dl_design_region = 2 * wavelength / min_steps_per_wvl / np.sqrt(permittivity)\n", - "\n", - "# number of pixel cells in the design region (in x and y)\n", - "nx = ny = int(length / dl_design_region)" - ] - }, - { - "cell_type": "markdown", - "id": "0efd2b8d-408a-490e-a877-d3dc7520cf8e", - "metadata": {}, - "source": [ - "### Define Simulation Components" - ] - }, - { - "cell_type": "markdown", - "id": "f2db7723-a7e2-4517-bfad-df1bee96afd0", - "metadata": {}, - "source": [ - "We start with defining some \"static\" components, which don't depend on our design parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "f609d704-2f5e-4af3-8be2-678a0b44f961", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# substrate of the same permittivity as the mask\n", - "substrate = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-td.inf, -td.inf, -1000), rmax=(+td.inf, +td.inf, z_center_slab - thickness / 2)\n", - " ),\n", - " medium=td.Medium(permittivity=permittivity),\n", - ")\n", - "\n", - "# plane wave\n", - "src = td.PlaneWave(\n", - " center=(0, 0, -Lz / 2 + buffer),\n", - " size=(td.inf, td.inf, 0),\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=fwidth),\n", - " direction=\"+\",\n", - ")\n", - "\n", - "# monitor we use to measure the intensity pattern above the device\n", - "mnt_out = td.FieldMonitor(\n", - " center=(0, 0, +Lz / 2 - buffer),\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " colocate=False,\n", - " name=\"output\",\n", - ")\n", - "\n", - "# monitor we use to inspect the field pattern from the side for visualization\n", - "mnt_side = td.FieldMonitor(\n", - " center=(0, 0, 0),\n", - " size=(td.inf, 0, td.inf),\n", - " freqs=[freq0],\n", - " name=\"side\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "ae5aff19-0a41-48bb-9296-f705417eb223", - "metadata": {}, - "source": [ - "Next we define the mask as a function of our design parameters using topology optimization + filtering and thresholding methods." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "85ca201b-2ef0-4eb6-9220-5bdde41f0493", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.filter import BinaryProjector, ConicFilter\n", - "\n", - "radius = 0.20\n", - "beta = 50\n", - "\n", - "conic_filter = ConicFilter(radius=radius, design_region_dl=dl_design_region)\n", - "\n", - "\n", - "def filter_project(params: jnp.ndarray, beta: float, eta=0.5) -> jnp.ndarray:\n", - " \"\"\"Apply conic filter and binarization to the raw params.\"\"\"\n", - " params_smooth = conic_filter.evaluate(params)\n", - " binary_projector = BinaryProjector(vmin=0, vmax=1, beta=beta, eta=eta)\n", - " params_smooth_binarized = binary_projector.evaluate(params_smooth)\n", - " return params_smooth_binarized\n", - "\n", - "\n", - "def get_eps(params: jnp.ndarray, beta: float) -> jnp.ndarray:\n", - " \"\"\"Get the permittivity values (1, permittivity) array as a function of the parameters (0, 1)\"\"\"\n", - " mask = filter_project(params, beta)\n", - " eps = 1 + mask * (permittivity - 1)\n", - " return eps.reshape((nx, ny, 1, 1))\n", - "\n", - "\n", - "def make_slab(params: jnp.ndarray, beta: float) -> tda.JaxStructure:\n", - " \"\"\"make the phase mask as a function of the parameters for a given `beta` value.\"\"\"\n", - "\n", - " # construct the coordinates\n", - " x0_max = +length / 2 - dl_design_region / 2\n", - " y0_max = +length / 2 - dl_design_region / 2\n", - " coords_x = np.linspace(-x0_max, x0_max, nx).tolist()\n", - " coords_y = np.linspace(-y0_max, y0_max, ny).tolist()\n", - " coords = dict(x=coords_x, y=coords_y, z=[z_center_slab], f=[freq0])\n", - "\n", - " # construct the data array for the permittivity\n", - " eps_values = get_eps(params, beta)\n", - " eps_data_array = tda.JaxDataArray(values=eps_values, coords=coords)\n", - "\n", - " # construct the permittivity dataset\n", - " field_components = {f\"eps_{dim}{dim}\": eps_data_array for dim in \"xyz\"}\n", - " eps_dataset = tda.JaxPermittivityDataset(**field_components)\n", - "\n", - " # construct the phase mask slab\n", - " custom_medium = tda.JaxCustomMedium(eps_dataset=eps_dataset)\n", - " box = td.Box(center=(0, 0, z_center_slab), size=(td.inf, td.inf, thickness))\n", - " return tda.JaxStructureStaticGeometry(geometry=box, medium=custom_medium)" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "be4d9460-f52e-44e3-ad01-1f7faa3b56c6", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def make_sim(params: jnp.ndarray, beta: float, pml_xy: bool = False) -> tda.JaxSimulation:\n", - " \"\"\"The `JaxSimulation` as a function of the design parameters.\"\"\"\n", - "\n", - " slab = make_slab(params, beta)\n", - "\n", - " # put a mesh override structure to ensure uniform dl across the slab\n", - " design_region_mesh = td.MeshOverrideStructure(\n", - " geometry=slab.geometry,\n", - " dl=[dl_design_region] * 3,\n", - " enforce=True,\n", - " )\n", - "\n", - " return tda.JaxSimulation(\n", - " size=(length, length, Lz),\n", - " grid_spec=td.GridSpec.auto(\n", - " min_steps_per_wvl=min_steps_per_wvl, override_structures=[design_region_mesh]\n", - " ),\n", - " boundary_spec=td.BoundarySpec.pml(x=pml_xy, y=pml_xy, z=True),\n", - " input_structures=[slab],\n", - " structures=[substrate],\n", - " output_monitors=[mnt_out],\n", - " monitors=[mnt_side],\n", - " sources=[src],\n", - " run_time=run_time,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "bcb0c957-b748-4dd4-9fbc-9311d0fd487d", - "metadata": {}, - "source": [ - "Let's make a simulation with some random starting parameters to inspect our setup." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "8e87837c-a990-415f-a121-28ed117b7d74", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "params0 = np.random.random((nx, ny))\n", - "beta0 = 1.0\n", - "\n", - "symmetrize = True\n", - "\n", - "# symmetrize the starting parameters (optional)\n", - "if symmetrize:\n", - " params0 += np.fliplr(params0)\n", - " params0 += np.flipud(params0)\n", - " params0 /= 4.0\n", - "\n", - "sim = make_sim(params=params0, beta=beta0)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "84b13caf-9509-47aa-8478-5a2149b37b6d", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, (ax1, ax2) = plt.subplots(1, 2, figsize=(10, 4), tight_layout=True)\n", - "ax1 = sim.plot_eps(x=0, ax=ax1)\n", - "ax2 = sim.plot_eps(z=z_center_slab, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "d0c11ef7-1046-41da-9236-a922aa8f1220", - "metadata": {}, - "source": [ - "## Define Objective\n", - "\n", - "We'll design this phase mask to give a transmitted intensity distribution of our choice.\n", - "\n", - "### Define Target Intensity\n", - "\n", - "In this case, we'll try to reproduce the Flexcompute logo, so let's make a function to generate that." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "2a121cec-97a8-49b7-a41a-cbeff7b21cf1", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import cv2\n", - "import xarray as xr\n", - "\n", - "logo_fname = \"misc/logo.png\"\n", - "\n", - "\n", - "def get_logo() -> np.ndarray:\n", - " \"\"\"Get the Flexcompute logo from file, load it into a numpy array, rescale it to (0, 1).\"\"\"\n", - " im = cv2.imread(logo_fname, cv2.IMREAD_GRAYSCALE).astype(float)\n", - " im -= np.min(im)\n", - " im /= np.max(im)\n", - " return im\n", - "\n", - "\n", - "def intensity_desired_fn_logo(xs: list, ys: list, rescale: float = 0.5) -> np.ndarray:\n", - " \"\"\"Return the 'value' of the flexcompute logo as a function of (x,y) with some rescaling.\"\"\"\n", - " logo_values = get_logo()\n", - "\n", - " # some rotations to get the logo in the right orientation for the final intensity pattern\n", - " logo_values = np.rot90(np.rot90(np.rot90(logo_values)))\n", - "\n", - " # re-interpolate the logo data at the supplied x,y points using xarray\n", - " nx, ny = logo_values.shape\n", - " xs_logo = np.linspace(rescale * min(xs), rescale * max(xs), nx)\n", - " ys_logo = np.linspace(rescale * min(ys), rescale * max(ys), ny)\n", - " logo_dataarray = xr.DataArray(logo_values, coords=dict(x=xs_logo, y=ys_logo))\n", - " logo_interp = logo_dataarray.interp(x=xs, y=ys)\n", - "\n", - " # handle any nans for out of bounds (replace with 0)\n", - " return np.nan_to_num(logo_interp.values, nan=np.min(logo_interp))" - ] - }, - { - "cell_type": "markdown", - "id": "d061e3cf-529f-445c-9b71-4fab1bfe58e6", - "metadata": {}, - "source": [ - "Let's test this function out by plotting our target intensity." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "070e845f-41a1-449d-9d98-6294b5f794ce", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "xs = ys = np.linspace(-length / 2, length / 2, nx)\n", - "intensity_desired = intensity_desired_fn_logo(xs, ys)" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "fdf5eded-d61b-4259-a1aa-38f637ee28a4", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.pcolormesh(xs, ys, intensity_desired.T, cmap=\"magma\")\n", - "plt.gca().set_aspect(\"equal\")\n", - "plt.xlabel(\"x\")\n", - "plt.ylabel(\"y\")\n", - "plt.title(\"desired intensity pattern\")\n", - "plt.colorbar()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "98f986be-efc7-4be6-9192-1d87bb7e8f33", - "metadata": { - "tags": [] - }, - "source": [ - "### Compare Measurement to Target\n", - "\n", - "Next we need a way to compare the measured intensity pattern to this target intensity pattern.\n", - "\n", - "We'll come up with a figure of merit for the closeness of our objective.\n", - "\n", - "First, let's run a simulation with an empty mask to figure out what the average intensity should be at the measurement plane (for normalization later).\n", - "\n", - "> Note: Although Tidy3D normalizes field values by default, in this case doing a normalization run is useful as we're injecting from a substrate, which will affect the results. In the new caching feature of 2.6, these simulations will not use credits or much time when run after the first time." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "a2306cdb-3eb9-4553-b740-5a8a803650c5", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
15:02:14 EST Created task 'normalization' with task_id                          \n",
-       "             'fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m15:02:14 EST\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'normalization'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff\n",
-       "             3-4d01-a5b9-072d5efbf33a'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=28702;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=163410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=28702;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=221645;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=28702;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32m-e6d53724-7ff\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=28702;https://tidy3d.simulation.cloud/workbench?taskId=fdve-e6d53724-7ff3-4d01-a5b9-072d5efbf33a\u001b\\\u001b[32m3-4d01-a5b9-072d5efbf33a'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "76489589fccf4960a170fb6035f001cd", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
15:02:16 EST status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m15:02:16 EST\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "927a6a0e46a1496e96f5345d70557fc4", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
15:02:17 EST loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m15:02:17 EST\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "params_empty = np.zeros_like(params0)\n", - "\n", - "sim_empty = make_sim(params_empty, beta=100)\n", - "sim_data_norm = tda.web.run(sim_empty, task_name=\"normalization\", verbose=True)\n", - "intensity_norm = sim_data_norm.get_intensity(mnt_out.name)\n", - "intensity_norm_mean = jnp.mean(intensity_norm.values)" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "88edc61c-17c0-477c-a82c-64aeb950cc1a", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Average intensity of '1.80' (a.u.) measured without any device.\n" - ] - } - ], - "source": [ - "print(f\"Average intensity of '{intensity_norm_mean:.2f}' (a.u.) measured without any device.\")" - ] - }, - { - "cell_type": "markdown", - "id": "44e5f0a1-e604-4f33-a02d-53dd71d59569", - "metadata": {}, - "source": [ - "Next let's write our loss function over the measured intensity data." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "3a5a34bc-9f22-4338-9215-5d177da445c5", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def get_intensities(sim_data: tda.JaxSimulationData) -> tuple[jnp.ndarray, np.ndarray]:\n", - " \"\"\"Convenience function to grab the (unnormalized) intensity patterns from the data.\"\"\"\n", - "\n", - " # first, grab the dataset storing the intensity values and coordinates\n", - " intensity_dataset = sim_data.get_intensity(mnt_out.name)\n", - " xs = intensity_dataset.coords[\"x\"]\n", - " ys = intensity_dataset.coords[\"y\"]\n", - "\n", - " # the \"measured\" values are just the raw data\n", - " intensity_measured = jnp.squeeze(intensity_dataset.values)\n", - "\n", - " # the \"desired\" or \"target\" values are the logo function evaluated at the data coords\n", - " intensity_desired = intensity_desired_fn_logo(xs, ys)\n", - "\n", - " return intensity_measured, intensity_desired\n", - "\n", - "\n", - "# range within which to consider intensity as part of the objective function\n", - "# eg. if the measured intensity is above int_max, we just consider it at the target value of 1.0\n", - "intensity_range = int_min, int_max = (0.0, 1.0)\n", - "\n", - "\n", - "def intensity_diff_fn(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"Returns a measure for the amount of difference between desired and target intensity patterns.\"\"\"\n", - "\n", - " intensity_measured, intensity_desired = get_intensities(sim_data)\n", - "\n", - " # normalize the measured intensity such that there's the same \"power\" in the signal as expected in the logo\n", - " intensity_measured *= np.mean(intensity_desired) / intensity_norm_mean\n", - "\n", - " # apply the \"capping\" within intensity_range (optional)\n", - " int_range_magnitude = abs(int_max - int_min)\n", - " intensity_measured = jnp.minimum(intensity_measured, int_max)\n", - " intensity_measured = jnp.maximum(intensity_measured, int_min)\n", - " intensity_desired = int_range_magnitude * intensity_desired + int_min\n", - "\n", - " # take the elementwise difference\n", - " difference = intensity_measured - intensity_desired\n", - "\n", - " # normalized by the 'worst case' (difference if measured was exact inverse of the target)\n", - " difference_denominator = int_range_magnitude * np.ones_like(intensity_desired)\n", - "\n", - " # return the normalized norm of the difference\n", - " return jnp.linalg.norm(difference) / jnp.linalg.norm(difference_denominator)" - ] - }, - { - "cell_type": "markdown", - "id": "3611df44-6810-4db7-93ea-96a44ac8e9e8", - "metadata": {}, - "source": [ - "### Fabrication Constraints\n", - "\n", - "If desired, we can add a fabrication constraint penalty to the figure of merit.\n", - "\n", - "As in other notebooks, we can consider a simple penalty based on whether the structure changes upon dilation and erosion with a given distance." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "92a2f4c7-1a46-47d2-ba92-1920f6a6f406", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "\n", - "\n", - "def penalty_fn(params, beta):\n", - " processed_params = filter_project(params, beta=beta)\n", - "\n", - " penalty = ErosionDilationPenalty(pixel_size=dl_design_region, length_scale=radius)\n", - " return penalty.evaluate(processed_params)" - ] - }, - { - "cell_type": "markdown", - "id": "83169dac-977c-4a37-8581-a1eb2af506d7", - "metadata": {}, - "source": [ - "### Loss Function\n", - "\n", - "Finally, we can throw all of this into a loss function to minimize. \n", - "\n", - "We will use a very small weight on our penalty function as it turns out to not be super important in this problem." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "ac5a5c88-4247-4eeb-b8a3-96e727e3fd7d", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "penalty_weight = 0.1\n", - "\n", - "# let's ignore the penalty for the demo, but set to True to explore how it changes the final device\n", - "include_penalty = False\n", - "\n", - "\n", - "def loss_fn(params: jnp.ndarray, beta: float) -> tuple[float, dict]:\n", - " \"\"\"Loss function for the design, the difference in intensity + the feature size penalty.\"\"\"\n", - "\n", - " # construct and run the simulation\n", - " sim = make_sim(params, beta=beta)\n", - " sim_data = tda.web.run(sim, task_name=\"phase_mask_example\", verbose=False)\n", - "\n", - " # grab the respective and total losses\n", - " intensity_diff_loss = intensity_diff_fn(sim_data)\n", - " if include_penalty:\n", - " penalty_loss = penalty_weight * penalty_fn(params, beta)\n", - " else:\n", - " penalty_loss = 0.0\n", - "\n", - " total_loss = intensity_diff_loss + penalty_loss\n", - "\n", - " # dictionary to stash results if we want to use them in the optimization loop\n", - " aux_data = dict(intensity_diff=intensity_diff_loss, penalty=penalty_loss, sim_data=sim_data)\n", - "\n", - " return total_loss, aux_data" - ] - }, - { - "cell_type": "markdown", - "id": "1399efcd-21fe-41e9-b586-0b7a16c6bc71", - "metadata": {}, - "source": [ - "Before optimizing, let's test out our loss function to ensure we can run it and get the gradient of it with respect to the starting parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "7458767b-a95c-4b07-a809-9c7ec3167ab5", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# construct a function of `params` and `beta` that returns the loss value, gradient, and the aux_data\n", - "loss_fn_val_grad = jax.value_and_grad(loss_fn, has_aux=True)\n", - "\n", - "# call this on our initial parameters\n", - "(val, aux_data), grad = loss_fn_val_grad(params0, beta=beta0)" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "ea8e2fa9-e672-4b78-a8ce-d6369d84d412", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "initial loss value = 0.246\n", - " - penalty contribution = 0.000\n", - " - intensity difference contribution = 0.246\n", - "gradient shape = (239, 239)\n", - "norm of gradient = 5.256e-04\n" - ] - } - ], - "source": [ - "penalty = aux_data[\"penalty\"]\n", - "intensity_diff = aux_data[\"intensity_diff\"]\n", - "\n", - "print(f\"initial loss value = {val:.3f}\")\n", - "print(f\" - penalty contribution = {penalty:.3f}\")\n", - "print(f\" - intensity difference contribution = {intensity_diff:.3f}\")\n", - "print(f\"gradient shape = {grad.shape:}\")\n", - "print(f\"norm of gradient = {jnp.linalg.norm(grad):.3e}\")" - ] - }, - { - "cell_type": "markdown", - "id": "5d28f684-f441-4844-8ace-b5f711a9f3aa", - "metadata": {}, - "source": [ - "Looks good! We get a reasonable loss value, our gradient has the expected shape, and it's non-zero (which can often indicate some issue concerning the flow of the gradient through the objective function.\n", - "\n", - "> Note: we passed `has_aux=True`, which means that we tell `jax` that the 2nd return value (`aux_data`) should be ignored in the gradient calculation. In other words, it tells `jax` to only consider the gradient w.r.t. the first output (`total_loss`).\n", - "\n", - "Let's also visualize the fields for another sanity check, which we can grab from the `aux_data`." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "c6fdffd3-6947-43e0-ac78-341016bc8561", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data = aux_data[\"sim_data\"]\n", - "sim_data.plot_field(field_monitor_name=\"side\", field_name=\"Ex\", val=\"real\")\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "0f150383-ebdc-42c8-a020-c94da1a587a1", - "metadata": {}, - "source": [ - "## Optimize Device\n", - "\n", - "Now we are finally ready to optimize our device.\n", - "\n", - "As in the other tutorials, we use the implementation of \"Adam Optimization\" from `optax`." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "d7ed00dc-0e76-488b-aaa5-ee7a4a15d9cd", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = (1 / 35)\n", - "\tloss = 2.462e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 2.462e-01\n", - "\tbeta = 1.00\n", - "\t|gradient| = 5.256e-04\n", - "step = (2 / 35)\n", - "\tloss = 2.360e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 2.360e-01\n", - "\tbeta = 1.50\n", - "\t|gradient| = 5.485e-04\n", - "step = (3 / 35)\n", - "\tloss = 2.072e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 2.072e-01\n", - "\tbeta = 2.00\n", - "\t|gradient| = 5.432e-04\n", - "step = (4 / 35)\n", - "\tloss = 1.954e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.954e-01\n", - "\tbeta = 2.50\n", - "\t|gradient| = 7.228e-04\n", - "step = (5 / 35)\n", - "\tloss = 1.851e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.851e-01\n", - "\tbeta = 3.00\n", - "\t|gradient| = 7.682e-04\n", - "step = (6 / 35)\n", - "\tloss = 1.715e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.715e-01\n", - "\tbeta = 3.50\n", - "\t|gradient| = 6.317e-04\n", - "step = (7 / 35)\n", - "\tloss = 1.647e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.647e-01\n", - "\tbeta = 4.00\n", - "\t|gradient| = 5.940e-04\n", - "step = (8 / 35)\n", - "\tloss = 1.573e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.573e-01\n", - "\tbeta = 4.50\n", - "\t|gradient| = 5.117e-04\n", - "step = (9 / 35)\n", - "\tloss = 1.522e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.522e-01\n", - "\tbeta = 5.00\n", - "\t|gradient| = 4.715e-04\n", - "step = (10 / 35)\n", - "\tloss = 1.473e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.473e-01\n", - "\tbeta = 5.50\n", - "\t|gradient| = 4.025e-04\n", - "step = (11 / 35)\n", - "\tloss = 1.440e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.440e-01\n", - "\tbeta = 6.00\n", - "\t|gradient| = 3.987e-04\n", - "step = (12 / 35)\n", - "\tloss = 1.407e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.407e-01\n", - "\tbeta = 6.50\n", - "\t|gradient| = 3.029e-04\n", - "step = (13 / 35)\n", - "\tloss = 1.381e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.381e-01\n", - "\tbeta = 7.00\n", - "\t|gradient| = 2.705e-04\n", - "step = (14 / 35)\n", - "\tloss = 1.357e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.357e-01\n", - "\tbeta = 7.50\n", - "\t|gradient| = 2.266e-04\n", - "step = (15 / 35)\n", - "\tloss = 1.338e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.338e-01\n", - "\tbeta = 8.00\n", - "\t|gradient| = 2.234e-04\n", - "step = (16 / 35)\n", - "\tloss = 1.320e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.320e-01\n", - "\tbeta = 8.50\n", - "\t|gradient| = 1.928e-04\n", - "step = (17 / 35)\n", - "\tloss = 1.305e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.305e-01\n", - "\tbeta = 9.00\n", - "\t|gradient| = 2.003e-04\n", - "step = (18 / 35)\n", - "\tloss = 1.291e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.291e-01\n", - "\tbeta = 9.50\n", - "\t|gradient| = 1.882e-04\n", - "step = (19 / 35)\n", - "\tloss = 1.278e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.278e-01\n", - "\tbeta = 10.00\n", - "\t|gradient| = 1.684e-04\n", - "step = (20 / 35)\n", - "\tloss = 1.268e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.268e-01\n", - "\tbeta = 10.50\n", - "\t|gradient| = 1.960e-04\n", - "step = (21 / 35)\n", - "\tloss = 1.258e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.258e-01\n", - "\tbeta = 11.00\n", - "\t|gradient| = 2.067e-04\n", - "step = (22 / 35)\n", - "\tloss = 1.247e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.247e-01\n", - "\tbeta = 11.50\n", - "\t|gradient| = 1.569e-04\n", - "step = (23 / 35)\n", - "\tloss = 1.239e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.239e-01\n", - "\tbeta = 12.00\n", - "\t|gradient| = 1.578e-04\n", - "step = (24 / 35)\n", - "\tloss = 1.231e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.231e-01\n", - "\tbeta = 12.50\n", - "\t|gradient| = 1.479e-04\n", - "step = (25 / 35)\n", - "\tloss = 1.224e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.224e-01\n", - "\tbeta = 13.00\n", - "\t|gradient| = 1.955e-04\n", - "step = (26 / 35)\n", - "\tloss = 1.220e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.220e-01\n", - "\tbeta = 13.50\n", - "\t|gradient| = 1.990e-04\n", - "step = (27 / 35)\n", - "\tloss = 1.212e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.212e-01\n", - "\tbeta = 14.00\n", - "\t|gradient| = 1.866e-04\n", - "step = (28 / 35)\n", - "\tloss = 1.207e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.207e-01\n", - "\tbeta = 14.50\n", - "\t|gradient| = 2.336e-04\n", - "step = (29 / 35)\n", - "\tloss = 1.201e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.201e-01\n", - "\tbeta = 15.00\n", - "\t|gradient| = 2.416e-04\n", - "step = (30 / 35)\n", - "\tloss = 1.196e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.196e-01\n", - "\tbeta = 15.50\n", - "\t|gradient| = 2.157e-04\n", - "step = (31 / 35)\n", - "\tloss = 1.191e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.191e-01\n", - "\tbeta = 16.00\n", - "\t|gradient| = 2.127e-04\n", - "step = (32 / 35)\n", - "\tloss = 1.186e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.186e-01\n", - "\tbeta = 16.50\n", - "\t|gradient| = 2.165e-04\n", - "step = (33 / 35)\n", - "\tloss = 1.184e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.184e-01\n", - "\tbeta = 17.00\n", - "\t|gradient| = 2.566e-04\n", - "step = (34 / 35)\n", - "\tloss = 1.182e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.182e-01\n", - "\tbeta = 17.50\n", - "\t|gradient| = 2.685e-04\n", - "step = (35 / 35)\n", - "\tloss = 1.180e-01\n", - "\t\tpenalty = 0.000e+00\n", - "\t\tintensity difference = 1.180e-01\n", - "\tbeta = 18.00\n", - "\t|gradient| = 3.073e-04\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# hyperparameters\n", - "num_steps = 35\n", - "learning_rate = 0.75\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = params0.copy()\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "history = dict(loss=[], params=[], betas=[], penalty=[], intensity_diff=[], sim_data=[])\n", - "\n", - "# gradually increase the binarization strength over iteration\n", - "beta_increment = 0.5\n", - "beta = beta0\n", - "\n", - "for i in range(num_steps):\n", - " print(f\"step = ({i + 1} / {num_steps})\")\n", - "\n", - " # compute gradient and current loss function value\n", - " (loss, aux_data), gradient = loss_fn_val_grad(params, beta=beta)\n", - " penalty = aux_data[\"penalty\"]\n", - " intensity_diff = aux_data[\"intensity_diff\"]\n", - "\n", - " # save history\n", - " history[\"loss\"].append(loss)\n", - " history[\"params\"].append(params)\n", - " history[\"betas\"].append(beta)\n", - " history[\"penalty\"].append(penalty)\n", - " history[\"intensity_diff\"].append(intensity_diff)\n", - " history[\"sim_data\"].append(aux_data[\"sim_data\"])\n", - "\n", - " # log some output\n", - " print(f\"\\tloss = {loss:.3e}\")\n", - " print(f\"\\t\\tpenalty = {penalty:.3e}\")\n", - " print(f\"\\t\\tintensity difference = {intensity_diff:.3e}\")\n", - " print(f\"\\tbeta = {beta:.2f}\")\n", - " print(f\"\\t|gradient| = {np.linalg.norm(gradient):.3e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (+1 sign to minimize loss_fn)\n", - " updates, opt_state = optimizer.update(+gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # cap the parameters between their bounds\n", - " params = jnp.minimum(params, 1.0)\n", - " params = jnp.maximum(params, 0.0)\n", - "\n", - " # update the beta value\n", - " beta += beta_increment" - ] - }, - { - "cell_type": "markdown", - "id": "a5f4797d-db68-47d3-9f5d-2c97d602a682", - "metadata": {}, - "source": [ - "## Analyze Results" - ] - }, - { - "cell_type": "markdown", - "id": "e1e552cf-70e7-45fc-9969-d3a93ff00454", - "metadata": { - "tags": [] - }, - "source": [ - "First, let's plot the objective function history." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "eb24f819-69ac-4669-97af-ad5d4b266368", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(history[\"loss\"], label=\"total loss\")\n", - "plt.plot(history[\"penalty\"], linestyle=\"-.\", label=\"penalty contribution\")\n", - "plt.plot(history[\"intensity_diff\"], linestyle=\"--\", label=\"intensity contribution\")\n", - "plt.plot(np.zeros_like(history[\"loss\"]), linestyle=\":\", color=\"k\", label=\"no loss\")\n", - "\n", - "plt.xlabel(\"iteration number\")\n", - "plt.ylabel(\"loss value\")\n", - "\n", - "plt.title(\"loss function over optimization\")\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "a8b34678-1d12-4c62-8ce0-f51ab7eaf656", - "metadata": {}, - "source": [ - "Next let's plot the final device pattern." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "60477482-5eca-4047-93bd-3751cbccdf45", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# get the final parameters, construct the final simulation\n", - "params_final = history[\"params\"][-1]\n", - "beta_final = history[\"betas\"][-1]\n", - "jax_sim_final = make_sim(params_final, beta=beta_final)\n", - "\n", - "# convert to regular `td.Simulation`\n", - "sim_final = jax_sim_final.to_simulation()[0]\n", - "sim_final.plot_eps(z=z_center_slab, monitor_alpha=0)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "f5196e34-ab65-4a40-a742-de3149c577ff", - "metadata": {}, - "source": [ - "Let's run this simulation to see the final field patterns" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "489932b3-5676-4dca-b7ca-ddb3ac9546c6", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
17:07:52 EST Created task 'Inspect' with task_id                                \n",
-       "             'fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:07:52 EST\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'Inspect'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81f\n",
-       "             c-4a35-90bf-f8aeaaef4c54'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=271492;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=536546;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=271492;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=772546;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=271492;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32m-80edc529-81f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=271492;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[32mc-4a35-90bf-f8aeaaef4c54'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a405a063765a47f191fa64ad75981745", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:07:56 EST status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:07:56 EST\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:08:04 EST status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:08:04 EST\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
17:08:07 EST Maximum FlexCredit cost: 0.127. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:08:07 EST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.127\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6dbaa1a50ad74c07b31914537de8b6f6", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:09:16 EST early shutoff detected at 96%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:09:16 EST\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m96\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:09:23 EST status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:09:23 EST\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81f\n",
-       "             c-4a35-90bf-f8aeaaef4c54'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=553680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=422625;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=553680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=37450;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=553680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34m-80edc529-81f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=553680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-80edc529-81fc-4a35-90bf-f8aeaaef4c54\u001b\\\u001b[4;34mc-4a35-90bf-f8aeaaef4c54'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e9febde268044d3b8cede9484edda85a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:09:25 EST loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:09:25 EST\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_final = td.web.run(sim_final, task_name=\"Inspect\")" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "d743ed6e-4e4e-4847-940b-1cfc7c541111", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA9wAAAGTCAYAAADawRqNAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8g+/7EAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOy9d7wV1bk+/qw1M3vvU+AAAiIRFbFg7MGSKIqKEY0lqDGJibHESOxXuUZjNAho5KqxpFjuzVUxlsTyvWquxi72xGii9xdNROwRKYLA4bS9Z2at3x+rz8w+Bc4Ry3r8bDl79syaNWvaet/3eZ+XcM45PDw8PDw8PDw8PDw8PDw8+hV0XXfAw8PDw8PDw8PDw8PDw+OzCG9we3h4eHh4eHh4eHh4eHgMALzB7eHh4eHh4eHh4eHh4eExAPAGt4eHh4eHh4eHh4eHh4fHAMAb3B4eHh4eHh4eHh4eHh4eAwBvcHt4eHh4eHh4eHh4eHh4DAC8we3h4eHh4eHh4eHh4eHhMQDwBreHh4eHh4eHh4eHh4eHxwDAG9weHh4eHh4eHh4eHh4eHgMAb3B7eHxC8MQTT4AQgieeeGJdd8XDw8PDw8PDw8PDox/gDW4Pj48Z11xzDebOnbuuu7FGuO2223DVVVet627kcOutt4IQgubm5l6tP3fuXBBCCj+LFy/W6yknSL3Pz372s4E6JA8PDw8PDw8Pj88ACOecr+tOeHh8nrDNNttg+PDhuUg2Ywy1Wg2lUgmUfjJ9YQcddBBeeeUVvPPOO+u6KxptbW3YcsstsWrVKv29J8ydOxfHHXccZs+ejbFjxzq/feMb30ClUgEALFmyBI888khu+5tvvhkPP/ww/vKXv2DnnXfuh6Pw8PDw8PDw8PD4LCJc1x3w+Hyivb0dTU1N67obnyhQSrWh59F7XHTRRRg0aBD23ntv3HPPPX3a9oADDsBOO+1U9/f1118fRx11VG75rFmzsPnmm3tj28PDw8PDw8PDo1t8MsNoHp8qLFy4EMcffzxGjx6NcrmMsWPH4qSTTkKtVgNg6LtPPvkkTj75ZIwcORIbbrih3v6aa67B1ltvjXK5jNGjR+OUU07BypUrnX0sWLAAhx9+OEaNGoVKpYINN9wQ3/72t3VUEwAeeeQRTJw4EUOGDEFzczO23HJL/OQnP+mx/73Zrlqt4oILLsBmm22GcrmMMWPG4Oyzz0a1Ws21d8stt2CXXXZBY2Mjhg4dij333BMPP/wwAGCTTTbBq6++iieffFLTkvfaay8A9XO477zzTkyYMAENDQ0YPnw4jjrqKCxcuNBZ59hjj0VzczMWLlyIqVOnorm5GSNGjMBZZ52FNE17HIN7770XBx54oD6H48aNw4UXXuhsu9dee+H+++/Hu+++q/u+ySab1G3z2GOPrUvFnjlzZo996g0WLFiAK6+8EldccQXCcM38h6tXr+7VGCn85S9/wRtvvIHvfve7a7Q/Dw8PDw8PDw+Pzw98hNtjrfDBBx9gl112wcqVKzFt2jSMHz8eCxcuxF133YWOjg6USiW97sknn4wRI0ZgxowZaG9vBwDMnDkTs2bNwr777ouTTjoJ8+fPx7XXXosXXngBzz77LKIoQq1Ww5QpU1CtVnHaaadh1KhRWLhwIe677z6sXLkSLS0tePXVV3HQQQdhu+22w+zZs1Eul/HGG2/g2Wef7bb/vdmOMYZDDjkEzzzzDKZNm4atttoKf//733HllVfi9ddfd6Kqs2bNwsyZM7Hbbrth9uzZKJVKeP755/H4449jv/32w1VXXYXTTjsNzc3NOO+88wCIKGo9KOrzzjvvjDlz5mDJkiX4xS9+gWeffRYvvfQShgwZotdN0xRTpkzBrrvuip///Od49NFHcfnll2PcuHE46aSTuh2HuXPnorm5GdOnT0dzczMef/xxzJgxA62trbjssssAAOeddx5WrVqF999/H1deeSUAdJsz/cMf/hD77ruvs+zBBx/ErbfeipEjR+ply5Yt67ZvCoMGDUK5XHaWnXHGGdh7773xta99DXfccUev2rGx9957o62tDaVSCVOmTMHll1+OzTffvNttbr31VgDwBreHh4eHh4eHh0fP4B4ea4Gjjz6aU0r5Cy+8kPuNMcY55/zGG2/kAPjEiRN5kiT696VLl/JSqcT3228/nqapXv7rX/+aA+A33HAD55zzl156iQPgd955Z91+XHnllRwA//DDD/vU/95sd/PNN3NKKX/66aed5ddddx0HwJ999lnOOecLFizglFJ+6KGHOsfDuRkLzjnfeuut+aRJk3L7mTdvHgfA582bxznnvFar8ZEjR/JtttmGd3Z26vXuu+8+DoDPmDFDLzvmmGM4AD579mynzR133JFPmDCh+0HgnHd0dOSW/fCHP+SNjY28q6tLLzvwwAP5xhtv3GN7RViwYAFvaWnhX/3qV53rAECvPjfeeKPT3n333cfDMOSvvvoq51yMQVNTU6/6cvvtt/Njjz2W33TTTfzuu+/m559/Pm9sbOTDhw/n7733Xt3tkiTh66+/Pt9ll136PgAeHh4eHh4eHh6fO3hKuccagzGGe+65BwcffHBhHiwhxPl+wgknIAgC/f3RRx9FrVbDGWec4YiEnXDCCRg8eDDuv/9+AEBLSwsA4KGHHkJHR0dhX1Sk99577wVjrNfH0Jvt7rzzTmy11VYYP348li1bpj/77LMPAGDevHkAgHvuuQeMMcyYMSMnepYdi97gxRdfxNKlS3HyySc7ud0HHnggxo8fr8fHxoknnuh832OPPfDWW2/1uK+Ghgb99+rVq7Fs2TLsscce6OjowGuvvdbnvmfR3t6OQw89FEOHDsXvfvc75zp45JFHevWZMmWK3qZWq+HMM8/EiSeeiC9+8Yt97s83v/lN3HjjjTj66KMxdepUXHjhhXjooYewfPnybpXHH3vsMSxZssRHtz08PDw8PDw8PHoFTyn3WGN8+OGHaG1txTbbbNOr9bNq0O+++y4AYMstt3SWl0olbLrppvr3sWPHYvr06bjiiitw6623Yo899sAhhxyCo446Shvj3/rWt/Df//3f+MEPfoAf//jHmDx5Mg477DB84xvf6FbxuzfbLViwAP/85z8xYsSIwjaWLl0KAHjzzTdBKV0jA7AI9cYHAMaPH49nnnnGWVapVHJ9HDp0KFasWNHjvl599VWcf/75ePzxx9Ha2ur8ZufJrylOOOEEvPnmm3juueew3nrrOb9laee9wZVXXolly5Zh1qxZa903hYkTJ2LXXXfFo48+WnedW2+9FUEQ4Fvf+la/7dfDw8PDw8PDw+OzC29we3xssKOofcXll1+OY489Fvfeey8efvhhnH766ZgzZw7+/Oc/Y8MNN0RDQwOeeuopzJs3D/fffz8efPBB3H777dhnn33w8MMPOxHVbJ962o4xhm233RZXXHFFYRtjxoxZ4+PqT9Q7xp6wcuVKTJo0CYMHD8bs2bMxbtw4VCoV/O1vf8M555zTJ8ZAEX7xi1/gd7/7HW655RbssMMOud/tutfdoaWlBQ0NDVi1ahUuuuginHzyyWhtbdUOgra2NnDO8c4776CxsdHJE+8txowZg/nz5xf+1tnZibvvvhv77rtvt3n3Hh4eHh4eHh4eHgre4PZYY4wYMQKDBw/GK6+8skbbb7zxxgCA+fPnY9NNN9XLa7Ua3n777Vzkc9ttt8W2226L888/H8899xx23313XHfddbjooosAiLJakydPxuTJk3HFFVfg4osvxnnnnYd58+Z1G0Xtabtx48bh//7v/zB58uRuqeHjxo0DYwz/+Mc/Cg1Lhd7Sy+3xUfR1hfnz5+vf1xZPPPEEli9fjv/5n//BnnvuqZe//fbbuXX7So1/+umncdZZZ+GMM86oS8PeYIMNetXWjTfeiGOPPRYrVqxAW1sbLr30Ulx66aW59caOHYuvf/3rfS4RBgBvvfVWXSbDH/7wB6xevdrTyT08PDw8PDw8PHoNb3B7rDEopZg6dSpuueUWvPjii7k8bs55twbavvvui1KphF/+8pfYf//99brXX389Vq1ahQMPPBAA0NraisbGRqfs07bbbgtKqS7L9dFHH2HYsGFO+8roLSrdpdCb7b75zW/ij3/8I37zm99g2rRpzrqdnZ1gjKGpqQlTp07FOeecg9mzZ+Ouu+5yqOz2WDQ1NeXKnhVhp512wsiRI3Hdddfh+9//vlbofuCBB/DPf/4TM2bM6LGN3kBFxjnnelmtVsM111yTW7epqanXFPNFixbhm9/8JiZOnKiVzovwyCOP9Kq9rbfeGgAwcuRI3H333bnff/nLX+JPf/oTfve73zlG/KJFi7Bq1SqMGzcOURQBEOkQWcP6j3/8I/7617/i9NNPL9z/bbfdhsbGRhx66KG96q+Hh4eHh4eHh4eHN7g91goXX3wxHn74YUyaNEmXzFq0aBHuvPNOPPPMM07ZqixGjBiBc889F7NmzcL++++PQw45BPPnz8c111yDnXfeGUcddRQA4PHHH8epp56KI444AltssQWSJMHNN9+MIAhw+OGHAwBmz56Np556CgceeCA23nhjLF26FNdccw023HBDTJw4sW4ferPd9773Pdxxxx048cQTMW/ePOy+++5I0xSvvfYa7rjjDjz00EPYaaedsNlmm+G8887DhRdeiD322AOHHXYYyuUyXnjhBYwePRpz5swBAEyYMAHXXnstLrroImy22WYYOXJkLoINAFEU4ZJLLsFxxx2HSZMm4cgjj9RlwTbZZBOceeaZa3raHOy2224YOnQojjnmGJx++ukghODmm292DHCFCRMm4Pbbb8f06dOx8847o7m5GQcffHBhu6effjo+/PBDnH322fj973/v/Lbddtthu+22A9D3HO7GxkZMnTo1t/yee+7BX/7yl9xv5557Lm666Sa8/fbbum74brvthh133BE77bQTWlpa8Le//Q033HADxowZU1i7/aOPPsIDDzyAww8/vNtSaB4eHh4eHh4eHh4O1qlGusdnAu+++y4/+uij+YgRI3i5XOabbropP+WUU3i1WuWcm7JgRaXDOBdlwMaPH8+jKOLrr78+P+mkk/iKFSv072+99Rb//ve/z8eNG8crlQofNmwY33vvvfmjjz6q13nsscf417/+dT569GheKpX46NGj+ZFHHslff/31bvve2+1qtRq/5JJL+NZbb83L5TIfOnQonzBhAp81axZftWqVs+4NN9zAd9xxR73epEmT+COPPKJ/X7x4MT/wwAP5oEGDOABdIixbFkzh9ttv1+0NGzaMf/e73+Xvv/++s069klgXXHAB781t/uyzz/Ivf/nLvKGhgY8ePZqfffbZ/KGHHsr1p62tjX/nO9/hQ4YM4QC6LRE2adKkuiW+Lrjggh771FfUGwNVMu3tt9/Wy8477zy+ww478JaWFh5FEd9oo434SSedxBcvXlzYtioB94c//KHf++3h4eHh4eHh4fHZBeG8IIzl4eHh4eHh4eHh4eHh4eGxVvB1uD08PDw8PDw8PDw8PDw8BgDe4Pbw8PDw8PDw8PDw8PDwGAB4g9vDw8PD4xOPv//973jrrbfWdTc8PDw8PDw+d6jVanjkkUeQJMm67sqnEp8qg/upp57CwQcfjNGjR4MQkquzyznHjBkzsMEGG6ChoQH77rsvFixY0GO7V199NTbZZBNUKhXsuuuu+Mtf/jJAR+Dh4eHh0VesWLECkybtjqlTv4o0Tdd1dzw8PDw8PD5XuObaf8d+++2HG244d1135VOJT5XB3d7eju233x5XX3114e+XXnopfvnLX+K6667D888/j6amJkyZMgVdXV1121Qlji644AL87W9/w/bbb48pU6Zg6dKlA3UYHh4eHh59wEU/Owk77LgZ2to6MXduvmybh4eHh4eHx8Bg5cqVuOjC3+L44w/EzJk3or29fV136VOHT61KOSEEd999t665yznH6NGj8e///u8466yzAACrVq3C+uuvj7lz5+Lb3/52YTu77rordt55Z/z6178GADDGMGbMGJx22mn48Y9/XLhNtVpFtVrV3xlj+Oijj7DeeuuBENKPR+nh4eHxyQTnHKtXr8bo0aNB6cD5bt955x188Yvj8cyzv8Zr/3wPP/rRtViw4F00NjYO2D49PDw8PDw8BM4+5zt48cXX8PDDl2PixFOx//67YOYFN67rbn2q8JkxuN966y2MGzcOL730EnbYYQe93qRJk7DDDjvgF7/4Ra6NWq2GxsZG3HXXXbodADjmmGOwcuVK3HvvvYX7njlzJmbNmtWfh+Ph4eHxqcS//vUvbLjhhgPW/ne+sy+iKMQNN/4YnHN85Ssn45BDdsdPz//vAdunh4eHh4eHB/Dee+9h/Pgt8ORTv8SXvrQFnn327zjwa+dgwYK3MGrUqHXdvU8NwnXdgf7C4sWLAQDrr7++s3z99dfXv2WxbNkypGlauM1rr71Wd1/nnnsupk+frr+vWrUKG220ETZrORSgFDHvQJULukU1XY1a0opaIr6nrAOc1cChRAey/g4CQkqgtAIACGgFUdCAKGgw32kjQlJCgEhukY8uURI43xk3eY8cDClixLwTAFBLO2QfVwMAkrQDnMcAWN0x6B4UhAQgJJR9KYHSEgJaAgCEQQUBCUFIJNdPwViCmHXJ/XeBsZoZEUJBSCi2k22o7Qkxx845k/0GUp4gZTUwluhjJqAIAzGuUdCEUtCIChksvpNGRLyEwLolUiSIiehHF1+FznQlqkmrGLOkDUnaBsaqeu38uewLCAhC0EBE7UrhYFSiwWgIhqFCRR/LvAllXnHOd0oSVCHOYxXtiNGFKmsTv7FOJKyGVI4lYwkYT8B58XklhIKSEJSq8xaKZXJ/4jxE5jySMgJ9DoGUx4hZB1J1HuW+zf5r8jo016K6NgBxXUS0AkrLeh8UFAwMjIvzmKadqKUdSNIOeYxdde4nYv0rrkdxDGUENEIg768wqCCkJVBalsdUQkjKCInafwRKIlCY+4khhbo3Et6FFCkSro65CsZrSOQxq2tQjwGviWubJ+DW9SLuF9GHgJYRBhWU5LVAadm5nxmvoZa0I07FeU/STnBeBdR5JRSUVhDqa6kZpaAZEZXfSUUeVyDbS8HBwOV5YWDg4ODymUFIIK/OsjxnEUIegfEEb6y6G4MGDcJA4cUXX8Q99zyDf/zzt7IvBJdeeiK+fshP8MNpF2PkyJEDtm8PDw8PD4/PO8477wc47LA98aUvbQEA2H33bbHvvjvhggum4T//8w/ruHefHnxmDO6PE+VyGeVyObecUQ4gBSfCfAKE4UtpCUEQq7XACAFn4ncx6WaANmoCaRTICT8NwcH1BF5MhVPECPLGJlwxIQJlZMi25T44GDhnYNI4jdNOaSgkcr0UINyyXaTRgmK6fP1jUE4DYWyH0qihNARBYCb4jCPhNSSpMFpS1gXOY6vfZVAaIqBlRNJgDqSxlx2DVB4T5VXA6i/nTBqMgT4vog3RpxIaUCYNCLg0NqWhF0OMO6FmWzOGCZTxyDmva8j2BgQEhAagyklBQ1BSAgjV4wpCkBCGQH5nYEiRghFxohhXhqkxOgkxbYIChFNwaSyq/tr95mDGOCSuEiUl4hzorAlSEsvkYyQgJYSkhIQKJ0TMOhGzTmhbGAycMRhSDQNHDPUYYjxByhNQRPoYOLgYG3kuCQnE9cNC+T0ESKzHKHsOCAAQDiLbI4Tp4zT7DABlIFMijE05pmEmRYSSABQBmDyEgFQAxKZ/lCJmQCC354SBE2bGjIv7ixNmXZ3i2gqocF5EYSPK4SCUA+FoKdFm7VwDgJh3IKRt6EpW6WUpU9djEYh2pohjiBAS47gJiDzvXD2jYmmAix4yMBAQMDl2BAyMMjCuxnRg0mg45zjrRyfgtNMPx5gxxrDec8/tsddeO2DWrB/i6qvvHpB9e3h4eHh4fN7x0ksv4f/9v6fwyqtzneUXzzkBX9rxBzjjjH9iq622Wjed+5ThUyWa1h0UrWHJkiXO8iVLltSlPAwfPhxBEPRpGw8PDw+Pgccf//hHvPrK2zjnnCNzv108ZxpuuOGPeP3119dBzzw8PDw8PD7b4JzjRz86ASefMhUbb+zaRFtsMQbHHXcAzjnnB+uod58+fGYi3GPHjsWoUaPw2GOP6Rzu1tZWPP/88zjppJMKtymVSpgwYQIee+wxncPNGMNjjz2GU089tc99qPLVICBIESORVGMVxVURawCgvAROJdVZRuRMpJbK6KZ7alKLohrDVQdUEWs3wkV1m4oanI0Gq4i2oLx2CVoqVMQaMGE5Fd3N+mdk3zkDEMhoLHR0OwoFhTWiDTqqrY6Vc6bp3gmrIkm7NBWZs07ZB7E+t2jL9UAQOBFoxhP3eOUYKZZ8SkIktIqEyGgsiRDwSNOnVZxUfQ95hAAiwgsI2i+lJTA1ZjyFjI322Fe333KMJQXf7XMKjhQJjEBfYNGbGVKkPEYi+xDzDsSsE6m89tIMfVxdA3oZkcwIGblkTK2vvrvXpojUUgCCZUBJhBBlhJIlQBGAkRQx79C/AwCjkubPagBSwLpOORKkrFOOoYk+B3KdkJYcNoToNtW0d85DMFqy2jR0b4PMdY8EhFPZJ7lMtpewKiiNkKoxJZ2gJEIg78eAR851JtpMkUKlMsTgSMGsCLr6iHVjcY3Y0hlE0vlVugQtIwqaUaLNAIAG0qKZF+L3SLAbAtFHQSlPnKN27/UUTPYLkMwIHut0AEUpV9dZymMwHuuIt0rHUMcdkBCEB3UZL/2BJElw9tmn4PyfHo2Wlubc71tttTGOPnoKzjnnB7j77qcGrB8eHh4eHh6fRzz00EN46aUFuP2OmYW//3TGMdhyi6Pw1FNPYc899/x4O/cpxKfK4G5ra8Mbb7yhv7/99tt4+eWXMWzYMGy00UY444wzcNFFF2HzzTfH2LFj8dOf/hSjR492BNEmT56MQw89VBvU06dPxzHHHIOddtoJu+yyC6666iq0t7fjuOOO63P/OpKPQIkwDpQxqSbeyviggUuDBoxRB1jGjTQ+RC5y1VBgWU3Sxy0aMI+FsW3TaS0qMiFRzoAHDK1Wbc91nrcxN8X2wtg2/VYGh1xf2YzyGANakfm4Ku+87OxfGCAxUq4M7i55XK6TAtyd0HNuxhVU9J9Kqi1V4+OMC9PjKIzJBIyE+jcGBiap/sr4VrT3kEcgMPTrhMRghZRx6UQAAScEJJeSX88oUXR5NXgqJ11SpSEM44RVtb3IEAvHgpMakBqDWxrbalxVyoBanxbmvKfaGAS1jW5zfSgfAqVUGp8q37mMEmlEiTfIzQUNX+cGgyGh5byBCkMpB0/1dZsScV0zloBJhxSjMSg1Bq/oR6QfXAQUKQu1s4lJmn93eepmPeEs4iRByqw8dUYRqzGjoWNwK8dRUZqGGnORu17V+xH3lqRr8wRZh4C4BkybAQlBQTWNnHLxjaG4/rSi2BMrVcDO81djqpxLoABDhEQ5tKTDILH6bF9Hdi637h8NMZBym9df/2PUagmmTTu47jozLjgW47c8Cs888wwmTpw4cJ3x8PDw8PD4HCFNU/zoRyfjvPO/hyFD8k5vABg5cih+dPaR+Pezfojn//zqgFYr+SzgU2Vwv/jii9h77731dyVcdswxx2Du3Lk4++yz0d7ejmnTpmHlypWYOHEiHnzwQVQqFb3Nm2++iWXLlunv3/rWt/Dhhx9ixowZWLx4MXbYYQc8+OCDOSG13qCWtGoDy45cE1BtyAW0LD7asAocg5tJ8SI1+SWsU0yIZYTbRKYto0IJMHF7Qi7yrk2r7mTdjmSCMysP227BGIvCGDARajGhD63fTNQxpBWEtIxQCr2pyT+zDEHHKGGJiBTr41ERdtNXxhKkqGnDhvIIAUlAqTIqAyd6y3jsGJNcRRl1H2rasACAGm1HlbaaCDYJEcBEMzkThq1aP8tM4CQUxrbOby568GQdK67hRojLbBA56VVwGWlWEUjdJ3l8di6+HU0FDLtB7VNFjPU+kFoCX+p8uIa23ceAhMYpgTIiXkaJl/TvMamByuixfV0LSBaGvE4Nk0IfMBhj4CTWBjQHQwCmhy4gIUISAjIazFgZqTUG6v5w89OZY4A7zioYpoUNfcwp1aJ9ajyVEa7Ws49T9UU73LoTqlOOCJlfTTLPAXW+u+TYqn7HrAMx6zC6DkxpL9jidtmoPtNRe86Yw5pQ15B9HTCeWOPiOuCKGDj9iba2NsyceSN++cvTUSrVZ7eMGjUM/37Wt3DWj36IPz33ii/J6OHh4eHh0Q+48cZz0dFRxUknfb3b9c444xv4z+vuxR133FG3/LKHwKe2LNgnCa2trWhpaUFTZVy/G9wJ60ScdiKWqsxC6bkXBjcxBretGK7QO4PbGARi+6zBDf19bQxuQSdvB9dOBWlAqDGiDQioUCg3CtqRjrSpvmYN7uJxM31WkXh1XiLaUN/glpHkmCll93bUktWaBs9Y1aFKr6nBrVXYpcicY9w5jIPeG9xGiC3q0eAWqtrGuWP6KpgL5XAQGqJhAIAGMhSNaEGZV3T/YlJDTaqmd2IVOthKdMYfAQCq8Qok6WpznrWQmUmnUNcqVYrdUpnePi+2MclYslYGt32cNtzzsuYGd8pqUplcGrg8EQwOzrXBLQTTmrSqeDkchFI4GGVJKQ9Rdg1u3oEqa0M1FVUF4qQdKas5KSV2nwNaQhg0IFRCjCRyjfu1MLg7ut7CqlWrMHjw4NwYrilmzjoODz30Ap5++lc9GtHt7Z0Yv+X38MtfXodvfOMb/dYHDw8PDw+PzyM6Ojqw+eYb4+c/Pwnf/NY+Pa4/98YH8LOf3Yx//vPtQkFpD4FPVYT7k4447czRxYVhV7KMljLKtFmX2Aky+ckpj0U+qKIR8wSE1NAtCM1Et/NwjQpmGdnqe8bvQogxiERP9SSbaKPDRLhFXq2klBNjkAAmf1ob2NKws0slcac/ajtFLa+CcQowBqZUxEmClFBQZozJvOGTpUenliGmIuc1fUw1utpScg6dNnXeuUUdtpWfxWrmQVNUqs0dXuGksKPPbjQ6EPtiCZiR+c5R5rPGnW1cEkIBCt3HgIRa3d1uzzHArZxuztV1ZxlaVi4+JQHAodWrRVZ5goRImj6rSiPeUJVRcJ4No4HpP1WLhIlrSxncVKZHaJq6vk9sgzu26NDuGCmKN7N+z5XA48z0MHeeIlAW6nxr26HhjKHVnjDaxZhzwDAhdJsyzUGlL7AqSNqWSwlQv6esijjtdHQdAAbXcUEzjolYX0UUbrpLyqpO6TKu7x1TWQH2MfBQp2b0NxYvXozLf347/vjApb2KWDc1NWDmzOPw4x//Gw455BCUSqUet/Hw8PDw8PAoxuVXnI4vbDgcR3xz755XBvC9o/fDL35xF3599XT8+/SrB7h3n174CHc/QEW4S9FoucQWqhJRy3IoatWWg8Go0MGIiIhmhVwKF0mjJUWMhHehpmpkszYdTQVMpFYYVaokFYNb11eV7zERageqhJh16rNGEAGxInARAtrg1Eu2o/S0jqgZt/qnctEBU5uYcTXBj0UtZU0jzvRFGljEqt0tjJy8MJzeN1f5wMqod8csD7eEmmnTjWxmjZ9cGarMWNuR1rwRRi2nRZDbVm+nKdiC/mzy+bPGY+z0QZy3CiIdOR2MiDZokTNAUpdVDngqrjVVno3LMbPPu12uqkJaUCKNWtCLgyEmVdSkaFoXa0VXshLVWJSvStLVYKzTFXITimFqxK3yWsqgLSMIKoiCJgBAKWgSdaq1UJspkSb6kMIuD6fSBhLr2ktZl2VcxoX3jnECyP7oeymUdbENE8G+LggJwKUImTp/drTYnC9LBE5GnPW1Jtsrup4Bc965vs/VurRwe+UQc0UF81F4rp0WeceIOE+qf0KTgXMgThb3a4T7hz88BB9+uBJ33jW719ukaYov7fgD/OCEg3DGv/2qX/rh4eHh4eHxecPSpUux2WZj8Yf/nYM99tiu19s99NBfcNR3L8Kbb76LoUOHDmAPP73wGe79CBNVygpTSYOTJzKCncg6t8yKDtZpU4trKRo3tSbTATQtOWesFZxano1sy8VFytpEVT8mIqpHS4iCRkSBqBFcCYfojzKC1PEzHosazGkX4rQLtbQdcSIMuSTtkk6DmhRrk4Jt3al781QYMTLqxnlsUXXFJ2VdSNIOvY9UCrHZ40glVdn+CKEy5TBIrT7Fml5tOzmEYRwgog0oBU2oRC2oRC1oiIahqTQSjdFw/WmIhunfy+EgREGTpNtXBMU3aBDUe1pGSEu5TyF9mtWQpl3iw9qRsnYw1gHGOsB5VXxYTTowVKTbKLjb7RHipjPkhl0aeVxFhZn4JNKAjXkHqnw1OtEqP6vQxVYJQ5u1Ik7bkKSdegx1qoC+riiEOnskP1Lp3s5jh6kZz3gs6c6x+aicfvmfUcJ3Vev1/WZFb42xnUrxNuGEUmwLDllbnetvyKVdECEkFwUN8lNBKWwSjgn5KYWDUAqbUQqbEYXNCINGBLQJAW1AQBuME0eOc5J2oJa0ohovdz41+UnSVfK8V8G0oCLV9e7VR0XflbHN5X2kjG3FMlGUd3PdKEVzZn2yueEmhaS/8M9//hO//e1DuHjOtD5tFwQB5vzHD3HRhb/FypUre73dnDlzsPPOO2PQoEEYOXIkpk6divnz5zvrdHV14ZRTTsF6662H5uZmHH744bkykllwzjFjxgxssMEGaGhowL777osFCxb06Zg8PDw8PDw+bsycOQ17771jn4xtAJgyZRdMmLAlLvpZcVUoD29we3h4eHh8AjBz5in4/ve/hs0337DP2x5wwK7YbvtxuPKqM3u9zZNPPolTTjkFf/7zn/HII48gjmPst99+aG83ZRfPPPNM/O///i/uvPNOPPnkk/jggw9w2GGHddvupZdeil/+8pe47rrr8Pzzz6OpqQlTpkxBV1dXn4/Lw8PDw8Pj48C//vUv3HDDH/vs9Fa45NIf4pqr7+7RKf15hc/h7kcoejBD4qRECyqwpLjSBAnvQgC1bgpKAjCbNpyJotEMPdS0bVPKLRE02TJX0XHOiiPgRNXRzvxEiBAh0wJeQlysFEpaL21GSEy5p5TH4JaCt8ovtfNk7dxiEbWMi5Wbe4CJ2so87EzpKpcybmjaWQp6tvya6qMtOCbWM5RyUR9ZCISFtFEqZkvRNZjcZtGdVOQzczHJjkknqEXjVX2wxbeyed+cMBBOde6tEZ0z9OTsOArqb3bM1LWVitxmVYnMihyrMbDruevosuoBqyFmrk5BQqrOWKY8NjWspYhbVqQMdeq716PUM1aDfVYYmK7tnRUAU+OjjkmlMiiavGA+VE1+Mk/7VDudyIhxoGn2DTmxPfs6EP0xNa1TXkWcdmlhMsBOEzGUbnHOMvR20wl5f9rXZsn0ieZFS5xnCjdLRfMqJUVpJrh0evGsMFUKVHpFH0vO94iFC2v4+te3XaNtCSHYffdt8a/3CFpbW53fyuVyoZDLgw8+6HyfO3cuRo4cib/+9a/Yc889sWrVKlx//fW47bbbsM8+QjjmxhtvxFZbbYU///nP+PKXv5xrk3OOq666Cueffz6+/nWh7vrb3/4W66+/Pu655x6v4urh4eHh8YnEkiVLMGRIM8aP32iNtt9++81QKkVYtmzZGlV6+qzDG9z9DGU4OarI3BhyKasiISEIFZPXkDBdRglQKuV52mpRbrCrUu7mZMMWHFI0d628bPaWWSBBdf4vIHJ3S0ETQipygamk/ypDTigcd6Iq88yTtMvJCTXI1O929mc6orvjHHN2DDK1nZXwlTbquTTm5CWeEXrLCV1p6rJxEtg51srYLknl6Ig2IkIDImVw8xDU6lOKGDGpGrowEWarESQzOcfimF0FclXTmoDqa0VtpwziNDe+xbBzf1NUwYjZzhazE3W8DRVdGfP6sqJAkrrCdPVUwwHo3GXXsWJR2mXpunp577JFMKRgqTFOKS0hzuU7G+V2u9SXEkkzOdvVPjl7VI45sfQMqGPclhDRBkTy3ghRLhRCVGW4YtYBggA1ADw16t+Oo0PS2/NaBur+Fc4wreQuUxSUM0g5Iew8cgpTwz6vYtC94OLHCs4A1ndHnNiW4+WXX0ZLS4uz+IILLsDMmTN73HzVKqE1MGyYUOH/61//ijiOse++++p1xo8fj4022gh/+tOfCg3ut99+G4sXL3a2aWlpwa677oo//elP3uD28PDw8PhkY03fwR7dwhvc/Qgn0piJoCownUcqI96g4CRrPKYm2qTay7H/TQRMlATjMKrixP1Xio2RbiKJLqhWVwdEFM9VHU+R8FQbVnHahmqyGnHSJnrGOh0hKhXFzIoOu/2IQKhbisnNeMiLlHErai5+k3m4akwyit72PpVIWU50SxubqSNkJvKqG7VYV4gyIlJBxMuy9yVQTnVOPpEGs6Nyzkx/U54A3Cq5RZhQ4NaDI2peg4RIuXV9ZO5YYbO5atKwoviqhjkg6ninPMmpV9uRc1eELdEODLGuO5aMJkhpWBhhNv9mS82RjCFpn0Nq1rf364j7EaTMiOcVMgNsNoV0xCjnUF8j2qZf5tqkVi3ygAgBtwiiBF5EKlpETiElkY4Gc5IiJTECEiLV50GK+eljVteQoiIox4Q65khGtJVDrEHrKIg+iSi7K1poVT5grOD+6g2Ugy0BWN5R0i/gfK0M7h122AFPPPGEs7g3ZUoYYzjjjDOw++67Y5tttgEgFNNLpRKGDBnirLv++utj8eLFhe2o5VnvfnfbeHh4eHh4fGKwVga31+GuB29we3h4eHh8MsDWzuAOgmCNFNNPOeUUvPLKK3jmmWfWbN8eHh4eHh6fBfgI94DAG9z9CMYTBKTklvQhpsYykC9jlCIWOdYWVGkj8Xe9slMBgEy+scMNN2rPhESgxNBgTc3nfGTd7Cewoq+hyP9VpZVQFVFuSdNN0k4kaQeYzN01dY1lXwtzyG0EMi/UKrVk9c+mCTt54U5+sMwTt7xrnDMQXTc7AWGJPg8cqVsCTJ0zYrZVYyXGNjNWSAXVWUX9wABil6eSVGiYiLag3pvyVDZSKmqXh3IMOGUIIZTfdQksWhxV1Mxk2JFipTKe6N/tMlLqu5OaINkXJr85UxqKJ2DM0OLF+mGuTya6nC+B5Y4hh1s/3v7bzV82/SDivBNVozpfqzmnJm6xP9YEWlEdJr3DZUoEuW1UegErOHal0+DqB7hbg1j10SVDxdy/Imc7DERUPaQlp1SaXfZN9CHW+xWtJ5lzlu+/7Jjcv5VWoNsECB+ACLdk66zppmuCU089Fffddx+eeuopbLihEWwbNWoUarUaVq5c6US5lyxZglGjRhW2pZYvWbIEG2ywgbPNDjvssGYd9PDw8PDw+DjAsebvYI9u4Q3uAUBAS04ZJlv0jJJIGJcWnZNZubhM0l913i2PkWaEpwihcnKpjEEGTlxjm5AAVFJMKSnp2tmAmKCrfthwawfHjkGQ8gQ23drOk7UF0kT/RLkytz9uqTQbhACElJx86ZCWcrnBCap6ks+k8Ud0n4sn/0YIKgbnoSuKxqDp/EFmLHJGpHQ41BQtV/6XSmMmJYmTi5+QGAmv6hrXohZ0DQnrkscjxkzT/Jmow8wClROegFOGiDaYvG4SIYQwxgGAB26+P+PVzNimYNwao9R10IjjytLu6+fzcnAQnmi7JmWpk6pQ1LYq+yYWZEvSFXhRCx70jhNFpSno1AEYBb062wDFhnl365sNi7fLpjIoJwRDChAglc4whtTJ4a7xTqSsKj92jXjr/gEBSARTV1vU/VZluAJ5/wZaj0D8rXLHA7g53JS4Tj5CqpmjKXIK2I4WFBrdQJRd8KkC5xynnXYa7r77bjzxxBMYO3as8/uECRMQRREee+wxHH744QCA+fPn47333sNXvvKVwjbHjh2LUaNG4bHHHtMGdmtrK55//nmcdJIvl+Lh4eHh4fF5hDe4+xGEUC1epHKeRV6ua3AW5VfqyK2Mbus8WalwnjdSTQ4mB3UMDi1GpSfsoTZiASCkov5zAJUTatTGAQgjkUGrTSfSOHBVx11QUnLrJ+ei9swSUTPiZuI3YQgTWzQKblSZEAZKI3CmlNVlpJHb+cpWDrDpiGyAySi3FM8iDJwwHTVkhIJy5BwDOmIt8151lJ+WkVhRxRidIr9XjrmquR6zDvGdVXVNavG90zGyVI6uWsZoAoTymtJjHLlCbiRESkIwNe46Z9qOnCaOM6KnvFul1i5WpiAZI1kZ3eJvVxywWLfAFbLTy4p3Xri4J2MZvMAgt7epYzQX7qOXnl11XTAeIyUhCBS7I82p1duOl4R1IJH1r41IWuzcDwImZ5tKYTp13hWDprtzSS2nV6ryx7l55tjnWdUdz7MJoL8ro1ssIOBgvRnWvmMtKeV9wSmnnILbbrsN9957LwYNGqRzrFtaWtDQ0ICWlhYcf/zxmD59OoYNG4bBgwfjtNNOw1e+8hVHMG38+PGYM2cODj30UBBCcMYZZ+Ciiy7C5ptvjrFjx+KnP/0pRo8ejalTp67ZcXl4eHh4eHxc8JTyAYE3uD08PDw8PhlYS5XyvuDaa68FAOy1117O8htvvBHHHnssAODKK68EpRSHH344qtUqpkyZgmuuucZZf/78+VrhHADOPvtstLe3Y9q0aVi5ciUmTpyIBx98EJVKpe/H5OHh4eHh8bFhLZzeHt3CG9z9iJCWUQqaUA4G68inoncqMMRIkeioU8KrSHlV50MzFudoviICVS/fsxhOnikMnR0AAhIhQKT7aHJFZR95jASpzjdOWBfStEtHuJUytMq5VnV57ePMlmZirGbiZpy5KuycS3q42D7RyuBuHrV9LKoP+jeuFLDrgDOApPoYKKiIctvjmokYKnaBOgZVKgwAKKuCUpNzrUoxZfPOU2ZYAiyjAM5z+cvMyscGSCoj5vL0ZG/W4ihnUURZMQaIsEnqRkZp5l+mo9wKHJYafqb2e9be6TGinaWi9yli6uZomyZsZe86++muvVzY1q1BLXZnMVB4jIRRI1eAyMnpTmWd85h1AoCMbldlSoGidmdy5TMDIa47KzeeMEd9ntBAlGhTrBmSgnH7Xkx1dQTRJ1EmzegIuOexNyAkAiED8PpYS5Xyvq3e8/qVSgVXX301rr766l63QwjB7NmzMXv27D71x8PDw8PDY53DG9wDAm9w9yNKoTC2G+lQlLgQNFK0bZXrG5MqwDuQQOby8gRx2mWoyrpecL0LPit0VR9Z4TVuC3xZ0Eas7RhgpnZxmnaJUl96uxCUNmiKaxQ2iZxryyhhPEZCjKgaJ0le1Igbg5ADjrFp91tRqQFDfydgkk5ui8gZY6UoJ1cZLrKH7hhkxLDUGJv66ao+tXIChKAsREqrTh+d3HzLYFcUYluQLE9/J+BQBj4F4wkSVjVGNUWuvnLRdeAYupxrI5KrRrgxwN1BkL9rUGu5/NOimNcXIuvp2lTXmzKOCwziXEpCdl92Sa2e99V93/Ilsuy+EafWuLo+5L3EEhAaINFsa+Y6njhDwqtap0FdU855y5QD46BOrjyT/zNidW53CRepFalOLQCotW7Cq4hZh3H+yNQGrvvUfR1uVYvcXA+inn0YVBDnZQHWDh8jpdzDw8PDw8Mjg7UxuP1ruC68wd2PiGgTyqQZFd6MMpfiVwjBwFCV8/cUCRiYjh7HrBNJ2omUGQO8KC/WRpGR5easFm1jKZ/zFIyY/GQCEXFWRkEqhdqMqFNV5gfrnQGw6nTTEgJSdqK7ou8mIt4zTI53ylTOt+hfQJlWLbeNA96byJwVsbRrNhNpNJiov/nbtG05LTgTBrMaM87ASQLGlRJ8LSdCB1g1qaXCen1HSfF55mC63jnhFASBdZ4Sxwlgajm7Ct8mj53AVo/n4IX50XnVcX00uYi3aqf+sbDcctfQtqP0ymFjRAOz+f65NgsUyN1jyowFMsdN3Jr1yBjWwgljBAa1Q8ZSn8+pdWcj/Zw5955mZjjruXnvyuhWzZmzJpwx4lS4ziH1TBFGv9F9SLjQD6il7aLPrEtqCNiaCvUj7KYOuBKCjECpEGLsd3yMEW4PDw8PDw+PDHyEe0DgDW4PDw8Pj08IWM6h01t0k1Di4eHh4eHh0RM41vgd7NE9vMHdj6BE5EUH3M1ntpEi1nnbAHR0O5U5nq5yNbTCdDYKaKuUA4GMOqf6eza/142UVkG4lZMq6ae6xJWkwHI73xgpTISyXuRdlWpicGqJ17t5VfQ5l/wrlLUZs/pPmLNPkRdu50THTptCVdmlwdp5p5S4yu0BLefOGSFJbgz1sRDICKXaPwVDEb9W5foqOnk2v9qK+hbk3Tv5wiwBJENCtBln8sKZ0yZIdtwzEWYgw4ZQ+3frMudOU46JXofezVmuLXefVJ6TzDGDAjAUbKeudg/lv9Qywrtfx4DKWtrqURhkmBD5iLfql2hb1KMPVFkwEhfWJc+mcYj2lHaCqjLQu5ec0Bsw3xkYCI9NyohV8QAQeeNJ2okkVYr5nTCK9hL2vVIAe4wCWhJ1wOW906/wlHIPDw8PD491hLUVTfPv4XrwBnc/gsr82hSJrn2bAkiQoEaEQV3jHYhZB+JUUMjTXImgTH5tJq9WlQdy80oht83nJYsmaM5wS1HVzTK7HBigyxbpmtVc5QIX06sTVnMMYlW3W+etIpNrrA0M9T0/lpwn2hzgjIGT0BkHtX/GioWnCmmwJNQ0eGUwBLpWeeTkX3Mwp5ybLkFm9ZWDWc+WLM06S0kXxrahxLtjKoyvvLErthXb6C3luDKWyLzwbE6+6qRl0Imj7IHeX2AcW8uVcJcrlhXUr7FO0lzfRDvGUWSXuxLHL8bUfWQbynP3xrNBj+spxwwJpB5BSfetSKQv1z5XlPIaCKFgJO5+facElysGyDmVaR32fok+b0ZfQDqLaJgb8xSuRoLIFTf6AYzVjFOP1TLjQ3tISVH7V2UEQ3nO6l0vHh4eHh4eHh7d46mnnsJll12Gv/71r1i0aBHuvvtup4QmqVN/9NJLL8WPfvSjwt9mzpyJWbNmOcu23HJLvPbaa/3W7zWBN7j7EQyipnZMqrqmNSCE0mpcRJdqrA0J69QCRsLQVrm3AvZkW0UBqZ5sl2SEyURn1eRbq4Kr2t0Zo49ZfQIz33Pb67rfKmKdNV6E8FMinQacM1MLWq1hGYKqXRvd1VYWEUoGDhO55SR21+EJkKkRLZCNGEu1eFrSYwcIRXlKIieH266PThGB0hiUq1rIicyNdcc0H/G0f7cNTmlsc3dMVSSWE67bdo+TaQZCdjyNU8Qy4nMRaxNBphmnhelXUd+LYUfAjbFsK3jZCt5K5M4wL0wbsFTmjWGpNd40A0NFa/vPa0qc66IBQVDR14Ut0Aco55LJKWcsyTmQ+Bp5g12dBo7QuSNsA1c52KhtcFvOJNEv935XDBDxPQHjVePUq8OuyEbxDZRjxK5KEBWs1w/gXFw4a7qth4eHh4eHx5pjTd/Ba4D29nZsv/32+P73v4/DDjss9/uiRYuc7w888ACOP/54HH744d22u/XWW+PRRx/V38Nw3Zu7674HHh4eHh4egBdN8/Dw8PDwWJf4GEXTDjjgABxwwAF1fx81apTz/d5778Xee++NTTfdtNt2wzDMbbuu4Q3ufkaKGFW+WpfIShGDsVjTtUWEu5bPu1UqyFKP2I5uOZHZoAERFR9dR1tGuhIuIs4JqyKlprZ3yqoOpTzlVZFrmol2OlE8K5ecgIDbtA4uFMXtHGtGelMfSEXk3BxUTSVW+1ORa02/Tl2lbCA/uc5QyLPMACLp5JTKcaUiYhjocQ9AQXUvKJjIyadGXRow+bFFZcUUHVo26JZbkurh2UitjnSLuk8AURFwKnN/E1eUG8yJXOZLg+WvnWxkNNuWXRuc8ex5zJaMCnIRczcinB0bd/sshbyolriIchu6taA8941SXg8qchxQUbYvDBrFPSUVtxXjwc7RZizR+geqBrbNXLDHLM9sMPs1yFKxAydarSLaOupOw1zk3ekjT51cfnF9MEffQDFCgGJF9ixzQaxnU9pNhD2gJckI6VPh9N7B53B7eHh4eHisO6ylwV2r1dDa2uosK5fLKJfLa9XukiVLcP/99+Omm27qcd0FCxZg9OjRqFQq+MpXvoI5c+Zgo402Wqv9ry28wd3PYDyWxaoMjVuU2TL50Ta1W9RuLjs1dtWEG5C5xkGDpo+XaDMi2ogyaUbEpcENipQkiGVt7xptQ40HZkJOGFJedanDPE+LVjDGQlbUyYWhsAqRMyf3Nfc3tYy9CMIQsw0Py0ghkMZ2VoSrYEKtyzoFUDWTxeIIBBSUGhosAdUGtjBgLCNH9k3ncZNI3Bw2W5qYklxZQ9fQjJVDIAFIBC3+BTGGdQ1HzsEJh12TWVDqKVLHeMsaUtY+peGkDaOggpBWEFJFny5L2ra5NhMiBLUAWPtJ9TGK466fx5zP33b7Zxe0IgV6BNm2Vf8c0TJuOXzW0KhSxiGByNkOpIEdBg0oBU06l19dH8Y5FQMUYMwaC8upYFIn1JipVIfU6a9xWMl8aUeDwc1lt9NGAORq3CtBwlTS7Zm8DlwKuVXzvai0WoZCru4XfYyWI0U4bkKnPwEpD4zBXVgGrpfwBreHh4eHh8faYS1Vyn/zm9/g2muvdZZdcMEFmDlz5lq1e9NNN2HQoEGF1HMbu+66K+bOnYstt9wSixYtwqxZs7DHHnvglVdewaBBg9aqD2sDb3D3I0JSAiEBUh47QmQpq+oImcqPVpNbSkuO4SKMRHdyG9JGlIiIyJVIM8poRIk3IOTm9DEwVNXpJDKPm5p6zYTQXAQsb1C5E25lAIi1XCcBENQViLJVntV3TigIt42Z/A3tRv3jgnXWwmigoWNg5/atRdLEOpTDGN0QuyGEgjGxREc8rSi2k7fOqSv8xkX+d8+GozF6GXdFuIrywsHdvG1VIxkAQlpBFDQglNHcQEZJjTEpz68lrmX2o/pCM9eJYRtwiGNSdai5rKXuCgAag1s4WAK3xjZQYLTbCKTDJxtp7z0IiHHE0BIoKTk15LNMB/mH3HskBfSUPoK6ti1BwmxOt/Bmmb/FgZlj1g4sFVEONBtB9EmcN/0MIK5XOOWxED20LiFx7SXW39b9U8fYNoKAkePkUwa2rW8Q0LJ+HoS0LMdlAAxcH+H28PDw8PBYN+BY6wj3CSecgP/4j/9wlq1tdBsAbrjhBnz3u99FpVLpdj2bor7ddtth1113xcYbb4w77rgDxx9//Fr3Y03hDW4PDw8Pj08G1ko0rX+74uHh4eHh8fnCWryD5ealUgmDBw/uvy4BePrppzF//nzcfvvtfd52yJAh2GKLLfDGG2/0a5/6Cm9w9yNKpBEMqajNaykGpzwBY6ZEVlHpIZVbHBARWbKjWyXSgIg0AgAiXkYZDYh4CYFVSztFCqbqaZMEDLEuVcSoyCPPRkttVWPiRLyojITK7zwEt6Lp2eixu9yoT+frK9dXweac6QxqQ9c2VGnVfncK2vX6UgQuab9aP5vIWKz1nKGgkhYubpQUFITKCDhCN6rJGVJuKOdgoj1iRYcVrVx8hxuR05FvRftXLAS71yyzjlI6l/uQeelOJJKWDaUc4lhSXcKqoOZ09jnLEx1BF8fr5tvng4pW6TOeCsV5TaMHgBhcnxuVD02d7cW6Lr19TSHo24HWSKCknMtlN8cGZFnSrCAKn9sHoQBU7nfcI5NBMFqAXL1zzXoR6vkqsq1K1tm1vO2oe36E6oxZJmfb5PqXJKvG1KRXtHFAXEchqSCQrwuKCAGJnEoMHh4eHp92PPHEE9h7770xb9487LXXXuu6Ox4eHhLXX389JkyYgO23377P27a1teHNN9/E9773vQHoWe8xAHVdPr+IeAURadQTZFowvARUG9QBLaMUNKEcDkY5GCQ/g1EOBqNCxadMmhGRRkS8rI3tgIcIeKD3QUER8AARL8mPmCBHtBERbURAhNFFaahr+CpDS38UjVSJidESwqBifRozn4rMMxUflSNtG2+q7Bal4qOOWeXN2p8obEIpHKQ/5agFldJ6qJTWQ1N5BJor66O5PEr8W1kfTeURaCyth3LUgnLUgihslKJo4hgUOJim/HKeIuWJrhGe8qrIuecxEib+TiE+tnGjjiewzltIGxHSBv2d0lA7S4oErlSdbSL/k432cEVJpwNP5EeVqEpljrAyEqk0psQ+nPNsXYPq2Owx0GWunONV+1Xidcz6JPU/2fxbQsQ4EOIcq6Cdx7qsGeM1MF5DyrqsuvSxyVHvhZOF2P/JXH7ziayPOybCUVJFzDr1J2FV/VHXR16crk4/9LlQugXdnGN9bL1zKtR7puSRSZ3I9okoQUFDrw9pRd+L5WAQKsFQNMhPEx2OZqyHZgxHM4ZjENZDIx+MCm/qVb/7BKVSviYfTyn38PDoBa655hrMnTt3XXdjjXDbbbfhqquuWtfdcHD99ddjq622QqVSweabb45f/epXvd62Wq3inHPOwejRo9HQ0IBdd90VjzzySOG6zz33HCZOnIjGxkaMGjUKp59+Otra2vrrMDwU1vQdvAZU9La2Nrz88st4+eWXAQBvv/02Xn75Zbz33nt6ndbWVtx55534wQ9+UNjG5MmT8etf/1p/P+uss/Dkk0/inXfewXPPPYdDDz0UQRDgyCOP7HP/+hM+wt2PiFBGjFjmAhvDMyCh49pQOZGAiGBTIiJGABBA1INWRkFAIoQ80tFJBU6YZn1kBb8ilMB4A5icyKc0BuNGaZmSBKmOssktrQiXMhhVe1njU/fBEt8qjlqn7hhImOizMQxsE0EZ62obFV2z62QnvIpEGkoA9L/5PGTIY0icqD5DLBwBMkpIaSijhjLqB6YjsXa/Akflm+oon4gWs25orVkROBkp7tHoLoLNjuimnrmsx5yowLh0PNjCb6mVd54XglOK6e5+nX06efQRQOwgcV6t20UqmR8F6zhR/PrGlIpgFylviz5lc/tDPRaAUPQnUuPAHKW5f4VSPNMMFaMGno3E1wEhvTIEnWuTxWAkRCIj/yHgXIsMeeM/zyihGQJFJs+fGMcUpaGT61+mwslXkqyaEm9AiZesCDcBA3fYIP0Gn8Pt4eExwLjmmmswfPhwHHvssc7yPffcE52dnSiVSuumY73AbbfdhldeeQVnnHHGuu4KAOA///M/ceKJJ+Lwww/H9OnT8fTTT+P0009HR0cHzjnnnB63P/bYY3HXXXfhjDPOwOabb465c+fia1/7GubNm4eJEyfq9V5++WVMnjwZW221Fa644gq8//77+PnPf44FCxbggQceGMhD/PzhYywL9uKLL2LvvffW36dPnw4AOOaYY7RT7Pe//z0453UN5jfffBPLli3T399//30ceeSRWL58OUaMGIGJEyfiz3/+M0aMGDFwB9ILeIPbw8PDw+MTAcIZiDe4PTwGHO3t7WhqGgCWyqcYlNIeBZk8DDo7O3HeeefhwAMPxF133QVACGYxxnDhhRdi2rRpGDp0aN3t//KXv+D3v/89LrvsMpx11lkAgKOPPhrbbLMNzj77bDz33HN63Z/85CcYOnQonnjiCZ0fvMkmm+CEE07Aww8/jP32228Aj/TzhTV+BwPoq5jKXnvtBd7Du3vatGmYNm1a3d/feecd5/vvf//7PvXh44KnlPcjRCwsTw8lhEq18ZKooR00o0TNp0IEdVzRx0NSFvRlGfVmhGk6cIJElgCLkZJUfhK3rBinCGSepf7Qsois29RxWrJKEJU0HTogoVBvluupvtufgIROVA0w0T9V39mmc6tIqh1FNdRoFdUWdPaQlFGmzaiQFlRICxrJUDRiKJowFA1oQQNaUKEtiGij3oZKNW07/5tL5WbOE11vWlGWUybKYWkqcdqFJO1EwjqQsA5NLVb0695QihVtXXyYjlrWj4LSzKcOFCWYCBV1+wOHPm2ismrMU1ZFnLYjTttRTVajK1mJarJaf+K03aJxJxBRafEB5+4HKqIs+0JLoLQBYdCEMGhCFDajFA5GORqCcjQEpXAoorAFYTAIYTAIAW3QecOin4KKbsYstj5SdVv2Q/2n+qCPmZZEBJs2gNAGUfKLNuk+BbRJ5iiLjxojxsQ1oa4D+1NL2/UnZmKZfd0w629Rj74KzuWH1Sx19uLIvIjGZ3LIVU102afY7g9r032JWSdSlf5gsVbs60mkiETOR5QeixxFcn3vSEaLelYQEoi/eYiAi3urSAuhx+j+moAjf9319uNV0zw+p1i4cCGOP/54jB49GuVyGWPHjsVJJ52EWk0wvubOnQtCCJ588kmcfPLJGDlyJDbccEO9/TXXXIOtt94a5XIZo0ePximnnIKVK1c6+1iwYAEOP/xwjBo1CpVKBRtuuCG+/e1vY9WqVXqdRx55BBMnTsSQIUPQ3NyMLbfcEj/5yU967H9vtqtWq7jggguw2WaboVwuY8yYMTj77LNRrVZz7d1yyy3YZZdd0NjYiKFDh2LPPffEww8/DEAYaa+++iqefPJJEEJACNH52k888QQIIXjiiSec9u68805MmDABDQ0NGD58OI466igsXLjQWefYY49Fc3MzFi5ciKlTp6K5uRkjRozAWWedhTTtOW3o3nvvxYEHHqjP4bhx43DhhRc62+611164//778e677+q+b7LJJnXbnDRpUt2c1y233BJTpkzpsV/dYd68eVi+fDlOPvlkZ/kpp5yC9vZ23H///d1uf9dddyEIAseYqlQqOP744/GnP/0J//rXvwAISvEjjzyCo446yhHjOvroo9Hc3Iw77rhjrY7DI4M1fQd7p3e3+ExFuDfZZBO8++67ueUnn3wyrr766tzyuXPn4rjjjnOWlctldHV1rdH+u8hqMJ6ixjudiTC1KK1KgCiCoG9GpIKAh3quyMG0gQ0AjKfC2JblqgiqgmJOIoviSUEt4SlGXCOPqOxPRSHlEYR+lxGEoiTStb5FnmuQy0N2DGVu0WyZqvtribARCi69ZJyI70zTegO9H9V/Qqim2as8W02z5yEiuCUFEriCTYpma5dGsvtcRLOFNSYMCRgNxdjA0OBNLeJI5qi7+bFaCA6p6INDNS4wSBTtV+VH2z/l6OHFonR52H2y6mCnDKlTd1s6H6xrU5W1AmCNX0aUTdewFvWjKVViXiXpkJE1rWUuuwLnDAmrIWViQpSymhCTs0p8caT6Id2bMlOaQk7UeYoQ0JJT0qqovrfdp2z9dECVyiuGLeCnSm6p60znuWtBO97tcZj+i3x7gUBuKkXQmHwOyO+EKSNarZfqvpj+WftQ4neZy6megKBqQ6VHUB4jQZfennOGlCRu7jsYauio294ag69ZHpjY1r/sPT5/+OCDD7DLLrtg5cqVmDZtGsaPH4+FCxfirrvuQkdHh0OPPvnkkzFixAjMmDED7e3tAICZM2di1qxZ2HfffXHSSSdh/vz5uPbaa/HCCy/g2WefRRRFqNVqmDJlCqrVKk477TSMGjUKCxcuxH333YeVK1eipaUFr776Kg466CBst912mD17NsrlMt544w08++yz3fa/N9sxxnDIIYfgmWeewbRp07DVVlvh73//O6688kq8/vrruOeee/S6s2bNwsyZM7Hbbrth9uzZKJVKeP755/H4449jv/32w1VXXYXTTjsNzc3NOO+88wAA66+/ft3+qXnizjvvjDlz5mDJkiX4xS9+gWeffRYvvfQShgwZotdN0xRTpkzBrrvuip///Od49NFHcfnll2PcuHE46aSTuh2HuXPnorm5GdOnT0dzczMef/xxzJgxA62trbjssssAAOeddx5WrVqF999/H1deeSUAoLm5uW6b3/ve93DCCSfglVdewTbbbKOXv/DCC3j99ddx/vnn62UrVqzolWOgsbERjY0i3eill14CAOy0007OOhMmTAClFC+99BKOOuqoum299NJL2GKLLXKK1rvssgsAQSMfM2YM/v73vyNJktx+SqUSdthhB90Pj35AP5QF8yjGZ8rgfuGFF5wHxiuvvIKvfvWrOOKII+puM3jwYMyfP19/J2uUUyvQln4o43DuxWorDgvVcSGCBgCh/Fdtk0IY2wnvkt8TGW00bYkscRMBD7nKuRYTcgYR9VYTaC5j7+YYKQi388wDx7gk2gBwj0Pn9Crldafur2Vwy9rMOpoIN0c2qyCuotu2cUxoYKlqRwAX7SZSYTvmHYhZB1KujLmqFuEq6jtIwTIAPJVGDQnBeAJK1HcKRkzt7oAk2jFhH4PtZHB3Z6KNYkwCmc5r1LAJ0KMgmGs4BgXL3H0KR0hNLhF54mZMpKGo+5CNrDMT2XYaVrWpQwS0wdSLDipa0RqAZkgog5AT6QQh0kAkGaOWsx4NVHNsKkdbRfelM8ZiaYjvZedaFsdtjGyVj+06GZhjXNr/qvEzy2MRldd97vkYtFI6IPseIl8z3oY4hwyWY6QblfTsOpxLnTq416gNld9vDsHk2zOeIKFVraEQIEK2hj3nKRJeQ79Diaat6bYeHp8znHvuuVi8eDGef/55xyCZPXs2slTNYcOG4bHHHkMQiPv5ww8/xJw5c7DffvvhgQceAKXimTF+/HiceuqpuOWWW3DcccfhH//4B95++23ceeed+MY3vqHbmzFjhv77kUceQa1WwwMPPIDhw4f3uv+92e62227Do48+iieffNLJ691mm21w4okn4rnnnsNuu+2GN954A7Nnz8ahhx6Ku+66Sx8PAD0WU6dOxfnnn68j1d0hjmOcc8452GabbfDUU09puvnEiRNx0EEH4corr8SsWbP0+l1dXfjWt76Fn/70pwCAE088EV/60pdw/fXX92hw33bbbWhoaNDfTzzxRJx44om45pprcNFFF6FcLuOrX/0qvvCFL2DFihU99h0AjjjiCJx22mm45ZZbnLrIt9xyC5qamnDYYYfpZTvuuGNhwCqLCy64ADNnzgQALFq0CEEQYOTIkc46pVIJ6623Hj744INu21q0aBE22GCD3HK1TG2/aNEiZ3l23aeffrrHfnv0FmvxDvboFp8pSvmIESMwatQo/bnvvvswbtw4TJo0qe42hBBnm+48nQrVahWtra3Ox8PDw8NjLcH4mn+8ve3xOQNjDPfccw8OPvjgXPQPyAcQTjjhBG1sA8Cjjz6KWq2GM844wzFOTzjhBAwePFhTgltaWgAADz30EDo6ipktKtJ77733gvVhwt6b7e68805stdVWGD9+PJYtW6Y/++yzDwBBbQaAe+65B4wxzJgxwzkeYM2CKS+++CKWLl2Kk08+2cntPvDAAzF+/PhCyvSJJ57ofN9jjz3w1ltv9bgv29hevXo1li1bhj322AMdHR147bXX+tx3QJy3r3/96/jd736nHQ5pmuL222/H1KlTnRz+W2+9FY888kiPn6OPPlpv053AXKVSQWdnZ7f96+zsRLlczi1XY622V//WW7en/Xj0EWvzHvaoi89UhNtGrVbDLbfcgunTp3f7oG1ra8PGG28Mxhi+9KUv4eKLL8bWW2/dbdtz5sxxvJoK7bWlOk9UR4dkxE1FuEukESXekKNIx5IinRAR3a5x8QBJeFVEn2Civ4HKtZYRYAKaVzHnKVIZIUt4l446AlLNnLrri21M9NX5Lv9mVlRQ5JGqyKlLKQdkxL6OSnIWKqfUpjpzMO0OSlDV0TpFY69xkW9dSwQtLkm7nCi7KbVUHK1X63AVNZZRea6jsFRH3gGAydrNlIrfVRQ1GxF1ovY0hKllLnPMiYmU2pHVXKRb/iailS47wKb2ZiO5QJZqnGqqsohe25QxkUtcT+k8S9+mtAwqy8UBpl6zjvrraL5Rr09l3jxgpx7I/vTSQspHiMv6vBgNAlUzWtSPVhFZVb/apmEzi01hUhHM74LBYdTuxUemMOjotqGQ6z7qDpscbTuiDRgaPu0uCq+vY3NtsQIGhdme5q4R0S1mfWdOVJ+AIpXsDiZ1ABLWJfsYOmwO1YbdVwAWk8LDw2Nd4MMPP0Rra6tDF+4OY8eOdb6riOaWW27pLC+VSth0003172PHjsX06dNxxRVX4NZbb8Uee+yBQw45BEcddZQ2xr/1rW/hv//7v/GDH/wAP/7xjzF58mQcdthh+MY3vpEzfm30ZrsFCxbgn//8Z12V4aVLlwIQasWUUnzxi1/s1Xj0hHrjAwgWwDPPPOMsq1QquT4OHToUK1as6HFfr776Ks4//3w8/vjjuSCOnSffVxx99NG4/fbb8fTTT2PPPffEo48+iiVLluRqEu++++59bruhoUHrBGTR1dXlOBHqbV+Ug6/SOtX26t966/a0Hw+PTwI+swb3Pffcg5UrV+bKPtjYcsstccMNN2C77bbDqlWr8POf/xy77bYbXn31VUdQJItzzz1XS9cDQtBhzJgxqMarEMj61DQQnkNlDIfSwI54OWccp0gQE/EgiXkHqrzNKnNVzQgjQedj24YOIUGuRq8xkFPHmFVt2DRzBqbL/GT3p8XAHAMg0XmvxlhInW3cNlAAZfQIkSduGYopT8ACu+SWpEtLw0flBiepeDAzrmo3M6ttalXdUl59N0dJG1KgwvzjhrZtG+CMCyNJj4HMS7fH3HZkEB6A8tAyfsOMsUllqTK1/4KcbsvoFn0Se9G/ZyjhirKepz+n6mCdfRAOgDBwq9yXytMWC2R9duksCnTtdfldldiyUgk4r+rrIpE0/1Qacqa+tjoH+WPOQvTH1C4nPeRoK6i+EVnIjUmHFiEBCM8bj2qMGEuQsKqV260cR1mHjTKoFdVd7s8SJQOgy+2pe1U5KLL9VzXRATNu5p5NdEqF7jdYXceLOTb1b6LFCwVSd9T1FxP5qqffMODwlHIPjwHD2hgml19+OY499ljce++9ePjhh3H66adjzpw5+POf/4wNN9wQDQ0NeOqppzBv3jzcf//9ePDBB3H77bdjn332wcMPP+xE1rN96mk7xhi23XZbXHHFFYVtjBkzZo2Pqz9R7xh7wsqVKzFp0iQMHjwYs2fPxrhx41CpVPC3v/0N55xzTp8YA1lMmTIF66+/Pm655RbsueeeuOWWWzBq1Cjsu+++znoffvhhr3K4m5ubdd74BhtsgDRNsXTpUodWXqvVsHz5cowePbrbtjbYYIOc+BxgKORqe0UlV8uz6/a0H48+wlPKBwSfWYP7+uuvxwEHHNDtjfiVr3wFX/nKV/T33XbbDVtttRX+8z//ExdeeGHd7crlciG1hfEaKA8BGDVkHY1WxjF3a1uniFEjnahxQdMSuclCnRgQk++ssQwYISVARdGM8deTQaKMV7vONucMCTdCZO4EHbkImS1Qls1Zd41eBTdX2IhNiVEAGFJmi2kxLbZlt2tH1d3vbm4tkUnSrrGKXHvmbzXG6hiV+JSMlBLmKMGDAhQRGFFf3VroIFREDrkRuiKcgqnzz2oy5zrbK9uootroVntRgnQKbuRRHqduU45xRpTM5ENnItzSwFaGlzIcTX50SdYudw1WncuvFOktkTTGamAyz97kj5voMAHpXjyOEKEpUOd6LhQ7o2YXAckzOezIbTa4z4jRPXDbp3p9Yn1Xom3UGqOINhinhKoOoNgoJNAihnqfYEh4FYxKZxKvCmeSYgZIYzz7HDCCfqHzXbBRkvz9azl33PuvHqzrPZfXTwDevdNjjeANbg+PXmPEiBEYPHgwXnnllTXafuONNwYAzJ8/H5tuuqleXqvV8Pbbb+eMsm233Rbbbrstzj//fDz33HPYfffdcd111+Giiy4CIMpqTZ48GZMnT8YVV1yBiy++GOeddx7mzZuXa8tGT9uNGzcO//d//4fJkyd3y1gcN24cGGP4xz/+gR122KHuer2ll9vjo+jrCvPnz9e/ry2eeOIJLF++HP/zP/+DPffcUy9/++23c+v2lRofBAG+853vYO7cubjkkktwzz335FILAGDnnXfucw63GuMXX3wRX/va1/Q6L774Ihhj3Z4Dtf28efPQ2trqCKc9//zzTvvbbLMNwjDEiy++iG9+85t6vVqthpdfftlZ5tEPWBuD27+G6+IzlcOt8O677+LRRx/FD37wgz5tF0URdtxxR7zxxhsD1DMPDw8Pj7pYq3Ik/k3v8fkCpRRTp07F//7v/+LFF1/M/d5Tfdt9990XpVIJv/zlL511r7/+eqxatQoHHnggAMHiSxLX4bftttuCUqppvh999FGufWUwFVGBFXqz3Te/+U0sXLgQv/nNb3LrdnZ2asX1qVOnglKK2bNn56LC9vE1NTXlyp4VYaeddsLIkSNx3XXXOcfwwAMP4J///Kcen7WFMn7tPtZqNVxzzTW5dZuamvpMMf/e976HFStW4Ic//CHa2toKBdfWJId7n332wbBhw3Dttdc6bV177bVobGx0xmfZsmV47bXXHA2Ab3zjG0jTFP/1X/+ll1WrVdx4443YddddNXOhpaUF++67L2655RasXr1ar3vzzTejra2tW2FkjzWALws2IPhMRrhvvPFGjBw5ss8PwzRN8fe//93x1PUNAUx9W5WzGTkUci5VyFNJVY55FxJeRY21ie+yzm4io4S6tnU3lE6V12tUx6lDW82qNhcpbNuUcVVDW/c5V4M63xeTN2r/Xj8Sq+sUywVcRt0AGe/mbhkipmtEW9FzJ0qXVVQX7SpncDYXuhB29BUywkysv+EqOxM7L80aewXKzfIUJk9c/lrQAeY+sAgD0B1FjTo53ZyIWs62WrUNW+lbf7fV6TN0aEGFDkElTZ6CZs4j01FtQERiRYRb5T8X5fZzc+xE5b1357HPj5eK4OrvaaLziVNaQ0pLoETQ2EOpqUAydGmbHeJE7GW6BmFZtojdj0BH/SktIaQVlEKRQlKizeJDRNmUABEod/Pu9X1GVEpFihBlJDKtJOTi75Cq0oAiLUBF21nB/afGRbSfOkwIcR2o2uCAqROuN5Tb9f5l2f05Wwv4CLeHR59w8cUX4+GHH8akSZN0yaxFixbhzjvvxDPPPOOUrcpixIgROPfcczFr1izsv//+OOSQQzB//nxcc8012HnnnbVh9vjjj+PUU0/FEUccgS222AJJkuDmm29GEAQ4/PDDAQhV9KeeegoHHnggNt54YyxduhTXXHMNNtxwQ0dZPIvebPe9730Pd9xxB0488UTMmzcPu+++O9I0xWuvvYY77rgDDz30EHbaaSdsttlmOO+883DhhRdijz32wGGHHYZyuYwXXngBo0ePxpw5cwCIslXXXnstLrroImy22WYYOXJkLoINiCDMJZdcguOOOw6TJk3CkUceqcuCbbLJJjjzzDPX9LQ52G233TB06FAcc8wxOP3000EIwc0331zoMJkwYQJuv/12TJ8+HTvvvDOam5tx8MEHd9v+jjvuiG222UaLz33pS1/KrbOmOdwXXnghTjnlFBxxxBGYMmUKnn76adxyyy342c9+hmHDhul1f/3rX2PWrFmYN2+ernu+66674ogjjsC5556LpUuXYrPNNsNNN92Ed955B9dff72zr5/97GfYbbfd9HX+/vvv4/LLL8d+++2H/fffv89996gDDk8pHyB85gxuxhhuvPFGHHPMMQhD9/COPvpofOELX9AP3dmzZ+PLX/4yNttsM6xcuRKXXXYZ3n333T5HxhXCoAFR0IhS2KQppWoyrybZCREliVTJrgRVxKwDiV3eihuDN58L7YopASaX16GYUiAghvaeravN7DrWMj9U52RrISlj3PYlh1NR1otKWonf3bmxpj2rOTyrWeJl6rilkVBnUl1ISyaAKYEFFNW11sdVWBfbpcfbtbU5Eca3ajGFoqB3P07GkZGtB92dsZARTbOMe8fRInjuTqkzcAbuUNBsCnmojWzACJCp76qutm2scsvpUVRiq5j2HMnfY5faz/NGmyNI5sC+5lld5w5hnUhIBEqEQRxnBMqE8F2kc7wpicCt8m/ZVAq1DbdKslESIpC1x6OwCaWgCRUq6HAV2oIGPhglJinmCGHXZ09IghQJGFKtmcBICkoCBFw56YTDzHV6pWCBcWzYQnCiZrfJATfXYsEwOoNtVuh7abYIhEZIpYZCv4GvhdIpRy5FwMPjs44vfOELeP755/HTn/4Ut956K1pbW/GFL3wBBxxwgK6X3B1mzpyJESNG4Ne//jXOPPNMDBs2DNOmTcPFF1+MKBLPpO233x5TpkzB//7v/2LhwoVobGzE9ttvjwceeABf/vKXAQCHHHII3nnnHdxwww1YtmwZhg8fjkmTJmHWrFlaWK0IvdmOUop77rkHV155JX7729/i7rvvRmNjIzbddFP827/9G7bYYgvd3uzZszF27Fj86le/wnnnnYfGxkZst912jkjYjBkz8O677+LSSy/F6tWrMWnSpEKDGwCOPfZYNDY24j/+4z9wzjnnoKmpCYceeiguueSSbp0ZfcF6662H++67D//+7/+O888/H0OHDsVRRx2FyZMnY8qUKc66J598Ml5++WXceOONuPLKK7Hxxhv3aHADYv579tln58TS1hYnn3wyoijC5Zdfjj/84Q8YM2YMrrzySvzbv/1br7b/7W9/i5/+9Ke4+eabsWLFCmy33Xa47777HGo9AHzpS1/Co48+inPOOQdnnnkmBg0ahOOPP17P5z36EV5tfEBAeE+co08ZHn74YUyZMgXz5893HsIAsNdee2GTTTbB3LlzAQBnnnkm/ud//geLFy/G0KFDMWHCBFx00UXYcccd+7TP1tZWtLS0YFDjeJSCZqHerISmSIQg49dgsMS/eBUpj3U9acYSYXBbk+kiBWPO3Si0bXgVKTdTq+6vatcWIGMsNmrSBXW1iwzuIuVigazwRuAat0jBWQ311KqzYmB9NfhVG3bur52bbPfTGNxJQT8CHe1VdZOJ1Z4bKe0+bz4vNJeA8SrqK4jDyl82fQhoyQhykVAaxMapwznTQnIp6wKXKve6SRBrTMqyDZN/nK+rHToGNUdqcotZ7NaoLsj7V8da9HtewI4hpwKuUW9ss6wAkjvvbs3rUKuEi2N263YrwT6loZCyLseJoMYrkqKIpaAJ5WAwKkQY3E0YigbeiICbay0lKaoy4h6jipiIe16Pa+5+kQ4ypQsgnQHMeiYkqBqnncz5zgoKGnX4mnOtGedScY42B9didWrs7XuBkrJ+xnR0vYVVq1Y5+XdriokTJ+KU/TbGt7+6wxptf8F/P4xFdJNC2qmHh4fH5xm/+MUvcOaZZ+Kdd97BRhtttK674/EJxIsvvoiDpuyNRffNWOM2hnx1Bp57/sUeqz19HvGZy+Heb7/9wDnPGduAEKZQxjYAXHnllXj33XdRrVaxePFi3H///X02tj08PDw8Pn146qmncPDBB2P06NEghOCee+5xfieEFH4uu+yyum3OnDkzt/748eMH+Eg8PDw86oNzjuuvvx6TJk3yxraHxzrCZ45Svi5RDlsQ0YpLweUpEiuCJeilJrKqIl1qG0qRK1ukqLsApNJ1IlIs7MhhpkwQYOjsBIGIVmaUkRUCqcCtI7SqnJCObMZ1IsyqveKSW7BouDa4jLB1T2PtRiG5GxjqcKAp0+5yOxpsgnhccLFNOzC1lLN9F0jA+6zSXFBr2R7XLNc+e0yZ1AFDKQ/UQQBElKISKDnK76K2t2EPEF32y0R77braarneXEa3lQp5tq62gZvPTiS9W9QdL4iA2zR7AIQnulSZiWAXlyvJXUNaPIvIrykIapbKOQVhhkaf0lquJra4v2y2h50eISnlFssgsKoQgMt7S15XKRJUSRe6iNBoqPI2JKzLKb2ncuPVM0CwYsy1KlTNA72PFLGObqtj5Eh1m5ynBfdrYF3rLMO8drUL9G91mBVhUJHMgBI60M/4GHO429vbsf322+P73/8+DjvssNzv2RI0DzzwAI4//nids1oPW2+9NR599FH9PZva5OHh4fFxoL29HX/4wx8wb948/P3vf8e99967rrvk8WnAWuVwf6ZI0/0KPxPoR4RE1NhWRjUAJDLfMguX5mvTkcN8qSKegEuar2vwKDq0WtPK9c2KqIE65ZAIUt2HIjq0W8+ZaePeWccyiorytfMUa1N/uVB4DYbC6v7AzG/d5J3aRguhJVBS1kaCgRLqUnWwzXLi5HyrMbHzl7sTkrOPz0Y90bNU70c0WL/+pcnVr7uK/D0AuDGUOWGgpAwGlZ+salHb9dupNtCz14GigDupBzx2qMri71T3U7ShRNZCTb1X7RcdlzG8EzC53+x4OPXDrRvEpT4XQ2gEyGtdOqeUwcp4UmhwqxJaxtiunzqQ8hgJxD1ZIwFSSx8hJlVUeRu60lbxPW1DwmrCEUCUgS1o7kpzgdMyQCq6DYrAjIvcH+MxYibM3YR1irr1zByTDUJC2Xt5nqlxHsgjhl32T4wTRb1a4lFQQUDKmurer1irHG6ONE3R2trqLK5XxvGAAw7AAQccULe5UaNGOd/vvfde7L333k75pCKEYZjb1sPDw+PjxocffojvfOc7GDJkCH7yk5/gkEMOWddd8vg0wOdwDwi8wd2PMAJpqVYZLxRhIlnD2CglZxWVRTQ80qJqIZXGnlMXOjPBzoqD1ckcUBN+woOcArWAMZDzebWyRjRgRQ9dQzubW2wLlInfZRRS54wGznIDqw6z+okLE8SNzAUgOh+5AZSWcnnrtpFn5ydrfXRn167QnNg2tf52I9TFwm3dqZJb65JAGP/EvVbE2Ng51FZkvcDOFHWexfpKPE8xJjgPHSZEPUeLapex2DHkUlYD4wlS1iV/r8qca6t+Oqcg6hioq2xOstcYMdeyaE8axJyaYxYdBVFDS1ynjBljN+ffPaiC79p/E4MhBbhhadjChGKdvE2vnGiMJ4hZBzgVYxDzDnkuxfca60SctqGWirI1SdqlGQEqd57REiI0gFDRh5QHIIita73L2aeqaqDyzBNWLRSro+rxTgCg5Igqipxsy8lgid8pUIsdEjiVF0KEtAxSIHq31uA8f776sO3L//dyTqDJrhu7pliyZAnuv/9+3HTTTT2uu2DBAowePRqVSgVf+cpXMGfOHE/j9PDw+NixySabFCqde3h0izV9B3t0C29we3h4eHh8MrCWKuU77LADnnjiCWdxUXS7r7jpppswaNCgQuq5jV133RVz587FlltuiUWLFmHWrFnYY4898Morr2DQoEFr3Q8PDw8PD48BA4ePcA8QvMHdj0gRg/FUqwYDJgfbjWBbUWwCp2QXgVsXWFFJ7UitikwaZeViyq5CVg2ZZGjO2e16rFetSks5VOFAU5lz2+uUWtVf+Xd3dZgzNN7CaDOP4US4SQgqabkqN9mpOW6VDTKly+R3TnO0WucQZHS7t0rPIATcYQHIccuNremfoLSrqL/FLtDNVsEAEB7qbTgx+f86ginLcBEqopy5vHHdRXUtuuNqcoEz5aZYDYzXNN0aSs3eoXvb+dORE7Gvq+SerRdOqE5XMJFt9a/KYzfR12IWg0VJJ0B3LBOX9h/kUulz++BGUyGGiOInrNNpV41ZwqoiZ1sqxzPJVCEk0udR78Oh86dIZR9SxE6OdsqqqKXtJrVELreZC5SE1rUeaNo6AIS0USizW8fNuMkLL7oH3OePVE2vc6+sFdja5XAHQdAviulZ3HDDDfjud7+LSqXS7Xo2RX277bbDrrvuio033hh33HEHjj/++H7vl4cAYwwffPABBg0aBNJT7o2Hh4fHZwCcc6xevRqjR48GpT3N2/sAX4d7QOAN7n6EopGnrOpQygGA6clwIMsQmVxiYTil+ncKqiezQhCJ6cm1ovgyluSMiCxs+nSK2AizWW3b69kiTYSEmtYLuZUNO79ZGLb5XF27hrWgHisnQ5gRxiqGaS/S+7CPjfPQotKmwoipky+s27T2SakxRkFCt8SVLLvmlDLrVjgO0ri00wUI4Dghgrr9EoZ7Cq7SA7g05jnXRqswAmMw5WDhFm0YJqXB0O9D2bK5DliBAJ6+Rlns5AoXlTJzcvuLxDH6ONl1a3fb4+0ei21g29dC9lrT581xZFDjWMk5PYqE8RJNczfbZ50SRlTN3r+CWZ4gZZaTAsaAt8XqRAk2cYxKkE3lzqsyguoZEKedSHX+vGlTGdSq1Jn6TkmEkJhShRFpREjKoFCGcyrywmXueQpRllBfN9LYN8+LFAlPczT2fsFa5nAPBJ5++mnMnz8ft99+e5+3HTJkCLbYYgu88cYbA9AzD4UPPvgAY8aMWdfd8PDw8PjY8a9//Qsbbrhh/zW4NhFuHxyvC29w9yOStEPIpVk5lXrCrmrq0tAVTCPZ6GRWkElOfp2oYy+MbZhIJRjAaQoi81RZNm8XqZywR3pbwM79LeXat42QrBGjosfacCMMjNkSTdBq1GJ/7h0q8patfcGoaTv1kq02uY7UuoZRvXFS/dcCY2AAgzak1Pa5nG3dAJV9rMcOCJzzXpd9YEXAhb1gBNLE99QyJFIARDMaOC85OdeArVBumAwqjsmRgrHQVbO289oz4lm63ntOTVwdq9DStp+wtpMhe4wMiavADyYdR6I/4hp3HRtKhI1KpXNVQztrADOoY6LGaYG8gZ6tXU4ywl/qXlP3m3A2uGJ59jVSJDiWrTVeeDyyjjUAhLL+uTK0CQIn4pzyqiOWmLJapl9SOZ1Kg1qKmgU651oY3GXSDAAo80YEPNTPmgQJElkfHAAIj5GSWDJIhPCj8wySx8S7Efr7LOH666/HhAkTsP322/d527a2Nrz55pv43ve+NwA981AwdH2KQnELDw8Pj88cRGDGpyt9OuANbg8PDw+PTwY+xrJgbW1tTuT57bffxssvv4xhw4ZpkbPW1lbceeeduPzyywvbmDx5Mg499FCceuqpAICzzjoLBx98MDbeeGN88MEHuOCCCxAEAY488sg1OyaPXsHQyAm8we3h4fF5Qv+m0azFO9ijW3iDux8Rsy4Zea0BVpRQ1TsGAM5EPnb9iFh2WT6CpuCqTRdRukUEKoXIcaOZaGs2Kh1AlQGiYLT+DZeNwote2grgrtp3trQSRaij3AAkvbz+ZDmbs677QUP9XDD0d0WPzqs2A3DyzLPq7dwqV2ZKQ1nK6rnyUFEmgk1zY5vNL7bHxM4hL8pzJ2DgVkKxGKMU0BHhGhgPQVUusBUhLTpGLmTDdTk5zlMRYXbGLH9d5iPbqrxcWlDT2b2uGE90Hnv2/DFNUVd0azcfX+2LEhMNFvWgXWp+yqpWkN3cd4BJdwiDitzerTWuqgRourRM34ilAniKmlNrvH6qQXcvKPu6MMcTyog0JZGr2yCj2ylXFPIuhzVjzpNhzYj25BiRMkIqShSq9kOUEXJzzE7aChgSYiLqCaq69BgArUmRSAq7TS3vd7C1E03rC1588UXsvffe+vv06dMBAMcccwzmzp0LAPj9738Pznldg/nNN9/EsmXL9Pf3338fRx55JJYvX44RI0Zg4sSJ+POf/4wRI0b0rXMeHyvsihkefUORBouuPKJXciYExRU9Mtt/2kBAPpX9/iTjs3BdrA3W2XPJi6YNCLzB3Y9I0i4Aeco3scSzcjmnsA2OTN6zXuhSmZVolJnEuzTbvMhTKnMyZRPSYKHcTNgJAk0pV0aIQlHJMCUGB0Dnl6awqMpObq5pSexfGaJyLOT4qIeKecgGel9FTodcLrLznTlic6K0U+jk5trPcsZErrKh7seuwS37SHRebBmUlrQBb9OU1Ri7fUvd60KXperG8UKoNLYtw4ZzLajGpaAZp4qyzTIuBLmJQxE3xqKiTjNlSGWuRYHuai0HuZRtl8YvjEL96ObZ42SAdd4BRUl39QEotfKRaeRcjylPCsve2fdGQEuIaAMAIAwaENEGxxi1xyjlMWKpq6CWszRxxizriCksmacVy5SzyJTUojRPiU8Rm/EBQ5J2WpoQNceJlz1G0aadAx7lhBE5mKaMMzCI1sR1lPAqEl7Vdb2FsZ049dezaTL2v/2LtSgL1sdJyV577YWeSuZMmzYN06ZNq/v7O++843z//e9/36c+ePQ38sZfT5N28cwh5u+MQVjPoBwIrAujradJfdH42WOGzHzBlOy0tnfmDyZVyyCTmqX0S5yF9aJ42ed/D1orzn7Mc9pZRwcB6jyLVN/sMbBGqttt6/Sn++tUvW94nd/zbazpdVQoYuus4DpP6u2v3vGYMdeToG5+d68bkj2kTBtF/VgbrK3BX+9+7tsYAIQXj++APSl8WbABgTe4+xGqPnFeYItCCYYRPZlXRlOSMTrqXegqYmryUgMdtRMRu8Kaysjn6oInYl0VYYOprQsAAcTkXRklQuApALOjgCTWtcFjudyu11xkINggoICOlEIax+6YZY+FZeqN25FFZZDbkUfRrkIACsOU4YTpXHPRdxXJVOrysfvCJ0z2V0WPQznuxhAMSP52svOl1XHq/ubyo/MRQwICruulG+Vy0UYCxmtImYpsUySAlQucH8NsLnDKukQ9bdVuzrnj5h9n23NhsQEgXhDd2zOZSRUhEONrO3jySurZfeci8Nb2Ivpb1vnNQjysotkcBAEIqBYME3oGZvKozhPT14USD8tPgEwHjGhbfupiRbK1VkLsiNmlPBEOLOkIYazmHKMWNbQNbqtdIYBmGC3gVWH0cyWaKKLbynGTsKrOE1fHbKvTqwi/7UAzz7F+xlpFuL1X3iMAUbPyAqMIEM9RZ2JLAtdQITz3LsoaMkQ/z7NOYjjLi37L/p5dpzuju7cGeZ+MJvFmzBszheuqtimomi/QBud5lNVOyQYZGK/Jd46lQUHLWqdDgGUcfClgMbeEk1HqeuiAg3LQp4XvBPeQAoS0hCgQuhYl0gBKIr1dzDpQY22oJe0AzJxGM51gGFQAtPPd1ZipaWe2riRhPbOzz/CAlh0tHwZTDUNVurDfQ6To+Uuo9Q4XRn9dHZt610jmfrHPk7OdnB+Ye4BltlNgznamQo90cqhKJvq9auuruAa3eGdZ6yhnETHzZ+U008fSB6dADtlrv+Ae6cmgzhrL6pkjfpbXrK4gpMbKHiM5L7GeOdrhNRCvPF8WbMDgDe5+hHgY5z2bIroq/lYPUOgHt6VGbYPYL+AARq25jIBWEAYVhIGM2tES7NJiasLcXcSZcGPEcBKC0EAb2ErFOOLKIA8AbiJiMREU3gRGiT1hNSTS4aBeDFr9PFM2zByXfJiSCHmvt3U8YMLjXPiws6NttqMjazyGYEjNA41Tx0DPRrRzZaTUyyJLGSfGiOnOIOUoUPwupCbboACBfrEKw9tlPogod022oM5nMZtCGVHakOM1MNZpqME5ijBxIqVaVb7OC7zutayby3ujneWgMiKcpeq75zm1xkBd26apUDAo5HYBLcmqAKY9zlMtHEghXmbqXhGl/WLtKFEsAG47TqwJe+4Q9aTCnrQEhQ4DXdZLGvvM3qelQq5YB/r6IpFwvMGMDQMDVHsk1vvoDuYY4wy7QziyXLaHTZunchwH4KW8lnW4PT7foLQRUI5ZWTXCFrIExPWsBDvF86asU1aU8Zk3GI3xIQwv6aRU92Zmgqyf2QUGeZHBnkV3xpBjUGTaMJHnjHHktOFGc43j2RUxrd+HEAFtQDkaAgBoiIahErRoJyaDcn6n+jvjMWJZOrErWYk4adNjHAQVNJbWQ1M4EgDQSIYi4KF+5tvpLgAQkQoa+SAMZkIoqoU2oCkMUA7EMUUUoJmupxyophyJfLYEhKApIhhaFisOiYBKAFTlaV9RAxZ1MCztEuf5Q6zCKroMnWwFAKDGxbGUiJiDNdHhaGYtiOQcKkaMVvoR2thSfcycM+34LQeDUSGD0YShAIBmNgjNpAENRJVuJEgYR6t8pi+ny7GC/wvtyYdi/2k7TKqerHQBqp21gBDxTVmn61DPsK/yyDIRAMNyy7O1ACuwk3FCqN/MuzsGEOh7TTkcjGO3ptdR7YjUMouRx6pi7mwx2MT7FdY+XPZizilgT8h7xXxwfpA7st+tqTunyUbmiZkTKWOb6nSysjNvNHNmw5wUc4UuMHn/iGeOOg+8gJXYD/AG94DAG9weHh4eHp8MfIyiaR6fPbQ0buIEpZRCv/obkA4mOYkPSIgyaUaZCOMt4FbVCgnbWVYjnehgK9CZLBff03anbeXcs9kqWa0DxSxSf2dTl7KwS3DKFrQDEBZbTvQ1AEjoGEVZfZFslQdAOekzlVWyminSCCiHgzAoGo0vsM0BAGOiFoxoCDFIEtYCQpByjlgeUnsCrKqmWFQTKSvvN7yNFfG7OmVlvdJmmBBsjUnri/3ts8EybLLjSkSbC2OUNJeBWgJelVHigALrNQNjRRkkNn5LIOzbVJb86z0gScGHDxcLMirP9KWXwR7/OxY/K/r098Uj8HrbKLwvDgErqhwx42gpid/HNAEbNyYYHIo+dqQUb7WPxmurxDPp3Y5OdPAqBhPBStyoqYJNBxFs2iTGYOOmDoxqWYFBQ8R1EZQZ4o4Ai5cOBgD8Y8UX8GrrWLyzWgxqW5yiFBAMLYvrYkiJIKJAe8KxqF2s83Z1Ff5F56O19j4Aca0SQnV6lYqoG4NZVZ8w1y6zjDlKIkRBBSUqWAERbRTaIPL+KvNGVHgjGrhkXiIAB0OnTGWKSc1hXlFOkZAE7WSlOKZ0Kappq3YEU1CndGucdiJOO0R0Xzkmgoo+HrvPtoaPqoYCmECHvg70fZG51qlhCWarmtgMChXAcCutADaD1XF8E4IgaEZDSWh6NEXD0UCHokQaAQAl3oAyr+gxpCCokhpW0GVoZYsAAJ3xR0h5goCIikerOv6BfocXTRsQeIO7HyFeVIrOJi9YRbuxb7oiCnmG4uXmgIag8qEWBBWEtIIoaECo8lJp2YlEMh4j4VQ3zRA7D1L9QuUmDzbkKQiVZaRIhIhboktaYMm82FMYSnmSdsqPeBuZqKnan8jxUhFyO4KpIB783YxtncizgYx0ZpkDmkYo6n7n8rxtmnZR7p7jCc17IrUXn1CAJTlvpWI0iBzxWkHU0EaeUk+I8fQL72wBPVG9IGVEtF6kXUVOTR+qdfohj59D1odXkW2LSl2EAjEc0W8rsk1I5lq3jzXQXm9nHGFPAqrOJME+PvvYtTiebNvkI1OkJAFVESoJNQFPWRUxE9czIOncPLY82i49rlgciNaJHhhkaZeij0oUzU1vyAr22eMi1s+XCcyWd7PHxl7H/Ovm9tuTep6l+oHJe2kA1KA/gXW4PT49KAWNzrslJGVEcjKrDAPBNhLP7YhUUOFNKDNpJPBAlLG0nmPUur+raAAowEOxPc1E+1RalnlexS6TCikYKyOWaUBIOsCtaG4RsmU3RXqVoqJWXZprnailXQIzqzeiI5NqOigZQkZgVM0LxPcoaEYDaUGzjO42RwGGlAgGR6IjEeVgHKjJQ6oEBBQBOlIxNsv5YLTRBqTSudHAB2N4JcAXBwvnxWb7toN85wDw0aNl/1z0x13Ox2zU7e9si81B31+CYe8JY3Wj9jZ0pAFSrhwrBDEjGCydDKPKKb7Q0ImhDcJg7qhF6EwDLOkSY7e8KwIYMDgS0d1hZYoNGxJsOkgc85iRKzFowxjRKBn9rYRgq2uIKisBALUkwOqkBUymBbUnBIMiglEVMcgjyjEiwrEiDtAQiHHtWjEIrWwUamGbaFO+VwN5H6h5o9GEEUwpNacJeCKozFbEuUybUZLlJStoRhkNqKQyyo8KBoclNIVi/Uogcoy7EnHGaowhtp7tKTg6WIxQXlcJ7RJzSj0lpg7Nn9IEAS+Bcaqj5KWgSTgO5PUcqHQs4pY+NfMAmpsvZN+LTkqa1EfJpgsqp4DdLgAQLiLyWb0TA3FfRTIdtEIHoxnroYk1AQAaeQMaaYRKIO85StCVpojSSPvcSBQg4VUxL8/pJPUT1irC7d/D9eAN7n4FA1CHiuJQzIperjbFy+SuEBKJXGFds7eCUtiEEm1GRMVEwqZyAYIYRjI0E/HSN144ux4yJSEYTTRti0n1aS0kBQaGVIsuxVzkN8WpeJDX0naH8pI14oqyzjiC3CTCRr261S6MAyCvFO0aSFys5GzTLTXYyiEWx5A1LlyKPmfCd2vXVWcs1uuYHDDLiHJ2WD+y4QjSkCz1PHAMcmaJiBmjroCSBePRrgdBfQSKcsvrrd8tCkVvaG5sXYG8BECoa18TQp3neb3rRk9wCUPCqppCmpAailCfdm+op4ByQph7OH9dqOMx929W0DAr2KeP2ckP7GnMGbJK/PZ5tnUiimvCZ3LjnDFPpWdepb9w6ViQwi4kkJTZATC4PT63WLhwIc455xw88MAD6OjowGabbYYbb7wRO+20U6/bCBBZ+ZzSiSev9ZALR69t3Ia8jIiXEHHlXCZIOECJ9f6y35WgiCB0IABowcpcFB0mDYRzBqbFOoVjW1cICBRF3X1fucgaBbbeSQjuaJvknZUEDJyjrmBoUc61remiq4TAGN6Um5QSEc02EW1K8lIMhAgjFQBKrKTHSxxBiDIFhpTFu5GOGwEmje11BbJ6NVA1c6iQMjQGKRqlMVsJRDJSJIeaEiCgHAFRlHXzvASgHTjqzFQCjqYwxWB5zA0tMcKhAchgOeaR0JwJm0UQo7lcQ0uUYrDcYSUAhkQMoyuij6MaOhFRhkpXBStq4jw1RRTlrorlFIrkuVR6Qq6wphDShX7XBZbGDmCcV2WIeWcZDaiwBjRB3AuDwxKGlUO0lMR5bggIKAFq8kLoSilqKbTR3ZVykBhoY0orKMg7om22inIcIXSOyb6WOFhujqCOTTSXD/QUia7Wg7qfVZSek0BEw2WXOZHVZywnN+dUXwtqbqCdGIgQItTPnzIJUKEBGkJ1DkTvulgZjVywMGLShZjIgMBAGdweAwJvcPcrKPKTUJaPaNcRWjJtFPxsRwEzE2gK5QW0on6ZiFVOgRtm9ZSEouwPVdQfcTPbD9yUx4hl3lLMOlBNWzWdLkm7hIGiHpS9ijSl1mrGMCg+5rw3nstJRH3DhCI/ebFZBnWisY5YV1Zd1c1XYixx8qVtz6j61zwQhQHEM8JnJgLvRjHtvPecY0IFjKXnNusccOhU3D3vkF5rNQY9IRfB7SPyLAGguyi5YF+YyZpS+DeGdL0tqbW9mVSztEhorzu4xqdY31JjJ8g4S/LtqbwywKV0ZlE3Sg8qHVLyt4J9OIKBYK6TK1NmT0ywQhA9MclGzMQpciLe3DynCh0phBayLdYaDD6H+3OIFStWYPfdd8fee++NBx54ACNGjMCCBQswdOjQPrXDrPcrAwNB7EzIAXfSrSbOuuIGgJQYTQdGmHZoq++MMG2E2xN/AJoVph6ZTD6vKVfbC8eoipgxWTnDMYYzKHI+q2h0Kp+X+e1M6T4G8Ryy3109i04ah7g21LTzOQAjDFXpVOhMymiLTR+jlMi+ychmylFLjYBmIA0morQr5OjrvOtBhiI8oGhvB2kXcxje0ACUyyCtqwAA5M13wBa1Im5Tx6K0OQxEFF8s6UwJ2uMQZVnppT2J0JFSdMnXT42liHnqjHRAOMJAzh9Cq1EAnHHwxHaCcESEoxJIw50QNIUMgyMxhi2VKgLK0JGEKFHFNFAFIN35gxbLhMvyAlwtGmMUSocVKSMgEQLlnOKi7UCuX6YUjaGJ+g+KOAIC7YjpTIGulKBLTj9oDHQmpm9KP0VV56A0cpxdWmSU5OdZzvFxE6Xvjjmit8mswzlz5p5FbDGWvWdUPzg0Q0R8F4KMSvgWxFRcUfuWvAKnPXUvUDl9CkAQcRF0i2hFn8cB0yn3OdwDAm9we3h4eHh8MuBzuD+XuOSSSzBmzBjceOONetnYsWPXqC3F1BKTWSrTYqTxzCloQalDZfAycMSkhhiS4YIUAYm00ZEi0alRgDJQAv17NoKuoI1XDnDHMRs4eao2s0xvm3XWEYBrgz8E44b2DYsebBBbCSF5F2Eu5URTyqUTQQpyaYEuuTyW4owdaYrWGtVGaSCNhVRHwAWtWH2nIAhR1mMeckVdl/dvxVYrHzjQ1xcAy1eKfZcioFwCOmWlmfc+RLq4C11t4lircYiEG/OGcSBhIkoLAG0Jxao41IZSRxKgLaFol3TqDl5DldQQy9QFjlCEZ6j7zOI1mXbHOBCnjo5pQDhC7bvmaAg4mqXB3VipgVKOSleKSLdJtDkn9pnqvqtfc+lYJEJopRLaDBEKU7nGGUf5b0gJygHQJK2KwSFDRLm+LjpSgvbEsMJqqWRDyFEVxrYlFqpTHVzWmjNekj1iDOxU6CZkDOR6jvaiVCwbjCWu/lkunc0N+KjoOtHpGIkQJdbOAjedUgm2Kgp8zBlSzpHqeIxxVKnUloCHCEikaez9jrV5BwPe8d0NvMHdjxC1sdUTUb34ANjR53qRVf2MFJFboh+SMj9EPoQoDXUJITNJECIwOk+VV3NKyzZFVFPLVU8ZRcxCkNTyNpJYP1w5T0XOtvQ8xmm7zHPtku3X4CglylIIdmQzX+LBHiP1MFLLA6jSR+qYbUVmtY1bqkhGkGVkQtT1LopyW93MkN0dKrAOI7v0Jq5p99CvIdEfRbtTEetiz6u1QLaplmXLYQW56Kjw7FrjpbqVzUHS0WD14jFRdQ7efeSyAHVL3BSUzKifA6+QZx0Y9fNU5wfbDABeoPLdQ4fdrxa9WkeGHY0FsR9zWIJdYH8nqoxYlrKembSodYxSqxtN1h7zwui2Os8igqXHpSjC7exXUcAzavPEPobImgTkc0LdPhXURrfaU7n2QPe6C2sEbq7PNdrW41OJP/zhD5gyZQqOOOIIPPnkk/jCF76Ak08+GSeccELh+tVqFdWqidC1trYCEBNYzW7hMVJQE61GapUDdJ8natKfIkGMGqqkQ7bHECAB5fYkuSAibD8venhWERLoEn060g3z/syW3MtFuLnLxBLPCvVeFEfhHJmOyqkt1P+zxoiZDlKYZ1hAQgSItFEfogzKqR6zLpagPaGIuTLI5ZxddZdzJJzr8qGEU5RJI6hsr8TKCAgQSXo+mpvqjl1/gSxdCrz5PtjCVfKACUglFFYggPTDLlSXAp1d0rnAXM0KxkXkVtrTWBUDH9VCcPms7EgoVsVAu4xSd5IqYlLTKunK6A3kMdPAahgATxh4wvVrihIuDW5DTS5RhrLUEqg0xCAEqIQJIvW4lk3yzDxLX7/c5CIDotoNtViNVDqaTA6366hihIFxpt8PASEoUYJGGbUfHDGUKNMGd0RERFz6KNAhd63uz4RXnXKYisGnosOqIkk+qp1a+id50bQsuk2j0+OhmC+wJ3t6Xpd7BmTmBXYOOLfSU7KMxRQJYlJFLEXSYiSIeajvJTBhCYj0PuPwijhDQgZAnVzhY3yXPvXUU7jsssvw17/+FYsWLcLdd9+NqVOn6t+PPfZY3HTTTc42U6ZMwYMPPthtu1dffTUuu+wyLF68GNtvvz1+9atfYZdddhmIQ+g1vMHdjwhoZFFQ1E0VO9duPSNHL+cqP9QY7owZ45qkwqizH4yJvIFTnS9cdeotKzo5t6nFgDY6UgRA0mH6whkSGiInxCYfhEnaKWpMclO3V3TOypNx7CyaM2KKy2DJZqRBoKg3dp3xbK4t9MM5kZ5+6O/EOQ/Znah/uvfkOeeLp6Z9HsuzZOcGUR15UMdgP4B7Eoazx6hIXdZdr8DQzjklqIy49A/s2pIC2chLppZr4XrdUf2pdAikcNMM7PiMG8kBun+B1kvpMPdbEe3dlF9THmnb+WOjSPxMbNc95c09bgUrnYFYUk0Zuqkxtq2JlK1hQBT9Uf6r69Rm0h0s5Vbm5GyrdmyDPYAx2CNNUe13MVNfFuxzibfeegvXXnstpk+fjp/85Cd44YUXcPrpp6NUKuGYY47JrT9nzhzMmjWrsC07qsXt6Jd6h3ZHKScMKWJLzyRGilhHdQmoFlwD7Miytf+CKHU9KCctVc5t5GtIq/Wcv+UqypQ2Dnombx9bxVy9E9TaSWEk3n5lC3Et0aeQVBCi7IxBwCM9ZjESdDCKKjMt8EzrFEAib9AQASpoQijLjjahgoaAoFKWAYGhQ+oPWD+B/Ot9pG99hOp78pnHAGplHiQdQMeqEqo1Rd3P6IxA5CarR1UrJWgMTZS/ixGsqgGrY8kCIG1gSLXTgXFhRFMZjc5SysE4eGIeaDTgCCnX0WvKgTJlqEhV9FJDCkKBcpjoPHKA5NMHrL9zKuSSDUKVsWhdl6I1da+Ycm+2MRlSoEQN7b0hSNEYpBbzIQAD0MWU41YyBWR0N5HGtppXgjEwKzps998RIeSwRFGrTvqkur+6F91luXerieoDnJjSZfW0hXLvexVPISECahviGYObx4jRhapMeynxMmLOEKsXK6WImUy5UNR+6WBjfXjO9BkfI6W8vb0d22+/Pb7//e/jsMMOK1xn//33d9hP5XK5cD2F22+/HdOnT8d1112HXXfdFVdddRWmTJmC+fPnY+TIkf3a/77AG9z9CEpLIOrm1AJIsoZ2rsZx93AMcF7Tc/GUCc9zzDq155KSSEx+5UNH1WI0ubtiMm0iYNLg0C7QqqCwyHc0o0kuqsw5s+oCZyboUA8a+2FkcsByNYhhq4PnoQwc7WGn5YJyK67YRcqkKaefE5YBotu1c7KV4ScnTEV0JSjnh7VMP0gBJw9dRp6JZTRxOyqio9JK3Vp6La1pDucMlOYpW/WiHcrba4+DLRiizwlXk8I1E9hwDe2COp76ZeU6WgprWXIz5sXOp/x4E5Id9+yLkznnr9t2CxgmRhwOwrjlJOMwgnMtF0WI3T7bOeeZqILsiytSptpV+wqd82omTeoaYmCw69IzgNCcWrF2EpAy7Hszyw4Rz4bEGcMiA9uJkMsoXL/72AWlZw239Rb3pxWMMey00064+OKLAQA77rgjXnnlFVx33XWFBve5556L6dOn6++tra0YM2YMABPRS6U4aDbfEjCT11w/ICJH+l2KREz4NQ23mDKuIIxtyxnYgzCl6gvTz7X8e7FI2ElH0BBqoSaBJOd4Eu8ZZr2vo8wxpAAC7djTDm9pYEdoQEQqumRaIIWe1BgmYKhakVJJkjUODk4RIkCgc4IJGpmJYg8OS2gpcTQ1iQCBLtU1kHh/KarvxVixUOSLp4yiXEoQhHJ+kVB0dUVImP1u5c5rIWYiP10hogRVmb9eYwQrawztUiS1g4hIunI6cAiKeGDncCu1OUA7HtVrhhKOkDBDKYeIeEeRVBRv4KABUIoMpTxbi5xzpUIuj9GaI4r1I4TOO6k4sq0ZJAUOm4gCZbn/xiBFY5iAWc6KGqOIiMlDF3eLCRTpqiCQnEVW04rk+p3JzX3CWAJCmMPmNKKhVsdycI14u1a42NaOcJvgT47NlmWwZeZAVI6oerdTEjrBMo4UDLEWJK6RKqq8hIip+YNIzXCqJnCKgITC8dejJs0a4mM0uA844AAccMAB3a5TLpcxatSoXrd5xRVX4IQTTsBxxx0HALjuuutw//3344YbbsCPf/zjterv2sAb3B4eHh4enwww5nO4P4fYYIMN8MUvftFZttVWW+H//b//V7h+uVyuG+XIOcB07mp9J69tRHAwYwTwWBiWasKcYRGpv20jm4GZPHLOcoZJt85mEghrrNCxaCLqaoqvjG0G5TxTTCrVT+VkZ1abcS4XNRuppyTSEW1VOk2JNlFJNLbTpmIrD7VKqohRQyrdcQGJnPrMZUQoEYIyUTWkQwyNGBqGSeOvaeAo5WTZhwAA/sFKtC0tYVmr2FfMKCphioZIqctzh0IeUYaIcFDLq5lyjo5UjG+NEXAE6JAWccI4VtYStNLV4nfeBkKCTIQb2sAnIRELVLpXJl5AKUNoqaADRIquSYO7LIz2KEr1OiSb8iVVyFNtXDIwVoM2Lmm+RKhtbHN1Jesc/dg1BAlkagDXY1YKmH4sp5wgTAIEVpsp59rYTFgNjFctWncgA0mZElvE3H+prMqi2JyqJnZRmoRpIy8a6AqGJg4zkoM6ryQnyk3yAaUio1v/TWXgyHIKJqhqJkGVlBEhQigN7lTuSwjuGYaOlKsTAb2BwFpS12q1mk7zUejumd0TnnjiCYwcORJDhw7FPvvsg4suugjrrbde3X3/9a9/xbnnnquXUUqx77774k9/+tMa7b+/4A3ufoTyxIGa61V4lq083Kz7OZvjWifH2/ZkMRnBVqBIBMFE1XyWXj5FgxEPLKaj7C59Xb2Uq1qogfMEhGUj1iwTEbPfCC5lPBvZzkb78rApw+4DUG9HpMdVDlcgPftuuaVsmwYquk00dytwJx0kLzZj1722J1RigZiYEZ1f5Kpjg+dPbSHUOSCZKIRkLeTYATzzwEeox6S4tjm1xp+KfZgwcY/ds+nWRLI17DEUP1iTusz+s8rzel29volOZ69Lsb6KONfLi2QorGuvoa5FeZ7kOJs+ZELnUBEh9XMMTqieBHCZn6281MWUcZcWatPIbXaIO+GN9IuZEOUJz6iZWvez4GeoiUkEZKioIkplsyWyVQvs8nAmLxAACC2BkrIuRShSOsLcpJyx4hJrHh59xe6774758+c7y15//XVsvPHGa9xmVpuAE6bp3ubN1VPEWpgnxNqCOfe2nDhbz/qUmwi5XdfYtMny75MeYJebzDQGEDOp55aCuoFIrSK2weI8q6nzr8hJpwghJscRL6PMKyhrg5voVtW/MYkRy5KLXWhHF9qMwY0IjKS69FEjKaORhrpe8/AKwfByjNKoATIeLJB3/wUAiBd2YNXqwVhelbmzjKAhSTFIGjoNUSwMWpljXQoYygHTCuAEglXXmRo9nJhxdIQqvQ9YmVTRFqwEAHSlrYhoA2JknrnqulFESE1UYM7vQcAREKaj1mnmtUVCgEQElHInsm2nHwa0LFPxshRt+V4oyHu2nVSUBLl7J4VbEUMY3eJ7QESf9ZzNFn2DcDqknCOG0gKK4WiRIAbnYcb2YwBCQ/Gm1MnZdnV91Pzbvm/qGdtqDpNIxpthpjEk+g4xc0Z3vlovvY8QKu5J/d0ILKpjTmBKtVVJhAglzSZJ5L8pUqTEfWao+/STiN/85je49tprnWUXXHABZs6c2ee29t9/fxx22GEYO3Ys3nzzTfzkJz/BAQccgD/96U8IgvwzY9myZUjTFOuvv76zfP3118drr73W5/33J7zB3Y9QxomieQGCTiVovtJw0zUQrSel24g09GyXGgXRdT5lPiYsejWYFlIDoI1t4ykspp6YibYwargsE5FKD7htQLs5ownyYmI23dedGGRptWYSpIxTptsQYOAwtZD1bkji5NwpEQ3dpm2w8uykRomSqTIuQvTJpRvlqcH64S1LapnOqOMzucYOldiiIdrHbrYjjnOF8FT8ZU0OzXEXTLIgjDRRMsul+hZ6XOX6Yh/mZV+Yf2xRyIWRbYttRY4gmIDlHc62VWSQ6nGW50tbt72fhPbsxFGTRwLY+ctWP8SCgu25df8RCONUH6oUE7IiXrbKMIjMjWNGKTnrQS+icHMIY94+PpVeEMh8aRVBYjwW94ainUF55OsbDnYKiBZQtBwbhIQgVNArw6ARYVBBJL8HtOw4pzhSqd46ABHltaKU92tPPD5GnHnmmdhtt91w8cUX45vf/Cb+8pe/4L/+67/wX//1X/22D45UlvRKrScXk5Ru9x2lkK1VnAWz32FqGTc54PVK+tnPg1wEPGMUFMF5rloR8XwuqXLxGz0TY3i7z2/TdgBCAhPh5iWUeQllqOeREExLZTuxdPgrZfcutKHK25BIOnVAQgQ00gZDAIKmMMDQstj/iAowqqELwehmfTgDAfLRcuC9JQCA6occq7rKWBWLd1nMCBgnWritEiaIwgRUl+HiaEhClKUTMqRiRDvlnKCLdKEjjVBhiv7MsYKuRCdbAQC6hGpK1TNcBF+oopQrK1mLprk53IRwx4HPOOAQ3KmIktOAaYOXQuTLq7J4nKbgvAygDQCQyPKvLlvDRFKzTiH1WNZdJazwZKkrjhLusOR1O2pfXJS1Y1L8S6Ri2oEcGdTIzS8S/a5U9HEtmpahkytjOLtM/53LCedw7hVBbNffVRDEnIu8wZt1TDuCigWpZiliEC6cDjFCdCHSefQpRGk0u1Thx4K1pJSfcMIJ+I//+A9n2ZpGt7/97W/rv7fddltst912GDduHJ544glMnjx5rfr5ccMb3P0IfaMRaKNEeLcs0TMZzbUNv6yQQp5GZhRDKQ21gJgCY3Ex9cZCoTK6fmC7ubjGA86c33W7TkRRvsAzBrNSl3Zhizy5nlGlUC36ymSUQClP1pDSWmFN6myeuonapY5BwWX+lTE+i4Wk3JINJqqYsi5JV1J5cL0wLrsxgEScwBjcwquaWtHnFGbcZfuZnGiTw81ki6ET0TZ9Mvl+To94IiZqTkSZ6PXFxKusrz0ia0jWYy70NFF0rykAKI6Mu6CZ6HbmZUaEwWk80qbvqs92KQ6SdXBl+sx4ApDUOKtknzVjhVIRYValbGgJBIFhOiAF5UZZFXoCYJxhKgpujwXhAOcmak5JhFBO7qjsf2CJIhJQJCoywIrH3XV0mWOqZ2xHoZjwlsJBKAVN2uAmCKDKrej915lsrTXWSjTNW9yfVuy88864++67ce6552L27NkYO3YsrrrqKnz3u99d67ZNjW0xuRXPPPEbsYxtG0Y0Kf9eAOBErW3hKVUtxHZOZcG5yTnN6z8UMK0y7xUnQqY9iKbfto5HEYyT1zW87XdhQMxzPpLGdkXmsUqeFGL5PoplNQxNDeZVxKwDqTS4GYlQIx36PISEoikiGFoW248qJ1i/uR1kw5H2oaw9Vqwwx9jZCfLeQrD3PgIAtH9URmtcQluiItLEKWFFCEeplKBUFucjrgWopQEag4o8BtHLqnQytJKVAAHKaJDHzLAay9GZrhRjknYiICESqliGGcjotjKyecKdlYhrjyPlBAkjYOoCKHiFBgSIeIQyFc91VYpNneeE1USlGe4acpruLPULTBpFCoLAlBUjVCqVI7M9kX2kSBkB098JEm4E6GImymCpeuAsOyeEckhlDE1u7g+TSmHfT1KTQMM1sPPvSvddLCJGaq5LYAsOit0z5/lgaygUiarZy7IlzhhiMd76VgzkvEueI5RBSbEORV/EGfuEtXF6S5RKJQwePLh/+pPBpptuiuHDh+ONN94oNLiHDx+OIAiwZMkSZ/mSJUv6lAc+EPAGt4eHh4fHJwNsbQzu/u2Kx8eLgw46CAcddNBat1O3QoB05ErPq1oIwDViVY4kAHBZh9iuP2xzSVREkNk52zyfC2vDFq4qpJvnjHDD1ioyvhnJtlOQyiP37K5TDFUKKpQOwIAHiAhFJPcdECKEnKy62krdHRAMuZRXdVWTgDCRH6wMbkrQFBKsVxbbr1+pYdjIdmBDlwK6NqCvvw4sXQbUpLEWx+ALVyB+TzgpW9ta0JYE6LJLoXKio7elIEWlIUGpWaqAxyniJEBjuxjnciCOW0XtO9GKBFV0WFPqLtZqItusJirHEBPhdiLUDEDCwGvyuqiKkmDM6NzKiLDYpsoIaowijqWjN5FRcSvvPKBAhZQwmA0TuyCCTRFI5lQSVJEEnZpJmBUGE4r5qcXKpAgQGKYiVJVvro8pZYItAIi8+CoLtB80ZhQJp4jlZRhzmfuP2NlvPTg53LyeEa1KhwWF27plUjPtiqNwf4NKQctuI/9QxraKk0hmYzYFxHGSOcY9EyUHLbIJpSZokhJTktAY+eKZxJCCFTj0+gUfo2haX/H+++9j+fLl2GCDDQp/L5VKmDBhAh577DFdXowxhsceewynnnrqx9jTPLzB3c9Qoif2S1/QytULW3jfVL43pSVd9kqtn1VQtVWRbXqKyuPmJMh5KXP9srLHc3V1ZX6zjjRy5kQVzQMp+0C0oopWhBqawmPXNDQ0Xa3+nYms6m8quqsiaoSC8WomUmkoRaKPMTir6YmJ9ji6nHFrd1SzBQBBe6NWKTRFQ1JjHLMQSDqsPPc10We2yk1B5FIrDRSuot1OlM49hmzutapZbecoaqo8kPtX1Xc2k0X1ELfOq5WjrejjVEdaQyeXV5fA09oAghFQL3VAMRJslkA20ouCnGq1r6K/tYq5VX8dVlRfHENJTyYUq6Eek0GkY9Qs2ptKazARasIScGpR0YhN4YQT/VVjwJzostJUsK9/53BllElQsEJalpGFWP+m+iJ6ZqWOACieuGRySVW6AAAaNCEKmlGJWgAA5WAwItqoaxYzMEkPNeyR4kjB2oNzgPsIt0c/gIJmnhUpOEmRcpiJKqmA8iBjigZQIkZETm6pI/RkJtScVUUOKTe02NTJQ81TTO26wUVw024gInp2acDCKFqdHG9C5X2Rf3cb2qw7x9CbWlG8gFBE0hoNCAHlQMy1lQFbKC7hsjSTTKsBFb+r9sqUojEkGF4SYzCqsRMNYwjYF75Qd0z6ArJ0KbDgXfD3PwLvUgZ3imR5jNUfiPO6qquMrpQi1g4XyGMT41Qpx6i0JIiGynz1GkNztYrmVnFMDUEFISXaeKryNlTTVmcMU1ZFkgratlDfTpFoMT6ICLU0+Hkin91Vabx2SbKPHMI0pUi5Kb3WxQg6UorOWBxP2gmAcjBLTCCiQCMNwVPxXA8gUgFWSfG6NIiRRlXUEuEUoDRyFLR1Dr4V0QZctodwHnFzTNwY3NVUOG6Uk6AjDdCZEkifAhLGkTgOJibnqe68xTamxXfAlMoU2/U20pt9b9Ur81W0nQ3DOBBpjs7vFoNG7cPVfbD/TiUfVC6jQI2b5wVDrJ9F6hkUIELAQxnhHhCa2Zq/g9cAbW1teOONN/T3t99+Gy+//DKGDRuGYcOGYdasWTj88MMxatQovPnmmzj77LOx2WabYcqUKXqbyZMn49BDD9UG9fTp03HMMcdgp512wi677IKrrroK7e3tWrV8XcEb3AMAQgJD85WF700eSobmS0IEtOzkS2YnCtk8Mo4UCauCS3pSmvYit8MRsBK3uJkIULWjtYIRvcp63dR3ba266wNuSSO5jU2v5jwFIQmMIZWluSfoTQmWrDFIqDwPNERATK1RAA7VkIEhpTUwpf6qHvSWfZgXNMs+qFMrx1uUceJKUVQaYEUPUEMBlDvSjg7REWJ9Z0hB9GSxuIa3EdihcHKoAQCBQyEPqG2sRjmHkFV61YraqH/d/CplbGZrYvcGhrJVL6fSvoapMzmgNNQOLrvEnPhd0KWVwZ2wKhJCwVPjxICdb02Eg6DedVaPzmlPKvKOFWtb2eeAhPpaDCAmQswedxpLYUPTvk2Dz5acE+3aCj0hAitnuxK1oBwICliJNjsRPdHnNGdUDAh48dh4ePQWxmnKnHenoJQnABLtTEohRIzs6z3gIRixI9qBjjKJKKGrSM4509FcxuK8Mc0T532VM6gzyBoFWY0IlXfe7RgQ+z2lG7ZX6HZbu32lDKJUrwlxHdmqtJOJVKYoosCqNksBwaCIY72SWH/EkDYEGw0Cb2np9ph6C/L2u2CvL0HtnSqSDmkwpwTV9hKWr2oEAKysldCVmrrZagKicrjLFWFsB8OlszlmaKjGGLxcOOAbg2ZElOhjYjxGLW3XjhZCAqO1AWj6r1bXZiJanUpKO6smIDGHtH3BqpKGHctocRKgKw3QJQ3qzgRoCyjaa+I6rbVRlMAQx4byXaIEg6MQjVJYqhQQMA406NriCZKwCkpW6LELSTk3t0pljjVF5DifTF1uZXBzJJZToEOOrzG4KTpTqo+hmjLEJEbKrOAFofrKqjeXIiToNYVbbWfut+6i2+IoC3VdspFvO32SWO2bqJb73dk2deahdvMJxPOrRtViBoqq0FTgygGe6udT2v+FOVUn12Lbvq3+4osvYu+999bfVbnHY445Btdeey3+v//v/8NNN92ElStXYvTo0dhvv/1w4YUXOjnhb775JpYtW6a/f+tb38KHH36IGTNmYPHixdhhhx3w4IMP5oTUPm54g/sTAAqTox2SMkJS1hOAbN3PFMKbnpIEhBuvtoqky410ywAg6jDbkwBVS7l33r3uel6MzAMrc/PW9co5k4B829zJ81bHZkXNe3xIGFE1xlzDnYKCUFvcIpAPxnpRQxWxt/vDCvtdvD2VLxf1oCaugnbRsRROkqzCHJxDibeJ1SPYImp5QR0AjpK1MtKNXkBRDVhmvSzslwdjiY4QAyoCa+cOy2i2nVtfYBhmj8+uiy3OX75WeR7WvQGj1BrSUs6xAgAJMar/jCeWBkMMjoIXuJqM8AQUoTNGDiOlNx50QuS1ULyNiV6pvHC53OlXat0LQr9AO6zU/a77FIKSMsJATD6jsAkhbdDiOurZo17mCa8iYVVTekVG8Lorb7TG8DncHmsBggABlQKjXOUcmxzURAptpvL+Z5AMFfnuDERRHkTcTOYoqDa4E4iIll2BXhhWpiyRiGbaBkH2GZB32HXnqGXWa4VwCooo5+gsyv1WvSf2O6IQ+cilWGrqZmeRMo6q3GcH6UAHVqHGhBiXyt0O5XkIgwY00qFoYSKXeL0yxZiGBFsME4be8B0TkF22WutYHX3lVbH/x17F4hdKeH/F+litIsCcIOGChg0Aq+MAqxKqo60VChBwlKSIWcOQBOFGzSAbCjo2GEO5YTlGLhfHuEHrIKxXqaC5XTxDKYmE4nQqKOvZ96aqbKGcNTXGsToJsLpNKsEvScA5QVeH6G+cBKCE6xrWy9sasawaYWlVtPlRlaOLBWiJxP6blw7GoNVVrGhrRCKv1cERx2aDKQbJV93gUFwHH1aFE+Ht1Rvg/Y6hWC5Ll3WSDiSookuKqnVhFVKeIIRx/JbRqN8/Ko2gKu+FzoShtUaxPKDyGCMEBJpFUGMEq2NghYzit8YJOkmHnj8IvRVj8GuDvsC5W/+9qmqHuxU+bMFQl8GpyujJdiXzI6uXYxpTzmwryMGpNeWlGUZqlmWTF1G1j4eyEIzGjmAqlUxDNWcJSRkUkXgOrRHTshf4GCPce+21l2ZJFOGhhx7qsY133nknt+zUU09d5xTyLD5TBvfMmTMxa9YsZ9mWW27ZrRT8nXfeiZ/+9Kd45513sPnmm+OSSy7B1772tYHuqoeHh4dHFmsj2OLt7c89BDtMpuAUOLC0KriagJPILX2k/rPyJkNbZZhT4ezWxih1HHDK6WiYV67xVZSjbasoZx3sYqMEqq62qA4ATTPVq9SJmBtjworcKRaJtqOV8WCl/sBE8lVsVk2KEy7ErlRN6RqtIUanViVnTDgllOOjQgejgQ9GszQimiOCoaUEg1uEcRqMHgQ+amRh//uEBe8BADreZFi0chD+1dGI1liMW8pdp0GNiY9KEUsJEa5fxTgLAdIQAYMa9Ta0pR2VFkERHxQlaA6BZsmcKqNZlN1S5aqIa3hxzoTxJK+blIs87I6a2L6hPQZjBO2dYsxqaYCAMm1wr65FWBUHaK2J/q2qCS/MRzJa/VFnA1JGsboW6cdnY8AxKATWr4g+rVcSjvBBYVn2IQRHBaUu0af2tAltpENfFwmpgvMObeiVSCNCyxGV8CqEWJ64l6qMoSsFOlN3rFUJs66UoDPlaJfCcDWWyn0Yo9MVxhVBDOO4EPdJ3ti2nBsFqVkOY0QZzHUEA3nOvaT2ZQV2nHQMYcyru0/0g+r7NZe+lkmzM8vVXhIRY1D7IwwBYUJh3tomBAASFTJJ+gWf4BzuTzM+UwY3AGy99dZ49NFH9fcwrH+Izz33HI488kjMmTMHBx10EG677TZMnToVf/vb37DNNtv0ed+C9iwjo+oFzBNNrRVIZVTQeO+EF1FS0CW9TUWbKAIwpDo/ippsj26ir1laWm8iUSpia6G70gcFXm8TuZbR3kwks2eoh5iKxtn77enBkv/driEtOsF0rm9aQOtjEC9FvTpnSHKRizplx3TeeS95+fr4qP5u1/0GqTdm3dCwiaw5aVPOYdTiuysZpr8X5PI59Cdr1/n8ZEFrdijkyNehdfdfZ7wchXmjOF8crbHOoxYwydSstCjkhAQ64i3uJ6bvKqqp2moMTN69071MTpbx0ou8Na1unJt4ZWqh6z7lFfjVPU94l6a+A0KUyB53FTHL6RdoehsD5D5EnyKtHQGoqH8EW2nd5G2LiVXKq5aycjqA+WMeHmsOUedW0ogz1FB1XXOe6ggx56kzSaegCHiojeyAh+4UnABBhtEi2rFSRjiD/fwR94sy2ovSnky6V6HhTGBYQtKAUBF2ZaC7olDZ9zhFLs2rG0q57rdsJ0GKlDPEVgpLjaXoIsJg7iJtiNMOHeUHROqOSlFpoEMxJB2Clgbx/BlS4hharqFxuHx+bTAEfPiIHvvTHeiCBUjnLwUALF/ShA86G7CoK0SryoHmBAHhiKzDtoXAQ5l7nDJDm0cpBMoluUIADGpAOEhE5ZvCGIMioDkS5605Xg9twVLTH7jlIjlniIImfd0kDOhKhYEMAKX2CmJG0Sa/V9MAoVV2sTWO0JoQtMpwcWtNnM/lknu8tKsExoGOJNTOhYaAY1gpwdhmwVMf0dIGzgkaVw4CAMSsGYwHKAfiGFdVQ6yMQy2GVyUdAIWux17iDWjiTUjUe0ZGuFX99RpL0ZVytKu0eS2epgxsjs6Eo1PWGK/yFAk1EVqR/lXKvQdNBRJkjHFjUDusPWc9BdtgzsJmNqLQqC9kOIpBcO45pWDuOgncLYtSSuz1mdW8Y+/Lv1MVRUfg38GfMnzmDO4wDHst/f6LX/wC+++/P370ox8BAC688EI88sgj+PWvf43rrruuz/tmPAVn1YwRojzebjkp7RFjFOz/Z+9PYy3Lzvp+/LPW2vsMd6i5qqtnt6c2HtoGYnfsQDAxApyICAiQWBFzeGUjIwuFGCWxnURpIjIghQiSSOC8iRxeAOFHIiIDNv5HQMB2DG4b2+12u6eq6prueIZ99l5r/V+sce9zzq1bVbcc0z5Pu1x1pr3XntZ6hu/3+8hehIZoaooWRyw4tx7CSuMcYFPFbGoI8Nu8kM7rJUJK0ey8A52ygNANDhfBpxMc2mcAs4y6E8M45OQQhK0y2O+i5EGuDulmtUVORQriHew8fEe32ilZ26DlrJ2NxGTnuMHYKjk+HsLePibDXIp17tiS8JkghzaFzzNocueazbXM6J5Pa91RBgiy7fZnLgl9KRcOLVRhcjgjTaIq0K7QhPus3Y4udygX3Xeyc4rye3Axhx2bepA67nuTzlNOKQjH0XkW2g6pbv1t/L+XQTLnIaHzAkit+7D1OzwcrMFmbQItpq1ZIIrWdl2w3SCMF9zxHNAIYzcO4p33Hl2cFAhJik7OPj5fHX5r7B3cVl42tnZieJlTf6dE025PpXzlfHytm0BkybR2AKutc2f1AQloaV1FO/AlXU0ye06sRQoZq37dhJrfU1wnkpn231mFLa94LZqbrU3HYUwTdUf8AbeexVt9JltVerxmQxCmEobaGoRJ521iGybSzU+V3ac2k5iQk0hK6WDkAKfNXZxR65weuHnodE9zejihvNu7n+dO39KYW/bnX2D0BTfe53Y3uTQtuTIV7PiKsMFSShj4S1l0Eg5KWKf8rd0XTI3D8hf+B2UJgxI5dOd+rVezWRiO9dznJ6oT7KtzrftCkSqQxtYUok/P+j7dWCZaRsi7FJapLtgLfcGtoMwWieuzgu2ZYNerrm/pKbrqc83Dw6/0SiyOLx0C3Y3CcPdwyr3ndgA49kCNNdB7xrc6MxJYY80f47VS0pv0qCcuIJ9wkolQ9KzT+tgwmwzpU/uiRS1m1KKi9sKiE9swaQx7Xjm9J60Xh/MBvIaZMYyaoOxeueRxpsAvaSeeDUn/QETxsPl2rss43Tdap+a3Q2tdFJ3nc44D7uHlbRHdrCVuR9dncRFMZX4sQJNmrczNDn5YaEOW+y1HareDMlvZgfaiC7ifeOIJ7rnnHgaDAW9+85t57LHHeOCBBxZ+94/+6I8iQT/Yd3zHd/Cbv/mbB+6jqiqqKmVzd3d3AaJARjsIqX2Fe/GDYWzjq6e+8iobz8922w8Z7NCrsDZjajNxC5xJEK4WjI2OGnQUquqmzZZbzvnMRaiSLeLRhmCm8UFPDvNpBxk3Dr5Vm9MaHIu5qnfq5WyDctmBlpIANgsINU45dh76lwdi3cpBW2X8UMcVK7W2Nd6FnKFOUBk3ccNzFwIjGXuku13XfgHpTPZxf/gFIg01z/bGRE4r8KpZFmAfOsGyQEQvt1xvoH1f5vvJ9yuz9StU4QN3v0BTuao5RERK4B028Vk82HHNz4HBIGwSlnHbTfeulAUm8EmFd8HE4go8uDmh0ZOkSp7dk+4Y2lz5rmJ+SC61Au2WaOI8siEoOENKQuRc/UXHf5DS8i3bbXG4j3YoK/vLZ4pyDm4dtAgCOsxVwdv3v8xRQFZS+M9LighRByisq4An4Si1MEjOE4HuOVwyL1rt5zT/snMPJ6irDyj82h6FL1uV7RRg3E4AHn4fzltN7YKsCHu1TMWUqXCV09q46nbYl1JDBvIYJ4yDiZ8Rx7hrreS8i9u4ezDj5Mkx6rzjdNtzZ256jLnJT/0Z9f99gQsXnOjahcmAK5XgemXZ9SRtbS195dTRAQbKovLcbyMYa8Go8RzqscROG8TMz61KgRSInq8e92s2C82Jnrs+p9QaY3M3+ypB0IOSNEAtKwSS0njtAAtTDdtZgD3RKkLgGysohI1tyrZryfbMstW4OX9H7qDNBtembntXegJLgRKp2diaMpxdH3P8ZW6eLl95EozlRN8F4C9ptt33CqdavlkUKCGZahfET6uT7IsePQ8jPyHWGMiCsXH3/sT2mIpR7L8+FVPGuk/hhd5mUlAby8zP57UxNMYy9iJpM1FFvxaSwHCulI7xMGvaVeBFsPL4g0U+Yu6jALl2TQ77Xvgsx4ITvqKdm8GhEhcn0Npjo+0rxc/yqLr0z1lKdqXgOj3TXfTOkdttBdyrhXiZvagC7kcffZQPfvCDPPzww1y8eJEPfOADfPM3fzOPP/44m5ubc9+/dOnSnGrdXXfdxaVLlw7cz2OPPTbHFV/Zyla2spXdplluuSXJqsC9Mqfw3xEcjdQKD9j0iUdwwbcSZaweSc/ZDlVtJWQLIxR6dAeOtxLlXNDdDnIDBabNBc0tJkb9GMm+7xKe6d4O8NUYhHQqfAnZ1knokQUKXTi5NSDaUHeHcHHbaERDxYwmJKdFw0iMmNmx+9xULunox1LKIRuc4SwuAL572OPeNbjXc4nvXh+zfr6Bu9zn9tQtVrj3nNiX/T+f48pn+zy1cwyAC9OCaxVszzT7tT8GaxgYFSuPBklp0qnQ1rJfC3Z8ADze67G5M0WMXBUfKR0O3EfAZanZKDQnSnevnR2U1ONTbOh1v32LQkU0RSVmaBr6ocJtYawF274aPNaSsRbs+mBVW6cyrkLAPRPszjQ7XtBsT1ynkQ1bMxfgX616gGCgBJuFu9JrhebkqTHly9155uH7wZjY3u3UZAvYZnPXjXlzPEQwYOqr/FO9Rk+XDH2XkmNlQSEFyo9xv+mzB9R26o+xYmwaSq+8XgnH6555ZESDpbaaCl8RF6NWz/pA9cqTYVbo2CXFmHAp2pQOuyS4jZ/n6M3QRzSamhM2m9uGbVLFeoF1E2iQoT2FnE96deYHRA47dwUSsWCe+EraV7It2NeSvagC7re//e3x34888giPPvooDz74IL/2a7/Gj//4jx/Zft773ve2KuO7u7vcf//9juMb4SUhGzWvzAzJB7Aerhm4wspUNKJoQVSt1cysm/gbM6bWU7SpUssJz51tQ8rnYW2xRYqHybYmGUEnC5h/3nYoutWxdEztSTCHmIOKLbDcNtI5abWJav3dtoX9k6MzVTDfjmzRILN95sIxZubat3WquW3LzkGE1WcQoZvgrDvhDv878JxeOQcjXzb+G28XXLuKtjPnjqubAQ7moFAx20tQ8FwCz17U5isf4414gksq267ik3rEC9kjVx0PY3G/rTPofG55xaeJz4qInO2U0dY29YzVZobOVIatv3fj2Dr7N6bx3LMA92rfg66qJjPVc+Ohru2F2PEyUy9xKxq0aTvp85DujKaSX1dhshZy4bnPn+dFFYLMaRdp7AAmQGZbCJVEtzhSW6mUr+w2TIoyquxLK10lKINrhn7W4d5WHjReEBTNhQu3Y/eKgLBZfm+11yX3rJj8XszpTotQWCITYbKGRNkKI8g2hQHb0HXdWnP00jWkG/SHv1XrdehAYGKFu0IKiQxdC0TjeNvGBdwB6RJamw7lSU6b09y95iqnD2wIXrKmeWjDff/8mV3KBwZw3le2h8Ml4z3Y5Ef/EICdPxrzxJW7eXrsgtlLE9iqDPu1Ztf7VRZDrcuIfRIIdHZqKwGlFFGEbHt/yJmr28jt/fSlSRXnJikt60XDiZ57faovaEyfcZOuixCC2keJE92nsppShIDfMtGW7Zkbj5KCvVqw7znajXXwd+Xn8P3asjNr2PMtvEbmKlrUbGsH29+qCiSSjVIw8I2zB0qzdk7DA44fbx96EJoGod292BvNOCVHrF1xa+PG1QopTjDR7npMdcn6TNH3Uf+xUqAkFL6by3bT88fi7ospI6Z2g0KnczyzmokPsLVoaISOnO8p+1EnxJ0v2WrZCS5BJqN+gYnP2nyiKVhYB9vIj4MQazltbJGGjTEpALZC+ud30X6TxSfctpFmi/zCHIXo/HYyxEv+WTInDqno1tuPzFYB9x2xF1XA3bUTJ07wyle+stVUPbfz58/zwgsvtN574YUXbsgB7/f7rR5wwbSZIvCtpA4IvhYLjoUJQnsu1DR+1pgqQl5rPaXREx8UNPG3Oax0LliMk1SbAyM6AmEt2NuccMRiJ731/QB5jf8fnIzweW5J5El0nQufcQ/PvCRfxNpBb/jM8U9zR4V2QL184O4vEbg43c9TprLLKw/H7f5Rg8gh1d0N3ShjeWOY/2Hs4BZbwfJECBGiHZK/8RyI+QWtFej53udLIeGHDIASnF64apHsIYNooBf36rb50LHvrQuQ6WgkdGFcsc82U4SZzVWkcs2FRaIm8+bvcus47k3IvtPukdvtV+32WzinOV9Ucx55/L/883Rv3RiqL32WPJzXwgmlddq9BYHAICSXC8tJZKv/qnN2/DkyxsPaZxy53RaH+2iHsrK/fCYz/rVEIXJRNOET4CIljSWlg4iHirUXScsDs0XWSkrS1kNo2bxi0uLvBL50pAG156ec5uPi/zCvdBOQYS7JAo0bJHFd8rlNFTK28T3LcZDhLAhwvN1xK1gqZC+KpJ2yd3O+t8F9625sL1uvefnmiPvPeC7xSzXygXPYu25dmVz+nz+l+uhzADzx9Dm+sDfkGd9z++rUsjvT7JsZY+F1MDA01lD6KFtJx3dO6tAWIRRXfNuty+M17r24gzrv6IKyMdhRhRmnJEVPGY4Vbs4+OyiQQrQCboCZh1+PasV+rSPeAWDawI4IFW3Lfp0g8ACDQlD422BUG3ZNxUi6gLvSu1hp2PUtvbaqIYISA5zysPee1BSnFdzjzrM958+3p0OKvTG9QlKcdueof3EfnoT9JlS4e+yUKgbwm2WYmt32L0/csxOoR7WomIgpyiYBwImoqLy4Xo1TNW/8ulJbp2wf7jvpfcwcUi4zDne+frUsg2A7m9eZmbc2hDz/e67iLcHqgCgpiBpFi+yAZFoY26JxpJ8b2n3G/Wby9Tn4oshD+nu3YKuA+47Yizrg3t/f58knn+QHf/AHF37+5je/md/7vd/jp37qp+J7H/7wh3nzm998S/uLqswHZcNxytlpgVatDHngmybn271ufIARKtu5GvQy5dP0gDpnW3pVYtcTsoi9/oKFKt+i1iVuO4thc9YarEhBjRSOu5RPNHMoNmsQosOPzgLA3MkwNk2Ey8Qx8l6KN2NJWGqJtVQxc155QYLvhWk0tW6Y395tQITya3EYnvqS/ss33n64ZnnQmjuSurWALRaOO7yFSnbqM91HyQGFGlAol2Uv5RAl+6naGp4H7ZyE2kwQejrX+7t1eLEqBMa0r0O3ah+OsyuO1rr3c5gqDdqkylkQOGttfy6Ab1esu+fVfZjPI2bhec4TFXQX31ZCTaFkL74OPWGD4yKFq46ICKP1PUAzGKtZoPo6Lwx1BGZZVbhXdsumrMr41RJFhswQYNAJ6YW715UtUVmFe1Hf6RAoBc2Gg9pwuXVC+O8vtpbII9mcfkP/uV0BI3tGIa9w3+x60xWaTJ1RasZo6vi5tnUr2FayTyH6nBD3AHCXPcUDG4pXbLj54euO73H/+W02H3LzcvnKE/DAXdgzt8bdlo9/Bv17n+Gpz5wA4PGdTZ7cF7wwcePbnjWMdM1YTJgIV1UPY59aN7eV2rVuCgra2loaY1n3XW0uTnrc/8I6/WdcQFtWDbax6D1fgW4kpTRslu6YThuJEhIvHh7P/tRXe3eVRAnBRIfgUjDV6S6aacvOTLPXpMLJUBf0PXJqrDV7Yo+pcQmAWTOCAvbUdQC29AZqtk4hC2qvUl5Kg9jsYU+dap2/cN7FvfuIfg91zp0jeXqX8+wx8q3KanOM7Vqx5heC9UI7JXd/Di+WBWXdb90XlZhGhX+DZSz2mYr9+HkqKCXufwjYJdKLjLrtW+vg5NLvLwK4FsDJl6M6PH+7tTbM87/zoH5RK6/Y4QPn67YFgrvo1ayqnSXT4uvcFqAQWx9nooyt3t6xE8rRFGo6B7AKuO+QvagC7p/+6Z/mu77ru3jwwQe5cOEC73vf+1BK8Y53vAOAH/qhH+Lee+/lscceA+Dd73433/It38K/+Tf/hr/1t/4WH/rQh/j4xz/Of/pP/+n/5WGsbGUrW9nK7rB97GMf4+d//uf5xCc+wcWLF/mN3/gNvvu7vzt+/iM/8iP8l//yX1q/+Y7v+A5+53d+58Dt/of/8B/4+Z//eS5dusTrX/96/v2///e86U1vuhOHsLKOySwpGpJ0gX9prUEJ36nBf1ZYxxkNQXb6G/9b59LHHtQYtGiSaFFkz7QrZTdjbYFD74B3v5MFFF0UUvvzDq3qUKgYQ7dLgjENjXRBtTuPKcCOInSxP3OfdU5y3twNwAObA162Yfm64y5YfelLrrH+6hL5Eh9gP3Aee/990OsdMKaOVRXyzx93o/3YZ7n4J33+/JqDU39hT/LsvuZ67aHKdkYlZkzEJIpyNaJGIKm8AFjfKKx1rakAKtswsYr+xF2/54cFz+1usvmM+/1G5bZdbbvP60Yhsawp9/sTpaAvVVQID1djrEPwK1xPbd/GS1snJhYExUa1Ybup2PVCdBJB3TiRMoCpaRjLPRrtgmNtZtRaURUumN2X+5S6YFBLahMS2BaxVmLX19vn0usZ2TOnEcMB1F6c88wufZ7hgX1XRbdWsDcrGfgq/npZM6pLptr9/pm+Yq1aZ8eP0aLR1FReRK3BUQ8q2w64w71amwmNmcXikpR9lyQL9CtkK4GdC5LGCranfy1LgNkFAXFui9qiSlG2IOtSJvE7jPHJ9VQQc5S2zn5jIedWKtCabmiWJ8SD6KNA8f+a672ym7MXVcD93HPP8Y53vINr165x9uxZvumbvok//uM/5uxZx2F55plnItcS4C1veQv/9b/+V/7xP/7H/OzP/iyveMUr+M3f/M1b6sHtbPHD3a48Bfimm/gDXDaHpOUTiEVjTJMUyX0LoFwYZVErozaE1MFylXT7LGSvlUmMow9tgWKVvb1dkz3cLpOe+jEa02TiFo0runWTeXm12CuXp/01kFW8F3JMM0dpkbnzHCoLbV7xUghQdnRYcYgKQ/b9m7Acvp+sC0PstDybgwN2M7XLLGRCBYj5/s43Hnu4Lunf7TGY1vfmFpWswr7IcqizlH2k8P2gfWW7p9bpSadgW4h+5GSCc/by6oqhrcq7jB8dF8yMNx8+W3Q+EiJjsQpxnlkPnOvlx9weS/r9EoQH4f4NY16MIoiLel7xArpt9dz8UqT5QBStfvPueGULCt/9DEvr8xu1W7lls/bWK9U3+bPRaMTrX/96fuzHfozv/d7vXfid7/zO7+RXf/VX4+tFVKLc/tt/+2+85z3v4Zd/+Zd59NFH+YVf+AW+4zu+g89//vOcO3frENqVHd6693FYMxROAdgIkyDklBS2iKJpDk7admMNNrZC0qKhoYlB5w2pJ0sQSWJunTq8zUHMO58dBkbe+rEQ85ByUmeHWfx6mjcL2acnfLsoznDOnOOBoQvsXrYJrz424uUvueY+/6sbiK+7D3u3E6i1Z87A8eOHPl7x9NOIz38J/alnAbj6p5I/e+EMn9tz89tTew0XZnvsSxfYGeHG31BFBW1ta2ZCUQnHK69sSYNl5o9x3we6ReWC+IvjIc/2Bxy/6mDyZ+t9lLJUnuM9mvbQVtBX7pwdQzNUxgXV3gwwakKyRzHVkoAYnzTWKXhrdw129IwtscO+dLD7QItojB8vMyrGEelobIOxdeTRj9UefdFnqvvMTDa3C+EE3xaY3dzEbm7GxIcY7SOkYH36JAAPldeYjRTlmrvuxQAm1xUjLyz3xP4mG2JI4c9pY6fu+bCBvlUxs2Mq469L1mbSHdss/ju3pDVEi/qkaeKzrZdAyBeh0w5juV/ZWgtD4J21yJMtmLjDvsRuNUK3W37eyHwFPGo4LBlbXuFWHqHmBBvvkN0OWmxVHF9qL6qA+0Mf+tCBn3/0ox+de+/7v//7+f7v//4jGoGczzh14Z4Lggwl+xQe7p3agPgWPaZBZy2/2k6uSrsg52A6OKny21SyRyH7MeBWso8SRSuQATA+CRBgPzms3VqDzAKEHGZqTN0SVQrw7nnNrMXCFMY0KCEziPx8AuHGpnD9jENmcUGgyAEOjrWOx73MUREAiVeeNhPOSbPYyWmJeywXokviXMGpMRlc6eDAq72/Lqw4wcKT0Fu6rnPOWYs/uOB4uhnjTq/zPMAXmVpwe4gJlp8ngko1oJBr9MSQwt+LYTE3SwTxZGcxIkBIO/zoOPyFfOjO/YZK1IAMnhq30YGgtyHmwZYJ+Kn4+VzAGo7hZgPZSPTKn6+UcBMxAM+eOUy8161wfb/DOVMLbrFl1/CozdqbP/z0Y9BaxzaNwZZpbrz97W9vCW0usn6/f0NNj9z+7b/9t/zET/wEP/qjPwrAL//yL/M//sf/4Fd+5Vf4R//oHx16Oyu7NVuUkE10FBdgC6sJbcAUTvG4nUyymGwara1mFvjM1DS+fzCEqt2C5FN8lg/XJ3dp8mzO3DrS5nguWzcO2uHyz0MyLU/A22w+C8J0a7jg9Jw5x/3DNV5+zA38tcemfN19V9h8swvAxZtfg3nFy+EGyaquySeecP/47JM0f3aRa59268lnLp7ls7t9ntx1Y3putstVdSmqpgeleouh8QraBkNtp5FPXNk+hZVMfEA+FnvUokJ4ocoT4x6n+or1wh3DVCsGSqN9QF0bibFJ+32oGoZZnCeFxVhB6dfA2ghGjWDkXZyZFsysZeoVvPfthH21w8T6gJuSUvRjIii00OqK44X7cGbHTMSEqdmgNs7v01aCNtAsof70+y2Uge33sa/tIb2o2tr6BdaqBrHpr1uvoPfsNi/Zc2O8Z3edk0WPS9olJSbCCRXGdnKicvpDsVhU+zH75JWpWq1YjTStwpPoKIirPFzJ6U55C1y6fqdb7w9bcY5dCHKeuCGKoVphnIsR/QfXM9tmPprT8smSWbdgi9bXpBsRKtx3CFLObazBKzvQXlQB9/9rc6qB7cwYMSBI1SYpexTSBRIhEF7E+4RQwZt3zkWsEvt9tDjbgaMdKtzlnMPdHTeAjGuwE4GxWcXZiFTRNjQY6tZTmcN88n8fxhZ/v52pnG/dkH4bPne9wcPEJ1pOgj+QG4zELHBTMsepI2aziGc7bzmfupMZtVmCJRx/FMKzcZs3WwUR2T5BtQTHhJDZGOo2H/BGNufItTnijoPda2kFdPlQ7nspAZAvbnlLkCDYY2xQwvVZcVu5Pzqo9lcttex5TnaHH32DJIZARAG99N5Bi1oXZbLY4W33WV+0vew94SGlUZ3VOvGncIzYOcch71WetAba+0nCcP4cieS8KWnS10VWZciOrQ2bdXPakdOmb1Ol/FOf+hTHO9Wz973vfbz//e+/pU1+9KMf5dy5c5w8eZK/8Tf+Bv/iX/wLTp9e3MZoNpvxiU98gve+973xPSkl3/Zt38Yf/dEf3dL+V3bzlnNC89cQHOr0TEsWIzsChNwEoKwXeqrE1AUSNgURLc2VBVoqLOqZa1MAsHB+z/RMFh1hLpYmFohb3pSFZHM2lzndmHSO8s4OUpX0xAYnjYOI39Nf46Wbklcfc9XX152/wsk3l4hvfsSN9lWvuukhicuX4UvPAKA/c4mtzxR8/pJ77v5id8BT+/D81K0NL6hn2deXY/BZyjUKnO5HWEes1TSCCDGvRIVGRY73hB1mZoLxPs/F2Tonx5tsFG4tmxnJmjIoX76UwlJKS096xW9lKKX7A6CkybpMuLZfw0Ix8NPqSLirWPvrNpUTKrvHzFeDS7lGTUXtCzONSF1oYL5ooamZMaGyOsLUZ1pixzUitE8LrXGXBeAAm5uYr3+9O8ZzZxyE+pgLqCkK5BNf4uylzwBw7wszTg36HNtz862VwWf1fqLVNDYF3KGIY2LA7bSIAvovF09z23EBuLBZxRuFRR+I2mutSTd4Lhxqct7y9U9KJ4YaP7MyW0slmHTMUVBtbqPheT6EBk9rHF6N/A4luBfbbazBKzvQVgH3yla2spWt7KvDDLelUv6GN7xhDsl0Ixj4MvvO7/xOvvd7v5eHHnqIJ598kp/92Z/l7W9/O3/0R3+EUvOwxatXr6K15q677mq9f9ddd/G5z33ulsawspszG+lWDna6KFnWrWh3f5+HIyHYDq2MXLA9jZVT7at2B4s3dfdlUuVrofMd0E4HWdhPJ1l9YHX7sEG5r3B7EdX4Ky+yWnjO9jnlaD/3byge3qx5w1kHIb/rTQ3yW77hlgJtt0ODuHAR+4zb3vhJw7NXTvGlkYOwPzMWXBjNuCQvArDTPM+02cnQgQYrNYoyQf+tQWKoPS+9YoqmSBxvW1Hp3chbvyqPc3E8YFi4Y65MwWbhgmyAgbSsF5pjHiQYAu6e53QXSqONRHuoxFCVDKSl5+HdSsYz7fZP44JTnzQorI4J0WA5v1l5WmBeETbCOPyFj/3GTYHZmVFcdefRnnSIBDFyQb0dri3m0XvOt3nlK+c+sufO0X/2MgAv/fM97t7qc3Hsv68NtagjisD6YlFIeIUKt4kCpjOMbeK5CO3olhUAYr/77PaOCLYMsXaLReW0n04nHCyJgiVBWIX0kH9tZq7iHZuE4xLlnXkgL7S0gu5bGGzO575jtgq474itAu4jNAfd9O1Esoo2yAPh3e02Qm2IWpigFi3oSTE7VJxk3Gc3A6hp4sppRI0RJdrzTqUNbQY6yswZl0ZaIsxOLIDQdjmziy0cQ/c7bVhQqqglOO9iDnSyoIwetmqp/KR2MBy7VSlciIPPocPMQwSXtH9rqVQCoF2v7fh72f05eZX8VpW/c+tmweO/o+BOQAR0VL3neOMHOGpCtvQInMq4c4wK2Zu7r+wcvDvbk62praER1RyEO/K/PMUif20yykXa7mJ+dI4cSK+zY8dXtwMXTzgBk1aG2WYQsnwf4B3eBa3S4rkPDkEXsTGfxbY2/KaBrBImsgqXey0y+sD8dV+kXKxNQhYY2XNImtgTvk8QZgGPsulUCZ24jDq0C39Yux1IubWglOJYqMjcpv29v/f34r9f97rX8cgjj/Cyl72Mj370o7ztbW87kn2s7GjNqZDn81mCjy9DqnjGsv+38PXcEAhpampqH5jNfDusJlbtKi/8tPimXdwCNFB7ACFuwPlsP+tuAznSKnze3X/+ellyoQ2zzY8h0bvm4a2lXOOEOcFdm87HeemG5nWntrn3GxwPWn3r6zCPvO6ggzrQxOXLcPk6+nm3va2ra1wYr3HBC5pdGhsu2x12uQTApL5OrcdJo8Ij/qxI1zUEfgF2XuLUtUOLqsZU1GYSq7E7vUtc1icZjt1cUhvFZilji6z1AmorkP7y9qSmL0XMk0hhQRqEv7jKV8RDwF4KUAtirYT+Uk5fIAr+FU5RP/iNtnEUrLD2enqgwcYWlWOtaK4ZiosuQJaDvvNvPGScU3ZeUO0QZt/4GgDu+/AfcP+VUzw38rD58TojW2V0LDnnywZBPiD6fMamRIk7hnxtPZiSkbqAZN+z851ZlkHJrTU4DnUnuZEjJ8W8YFvreTdgRehJTutYwhcifSs8q4cMtEPSwo0zbO3O471XkPI7Y6uA+wgtcCWDSBEQOapFDLhdC40wUYYJNmQ2HYQ8tUpwkLUmg80a5kXFujxWWpOC8b0MdYvjrWLWTsqiJaIWebFLsmhdPnmYVA9SimxbN9DywXYGp263s0oc1Ng+jfakF2Ll5FSFfoltawvY3UR2cWFGMkGHBGIe9rNIBCsPwG8ZKn7YIbsgaw62nm9TzLdTS1lz34ImOC1zfOc84UPkZOf3et6j1lqNUx5NfCttm5gdDovrop62XT2Btmpvm87g2scdjju50Dr92xeJ9aVz2kWJ5eeqs03oZO8TtL5NmQi0FB8Qx+cjg63PmWS+KtZ2oLtKxsaG9isdB0I6B6PlMmQiitbfU3cE5nabkPI7aS996Us5c+YMX/ziFxcG3GfOnEEpxQsvvNB6/4UXXrgpHvjKbt1qMUWL9Oy7arbna9si/js9Sc6RTVXxIr2PE0mbMmKKqwpWdp+Z2W9RWrSZuUoX+N70mqyH0ZyJqNfh54mc8+ltvl1lSui1/hY3CrYXvL4BTcnYBoxMh2BdwWBQnADgDA/wirXjvPmMO8/f9pIL3P09a5i/47rBmOFw6baX2miEvHDB/fvp5zGfeZ7dJ9wAvnz9OF8c9fjyvhvzM9M9Lsmn2J25Cves2UWbSSxyBNE3JYrMB3KQeOsr0FYZ+mKj43cZZsZd173ZBZ7tSaazBwC4XB1nXZWsF+66HespzvQVo4G7QlMtOaUVxzwqYBB7WftKqHUrSM+f0/XSwdSn2o153BxnpiaUPiEwYIPj5iRr3k+sraYRDbpw2++pdQoxYE24qnVpE9975CPu5yc9nv3CcR5c+7L7zhcvQyERQx88vuLe1Jv7Jsy+7GUAbP7YFb793zyJ5F4APrc34PlRj0uV2/6EEfuZ4ndap8N6X7mAOxSCQvK8oxUUXgdONy0KhfEV5azwIWznsVtE60hrYb7+df2LoFrefY6Df6FkH22yBJyv2oeWmWHdtR5p4RTNF6Fu2jvoFty0bZBxmzWNqHDI73nRuds2y6rCfYdsFXAfoUU1YJkEy0o5pFBJBKqUayiKmDGDxCsCH2BnquTazDAmPcC52vKyilZyrpelqUKFKiUFCtlHSvfwqqAMvWSSsXGSSsJuFtPi0obEQPztXEUQcsExa3Wremy9cqo7QFrjDcc/Pz6ygHze5oPtwwQMqRLhLCQtBEL25pAM7fHkyITav07iNsLOVxny/cz30F4ATbwhhFAfOHcuCpwORCjkjqFIjmPY1vz220Fgvpg1ZhaFU8Jn3eCzywFftvgZkRY4TOKpO4CoxnqgqOhwrIQ/pkWVbvePpAjcOs6IEoC8wuRQEPNCbF0Heq6vZqa5kCeV3EG448k514usrWeQnx8T779wTMA8ZDG7fpLUjsVaXwM0mYiSXVB5Owo7aNq6kd1hH+G5557j2rVr3H333Qs/7/V6fOM3fiO/93u/F9uLGWP4vd/7Pd71rnfd2cGtDAgIsWS51olsMTF9KyIUDQ0yCIB2br6aGY2oU2DmOds6W4/tgTftIji5jM+g43Av4Xnnv1lmtr2927N2Va4VdMiSvu8ccdKc4K6h5ME1Fxye+boK3vRGuJVAG1zLrwsXwFdiubSFvjpj7CHke03BuIFp465sJWY0tkqQ9+jvZCgoU2Fz3RnfXirMaY3st0S4pIdrG5EC8NqOo2q4MJJaD5lFQbIeSihKX+JWQiEFUVRtqBSFtFTa7W+iJY0lVsQLIegrYgC/oQdMzXEq7ycO7Bpros+aXxNqI5naIWN/DSSSUqwxsK5CXVqvm4JIyue15Mp4jZNfdtdpfTRG9qE46RNQx9dgNIoQ8ps188Zv4OyrP8vLr7nt7+t1ZloyapxP1DfO741tvrzgmI5lYO9PiFBccv5RfLasbiV6FyXi50yIjp8n6aIS54LbThXeJWeCryu9r+11jrxvElXFF4xJWBmP0XkGDRHRyPx4FlmOaA30DhPU2m2BtE6o2HAHAm649TV4ZQfaKuBe2cpWtrKVfc3Z/v4+X/ziF+Prp556ik996lOcOnWKU6dO8YEPfIC/83f+DufPn+fJJ5/kH/7Df8jLX/5yvuM7viP+5m1vexvf8z3fEwPq97znPfzwD/8wf+Wv/BXe9KY38Qu/8AuMRqOoWr6yO2sNsxhWCySKMjqvjagpbLszR+B5h17d2v8uVbzrLKhOldBgXXTIjcXLAqQ0o4SEJKDfQ8ts+7tHF1wvtxy+Cg4BV8phrKaeKPqcH1oeOL4LQPnaMwv5voc1cfkFeP4SXHBcY31hj+qqZX/qgsiJVky1YOZLoTV1vC5z48YlurWZ+YA7BXOQkpFK9inUIInnebh2LrhnbYKgjz1lrQnnvoZS9Cll+L1AW8WkdCduTSlKaWOye6IVjU2hXl9Z1q2IwnSVLqnrY1TWJRn6lGyokoEnOFdCsNYMmdhjAMwo6dkhG8YJoRVIDBaFQvuk+F4tuDjpc8y3Njs5HdPradb2HBpj7fQO4vq1W4KVA9DrUX7j3bz8C1cB2JmVjJo+1ysXVgwmwxZ9EvBw95wuZmMAGuDmUay3U222wiHkbviMdYRJ22K1bYuFKdEOcLtorrgtj/xMdEftxpttXtsUhBsvqqbjmJs5ocSDkYuuwi2sjC3hhJBRSC6nfqzsq99WAfeRmozV7VJ6Hqsa0pMbsWdlIQZzfYWxRNVT7blEjfbtLDxEpc2zVa7qG3nAHYi3r6x2OdHBQqsomUNWMZS4MQrpJhSZlQpyHmdo7WCyLL+rbi+uwKUM4pL+x/7fh5mElsHmbdzGwVXAgzjac7xYaE3UixS5lVebV7JHu5e6U+MMUENrC/9vn5G0DVZYZCy1Bh5+3ju5vXCE8bbhTx2ocffc2waiDNA8LNpVAA6gDtgmq/baBPeP22tXoOcr2rm6tmllsLWHY9ps0bBWeopDQF/0Y9/JMOZF/O6ArtC2wci6wxNr2lX0VkuucB9mavO077+cLtHVSwhq5skhz7eZ7sP2/eyev6TBULSOuUsBEFJibOZoiLSn1ve6kHTy+yTdGxGiFy+rzO7PhDTIrUVR6XDmj9Ssxd6GaNrN2Mc//nG+9Vu/Nb5+z3veA8AP//AP80u/9Ev8+Z//Of/lv/wXtre3ueeee/j2b/92/vk//+ctEbYnn3ySq1evxtd/9+/+Xa5cucI//af/lEuXLvGGN7yB3/md35kTUlvZnbHGTlF4XqsosejIEVVCYhZWkk1UswanaRK+Z9BeGK2rc7FMldzZMrpFQoZkv1tQhUuf34o40iKYOW100iEsacKU9NQ6m8YF3KfXCu4eNJy518HsuQ1xNADx3EV47ir6glPTri/WjLd67FbuOo4bSWMtTVCOF6b1rM8hegiBW+4f+N7QocKtJzRySCnWAFr86HDsrpLprkvo5x3uC2kEZa1QQQfDSiotGWs3z68Xkr5M0ObGCqqs11xPgiiSx1MbRWP7EYJeSsl6oeh5orfSgqkuWDMuOC5EwcAOI+S8RFJjsNb19wbYrS0vVAVr++43o7pgWDScqR03vn9xTHH1Ovb+Bw64SAebfdPrOPXpDwPwst1drs9O8ULfV+2nQ/pig1qOW7+pQ8utjgBaVFOIfmVoT+vvE5sSYS0TEro+Se4nIjMO9cHPQOBMBx8lVLNbquWt+81RLwJvHkmE9vtDwrTQYg5xs7T9LG3f2NC4p9nKuN3G+PHIOxdw3/IavLIDbRVwH6EF2LPKsnqlHPq+wi4wK63jtQbBMm3rVuuEmR5RN2PPBQNjKhZzssosCCByPSCDL1udfT+ZtY5XG1sZGNCBq4KbMNzkNh/YuN+blgMSg90sEGzvzwXb7SAH6EyC80F2O2CwnX10g/w8ydAN4BebWQCR7gSlHXhS4OaH9m6BOlD41m5hfK5SkvOnaQXQlgJBTgsokaKXtcgqFgY9ebBjPR8o6erqeXhja2I3rYDZtQRLztlce7YQbEcqQeDWB2FA5cadCQK2gmPP37adDHYbCtgO3mJL6VCJEAWFdJlygG7veE2NtmWEAkpbY0wRn68QjIeqV66FEM9K65zWLHRWO5YHty0OeYCT5cFxJsZ3WB5+u+0fKNG7wfM17+SHYw0QfZPf674VkPu3rwiFgHphoge+IjizeTT+zf32Juytb30r9oAg5H/9r/91w218+ctfnnvvXe961wpCfhv2cz/3c7z3ve/l3e9+N7/wC79wU7/VtomJK2slRiT3OBfVJHsvN2M1Mld+7upK2BCMtfUXksTHvCaGH1n2eXedMZ0E76IHYEkFPFgUZTyKZzRwZt02C9ljII5zwldTTw8E5/oVwwd9le0VL7+lvYhLTvSMC1fRz+xQXXD7HV8r2d5dY792c/3UCGaaWLkNV3FeBC/N4dYHXm2fKJ2bRg7QtqHwAbSioC830CIF3YXot6h/FkON88smYooyisAGrI1i0kj2mxRwD5Wl9EMMUPIglFZKGCgoghCWFVgKxo1PciBYLwVFhKzDmi6YNc6P7NmCnijZ8MrxpRRUxjAzOp6ncWO5Wkn6/jtjLdkokt92/NKU4vK1BVfm8GbvvY/iG+8D4J7nn+H+0RpPe9XyTVWyZo5R+9ZrQipmkFEDO4nhAJ/O/ExjEpJBLxT3ldmf4JOkwkWqYLtvLw50dbb+h4qyv7dN46V6/FhjUjyj0lkT/RNtVSvgtlYjZYHVWQB/qGc0+Ewekr4of4aeSwQemX0FlvqvRVsF3EdoISuqfNANrqItKWOgEOBqoV1FQ0Vtxsy0yzo2eoo2U6yveLsHql2FdRAZUsBsgyhVVj1dpJQcxtl5x4qyM9EVGFvHwMm91+4NHt7LbXl1eb4X8qJx0WqV0q5kGzPDisP3W47nrev9LFEVB1/xC9CiwKG+gaXFoAGbKh9BPMNEMZ2GdtKB1sIgRc/3sE49qcNxdM/rYsRCiOsULYVuAV2F7tZR29RzOpyabm/x1nkSIi54rso/oFA+mSSHrWRT6N+azpVuISOSwnjiIQlKMDJysoNYiPVQUCNMa7sh+bPsnEhKhEyZ8ZYOQGb5mPKK+DJr869TcOyqadIHrT5hJjrPrxeSOYg7vyiTP7fPA8xaEx8hY1xFKDn18aj9dwFSS5p8X8naKuqL+nwfidnWAFf2NWZ/+qd/yn/8j/+RRx555JZ+HwSGwM2Fy+7QiEjx82uoZBqM69ox17EjJQCNKFF+forw11D5JHA2s31ZQ6pUd/ikIUAPS023ks4iASiOKLDuWAtBQ1yLCjlkyDGO+Z7Up/twbn2MeuA4AOYWIcniBcfZNs9tM31Gs3vFrSN7owHXJgN2arf/sRbUZj7gjttZlJim8fOIvz5mhsVGtIP2+iFETnSJpKQUiYeuREkQ2ZO2vY9azJiiouDnZFayXxcMZu57G6VivRAM/XIzUIKetKz5131p6UnrlM3dUWARFDLddwOVK5kLBkoyMB75ZSVrsogccCVdFdwFaO48jWrD9Uqg/PmZasGxUkb05fnrPdZf2Jm7Ljdr9hudIv3al67wwPO73LXvtn+iV7Ax3mQmnRCdEK4qW8k9/3oBMsHW2NByq7MORx+0JcUT1sJw3vz6GgNkgDL5GDd4drpBP/jKeq6f0nELlSgJHUVcIUvGpTEE8IF/7YSBs20sHIvJEnjOBzTu7QXjvUMB92oNviO2CrhXtrKVrWxlXxXm2oLd2mp/ULV6ZV/9tr+/z9//+3+f//yf/zP/4l/8i1vahqtk+kSxcEk/GfmW8+F3pLmQCTWREp6h522okytKB1WWWbU0K1hrn+dqJQBF+3U30DhM1Wuu08SyiraQB3PC868emFBOiLe+3OCYPcGJnnMXT5aGU8fGcO6+A8d8Q7vkqBjNhSk7lwdc3XWB++6sx/asx9bMXbdRAzNjIw+2K/YYLUsY5Eg3IBYgrE+Au4R4ogpIqShtv1UYkTb1a89bxwWrREVNOzAb1i5psNH0OVb2OOFlyXUJsnTlFkh9vEM6RdDmeGvrgm0lAhrN0lOCgXbnpLSSYSEZ+hK5ko4ZXBnD1Ct2jbVmZyaiIKC2Em0Fa8pdx529IWeujFwbNrglxXIA6zswyNc/yNnPfI7z104BcLLf5/hkSG0dFUF5wdOpT9C7wHhenTskzEKHnvz8WttG/uVFi7DNXMBW4JMvHfrl3DFE1IRHioavebh42KcRJQaDysViOxSzHHJuZI00acwB5dh6jluoQtNCHQY0jLVV677vcs2P2m4LUr5ahpfaKuA+QhN+kRIiTdTCL/ghQxp6PzbWcbRrM6Yxk9hmxJiZe7hC5sq220cJLNb37kyLakNLrdoe3HKq22u4+/AaXN/AXAFx0cPd7evczioun9wWWwalE8L9O4zPV9+6/O2cs9yqyrovHLi3RefHYlNPVDEvtCEyLq+hAT3tKLNDux1EBk+2hi5H3ZIys5YmCmwAkX/WVn9frvwOueOWenlaW89XT1rnRs+diWX3ThQM8RnyUN3O9QqkKJMqacb5haRKHvQJIpIjPybRYEXdOo9G1mjpEB9SFq3qU1AxzZVNF52b/BjCMwq0HCo3JscrFyZsz+shxAvD3ILv4P++0kDfc8i9mq3o0b1u4Xe5GdPMPU/5d7vK7YuOITedOQ02qMnH7XfvAz13vtxHYQ4THkqX6A93zL6CkPKVfXXZO9/5Tv7W3/pbfNu3fdsNA+6qqqiq1N1jd3cXSBBQSMFyFMaKLcHaVSFN01Ihz7sQSOFbGwZ4dbtZRayw5YJGobMIfm9kFWybVV3bFp7hgz7LIeudwPsQAXZuB7fHdGiW0N6xJzbYMGsc67nvnerVrB2v4eSxQ+1roe3sYC9sATC+KLm6u87liVtHtuuS3VqyXbtjGjUw1ZY60LVEUolfaHkV0iZ/wGbwGeuREGHeV5T0GNK3XpPFqnbghEGLlJgxwtDQuPZMQMUYi0F5+PamPUU9Own47QnFULl+3AADZdjM4N0CS22LGIBPdQjEnSnpYOiB022tq3iHgNv9JZhoycTDl2dGs1eLGLSH7W34qvhe1aO5ukPPa1DcasAdz9HrXs3wFV/iniecL3u23+eFXo965lAEyiiM1Iyk481L0UNnY0t+aOp+k/tXAomRzjfN0V5SFCTV8ET7c9sMqNJgbarWIosICXAIBgnGIwuMqH1InSrabqthPGVEvYC7V6WcIU1Qai984ig8p4vK1t33go/rt2lA3qBjyW3Z7azBKzvQVgH3EVpYfPOe1goHb8nFWhpbMbNuUqrNhFpPsj6ePtjOeLO5haDQtTla0BdrwW+WD3gx4M5aJyLThVEtg5K7ya8giHOJkMHLnIxWr+JDQuJiCy1rST2h02jSZ/lvlgSLEU57uEBcdB0j4QJkkQnFaVvRcnRaQfUCOHZrHO4V0YkoMBn/MOzDLUDtbD3Z4uJsubDOPC/74B6sC7eRZeGF7LXaySnZb7Wz6gZ/xtZZizsXbAd9ghBsL+Lwx8SGmdGQgnZh5uGDuX5BeK97DpIAUIFFt3rQiyxfLb1z3RBEC+eTHCJbjQKfMzin7nz3YgXMweKSiFtbeTUtuu699rHHfeCciKAXIEXhuPIZ/aArJCczSFxoidOicHfvgQUJKhGcU6H8Mbcd/zsBKbfmUFPDkh8f6VBW9hW0D33oQ3zyk5/kT//0Tw/1/ccee4wPfOADc++b1rOpUKKMyuRRfVw4dfJgof0QOE2VXIUYQIr5oD3tQ8ZEO7j1POwLwrzVxHtzPhGYKEDZgGIQnObEvP1i/ri2n8sEOV8QuLcoWwss8wdkRosbsMG6KjnmFbiPlw3lMQvrt9gGDJAXL6JfcDS6na01rk6HvOBVyXcayagR7NZuf7szy6QxVIGGJ+rFVKs8ob2MthaDl/a8Lq2ktD2GNoiQFRGKDU5lurYNja+A19Q0oqHC8ZMndouZHsV1ZqbGSCEZNG6O3iwlFhEh4gNl2CgbVKAiiJKplkx9BVtbMP5PMCVEbEPmtiEYqPRZY20GQcclKHRD2QQ6hKQnBRMfzO/VPWbXoXd1iyOxkyeRrzrP+T90FfPzW8e4MJCxlZpsBLWto4BwWHttdv9b0lrurlG2rlPEIkSwFGCb+LrlQwnjKVXh+Vm0uORityZqIrnXwR9ICX+dJb+VdTSTwPWXQmGsjIk5LWu0rWLBQBs5txa7YzvE4pX5gYZQ8b9TFe47stmveVsF3EdoUqgoGqUy4ShIWXVt68jbBrdANyYPQhzH40YVav/lWxqnW8STwxwEuuaqbnNVrzAJJQEYcA++8wtSZVOI/Nib3IeInLXDKkceRbrtZoPMRQFJt5lDfv5vtP1FAX9KnLhPsE3L9Qqc69Z1bvUPX7yIzCMBbt1cddPvRxSef5xnkDPBEWGiwxo+M7buOMES6e8TIddbGWklexRqOKdK3g54teuzGirg1FF8DXIBtLaqfxRSkj2XsQ4LZPd4FySh2ttTLWfNWoOQeUBfImWqAIe+vU2EMlYuc08SauuOOQXjWUUb53Dk41Re4KeQCQrpzomhNuMU9JsKKQrHLTtCuxGPfGUrO4w9++yzvPvd7+bDH/4wg8HgUL9573vfG1XlwVW477//fiBVnUJbsLbQogJbR42FYKYTiNlsjlOUKM8rDW2CgoPdUKFkGbuMCCFpkNRMwob9lvNk9KIjOgD63VmbWxXuTvK12xVhoQjbXEV78f4KP78M7TprhWK9cANfKxrkUEB5G0iXy9eoX3Dz3fZ4wNWq5JqHkG/XglFjGdVuf7szw37TMBEeFWjHaFu1kpg36yNE7Y9snShtSc+vO0NRUEgRr5W2ltrKWGXHQu1bhYETu53U19O60DP0yw1Oasdzb0wPAZQyQMoNw6Khp9L+95qCgQ+OJxoaI/AttWPgHQJuAfQU9PzrQsLM0Kpm1zRgC6ba7WOgBDMjmHq19HGjmO6WbFzZvqlzd5DZV72Ukw88A8D5izPODQbMfHVXVIJqtk7P8+aV7HlIdadoEtZF07SCa2Mc2k10EkNWpOJO91lx90j+3o2D1DyoFzgR1PD7xswQUsXWX46zbSgiws35/v7WpRADGlGhpF//5Qyrm7nndbll/l+kFwBU7c4lK/tLYauAe2UrW9nKVvbVYStI+decfeITn+Dy5ct8wzd8Q3xPa83HPvYxfvEXf5GqqlCqXVnu9/ut9my55XxrZQtU5uYYjEfiqNZ7EcaaJQzBoXrcNlJwqWwZRU+VcP/OO3w4SGp2E5vUhzd0H7g5RzlV2P1GWMZJnYOck77btkWft2G6IeDu2T7DQrKmAhxaIwoxFyjdlF3eYrrl9rcz67FdK67PXECxPbOMG8uodmMcNZo9O2XsxbZmdkIT26X6c7DofIqsHVQHpTPHo0dSoOj7wGmgZFQIB2iMRRmBMAF116OyVQz0tKmom/0YqE2bgroY02TnuZDgQQL0lWFY1jHg1kawpjRFlujRltgKLQTeYUSlFPSk6+cd3i+lC7hDssVgqWmojTum2li0AX9amRrJdFJit9ttu27H7MteRv/lfwLA/Z/d57lJL1btrZWMmh4DNvwxDJmKPkHq/YbJblEsuN9VRHpBQIOlzighABeR0nmIY8gT6j5NnURVa4wo0vY6IqzSV8TxXYmMrV3Luay7jREyq7g3nWJN5z7OUKs53RErfNLoDi16qzj+jtgq4D5CE0JFDuui3pCQVMl11nfb8baDQri+vYWMDj9r4RccZE7EKqLrAbxIVMaNqV3ZDsfTakeU8dTcdwG6Tkb41C98nVYNcz2v44tsXHMiMWE8YeFpQ81vtrJ9kLW2dYNr1KoMt97vcsr8+3aeSz23T2grqdtwntpIgZgQjTX5xfs8cOwAQZE8tsIITmeonM7I+c2lNQ5iHrYjFIo+SjnHzap1KBPyoZB9+mKDNZyoyjF7gk3WY3UhHEtlNFO/4O2LMeNinxEOBje1O1R6l6rxPVybMdpOW1C0HKshrOtpHWDu4CBhsZ2bV0Zt9/Gu57YVF1xbZBBxQLQ51VL00ciWaqn1fWTzKnnO94/OQryuRevZCvNMkXVCUCRuu6bGSh2dhCAik/cCdXfG7c4zd6DCbW8dzrbSTPvLaW9729v49Kc/3XrvR3/0R3nVq17Fz/zMz8wF2zeyCPcUJcqWFDYLuIWbr3RXSTyHJ+fPmg/Oe7bX2kZULbclBk2diaxZmVTPu9s3BqxHszlb1n87DEB2qnYS14UkVNjCFzuQ8xBY2mVouW7AmfF8hUTKMgu4C3pKxOqsktaJKk0rbsXE9WvYayPG++6c7jUFe41g15cFtyrDqNGRi7xvK3blLhMcT39m9tGminN8e34Oa69H8GViVliR1jJSa7dgCkXpv9+Tkp4SsbKshEUIm863hr7tR8526B9to1+3hsEg/XktJfSkpedRRz2p6SlNr3TXv6c1Zda3W1vBzFhmOrxOKu1uPC64Dpxw6Y9bihtPguGYaiOYVCV226MxRiO4RcX53MTLHRf8rjPPcd/eBhPt7qPGCnZnBcPa7UPJvkdetdvQpaq2C7bb66TxCMqUOFGinXiTpC4hGDBiuT5KHHNOyeog5IwFERBq/r4J29O2pMzQnAFVE/ZTiAGFqGhCW1M58/TRfA4Ix7rYol+X0R2tsAj08gO6DbOsIOV3ylYB9xFazKZlqqZSKM/3TaIsugUxnbUgsbeaWsr7IztbACXLRJBCkA2OlyJlEn5aBHc5THuqZZaC8yW9SEXrL1Jw0B7Pwm3HyoGfmMMiLFygGVsNHxLyncZ88xNZHmS3Fv1oiaeLMB5S3plMb5Qs6djBAfXheOvzAjrZfSJ7dK9D0BsAWrAmgUTKAuU5Wj25QV9s0LdOJKVvBwzskDW/QG7IkpP9gjMDt//zQ7irrzlRNgyUu461kew1iiuV+83lapPLk3NcnrjPrzQjLheX2FbPA7AvLlPVTljEnZJ6ASQzBcjaVGiS42hM41vGTOPvyYN3IcmdAGObVvAOtPt2+u9E+KOH4Le5aKGF3eLnKReICa/zfqQKxyGL/YOtg8yn57tEygITuKzCQfVu6Jvl80lrvIZl+g+3bTePDk22Crj/Utrm5iavfe1rW++tr69z+vTpufdvZEqkarSipCAlkqUPVoWQc/okLYrIgupnvg1h20rEYV/+y2iZRNisMPFPeC0pMLTng4M83KDhkEwe4l4P4zOHW1M6Qb37z/d4pvABXkahqi3sjW683UW7unwFvTVlMnXrwqhRjBrBvi+97tYNe3rGWLhAcCRHjNhiancAaLzmTVqHFiUVuu2iwLlI/jrKXmsOlSgkSWCskIJSZhxqI1x1WgbfCPq6pPScbyXmXWmJpIwVc8e3Hih3jH2lKQqD8q9LaRDZMdQGJl6dHcgCf38oAqRYjF2Q/hikbV932bkPaiOomgKz5+5Ftb2FPYKA2778JQBsvuxp7r+6z8hXuKemZKuSrM3cdS/lECl7sbVa0ENYJnhqbGgjmjRYFin+uy8HP1D5oD6s4YogMux+cHCRCRw9MmrIRE2WIILWFhyV0fcPc1Dj+rkHTadQ3BLpPurseOF4oFsIEf5YVhXuv0y2CriP0KQXTwnqqMFMxhVKmdCM10oemDmH/mYsBdvdySMtuu0fuIVoLgiJHNL57Hw7+DZLJ8XwObn4hW1nKuetDfOaa+2wgF+eejImR8nQxOlHhOA2iNUdpvzVUvO8gcDMwt9mvbtF59zHSsPNV5uXB+MHV/MPFWgvuG/SMRTk/GeglcXHcx2lCIJhip7cYFO5NiEnzTlO2k1OlO7zk33FiR6c9MWis33D3YOKB4+56vQ99+yy/kqJuu8YbHpBnqrCPL/D5PNun89/+QRf2D7OF3x15Et7x1nf7/NsyHL33P07a8KpCQtzLpImWw52rgTvenDn1RNN/vy4JElSMXUUq3SvO770pMXjzvt6pt7jzdLnoX3+ibz5XJW8K1AnO9UaIZLwU/i+yOYYgfJJqWALxhKhEk6xX3S+cyc43JbDP3ILf7yyr2kLIobg4J3SOqhwMNN5boD5anQupuU7jMQEuq9hdYUqRd6FhCqiTxInPPXr1gcE1/PWrXB7ZFN02DXza7k7UjcwSWo1dCNLiVUpi9ROTQgPq3XzUm0kegRcdwEwVQVL4P0L7do2eqdhUrs50omFJQj5np6xI3bZl277ld2jsvtU2le49Qitp6my3eGtJ62RNOcl38L3FlcDCtmLWjsuaSlQGSdaCZF0Z8Jq6vnPVgr6RtEjdOzoo2QP7SHnhRzQF5use7jzeglrytL3KIFCmrlqtEVE7u/MOFh9pdO9ooSIKuXueG9sAkkRj72dQzXATEv0yO2j2NnF3nuIjd7A7MteBkD5yj/n/FM77FZeDK9WXO7JmHDviQ0KNYhrbVzj5ubx5b5n7JzS8WVliLvNfAJt2bYXvm8NTmcnwOJdQj4G0D4BEBJqYV0O84W1fbSoI1qkkUXrvoz7Okyg3XozzAl3IDK2K7TYnbJVwL2yla1sZSv7qrDbUSlfOQkvHvvoRz96S78Tooyc7dAh5DCWJ8RVi9oU3GcvVmUlVpgYYIdgPuxHix6F6FP4dlBaNKisZ3dQYW5zShdXaN3xLKxhLj2OQN9qcbw7SKu5zhWe4pXDdPN2auEbIRicNorZrmRwxSVKxYUL2IceWjqmOdvdp9lz2wGYGsFUk/pHiwm78joT42hDldmnMRNm2lXUXaeLhlYiNCLKUoLeVRJTJVQgY6eHQvYp5BoFLhCSyJhYcNtr20LFcKFiG7Ge3KBQa3F//eIYG+Y4m15GfKOAdWUYeM62km36lzaSxjhRM4BxA+NGx3MCjleuYoXd1cObbEza2vYYO1V7JURqa+e30ViJ70gLO3scqb3iPja+sMX5bXfdrlZ9ni/7rPs+4H27QSmHaC8oFlpfLi/MuOR3rpGgfEEgpwdYdIYIKDDUkeftEHk5ovAQZh0bPvweIzGyTvui/Xzn6JmAuAkBtxL9VoX70NZFHvpKuaXb5PBobAUpvzO2CriP0KQs/MTdrm5Dt12JjJAYLQonwpDxYy0glrQFi9toQciXPbxZBXROmTSDxfrepS1ONh1IeSfLeLCFKn6dfX/Rb3KnImUpw0Ip88WyMyaL8S0fEhQ/b1VkrSH1umYpZzVlxdWSTGI4/u5vFx2PSd+K3v/NVbTzavbClnCtMS6D5OfDn99O/tmifbe+M3fu81ZpEin7lIWDiK2XZzgp7+cufQ8Ad/fWuGtNca/7mHsGmvODivPrTqTl7Kl9jr2kpve60+4Lb3gU8+pXLzyz65/9LACv+IM/59THLjB45i4/5iHjps/e5AQAe3KDqRpmbfZkK6McF94WR7vJ0Bi1rz6H4zSt5yf0sA/3tkGD9T3USU7DQc9kytLnquT5SXe/D3OC9C3AZAdGF+YUQ1CHD068bqFUIgQ9Q5A4SHm+X5Vd/2UZ9/S85hWwI7UMBHJLv13Z17SVckDhBYtEBzbuwlrnrJuIjEoUj2CLunWE/hGhKpnaCLqmgipWwAwNG1FUDQmNKGg6z24daCrWQ1xzy4Sv3J66FbGDzcFyuxxvMh/DHVUyXyUnrb+Q1vzaaka1ZcuLml2YDDh56Rj9P9sGYLDxOPRK7L33HTyup5922724zXSrYM9XuPcbwai27Gk3Z2+pq+zoC0zq627/eoTJRNKMqdo0H4hV7TB+6dtXhvleyT6F7FH4HtB9uUHJkHWvH7Jm1ulL1VL51tZS+Wm00gZtLcJ/XgrBeqE4XW+6MYqXoYZlpBKcEvfzkDzHgxvuOty/1nDXYMZ6GXQ1LNUsud+7VY/tumDbn+Pr04aL9T5j4dbKkpLNZh1wCQOnOJ7WcAtMGkuTRdxKSEokPeWua1+5ft5BCy5UuOt9f29dur702t2KmUffSAnc2/sMAM0fbnOtOstzA3fcp0d3MSqvZXzo9jU11iHskraJibDyYEJICtlvIUqt0ElFPIgYhip69zmyBoTG3vD5Cr5y5Z5pHZ6VkkJWiTKKxggTuxqUQfshnHNZ06gJtQ5CdWHNzvR8FlD8HGItId6k6Hl0gKE+oCX9LdtXMOD+2Mc+xs///M/ziU98gosXL/Ibv/EbfPd3fzcAdV3zj//xP+Z//s//yZe+9CWOHz/Ot33bt/FzP/dz3HPPPUu3+f73v3+ubeTDDz/M5z73uTt5KDe0VcB9hBZgTF1rczxcu43QsqeHd4h14MdKjKla0OiFzm8HCtwOrMxcgJeLbWGFdzyquI+8VUGyJZB0/9kimPfi1wvKVgvO00FORZf36l437QDc1suTAUGldEmLrcWcaz92/Hy5NEAJx5i/PjhhEodwuBxrNsZszMI7Y61zmRIXXRGSbsAcEyGdakdKUiwYgygJ7qaSPXrFMY71naN1l3gZ93KWu9fdInPfuuD+NcND644Pff+xXc6e32f4oIftveQ4vOJezCOep3kAf8y8+tUAyJ09jj3zOKcvu3t3uD+gkCnVoXEtw+Z6WWfnpPvZonum1VZHzL+fOwHY2fxVzkWOsv0v3q/pwD5lRqsgJp+6Ij+RAoJJDn722rQSXjdjMs0VcTwKGYVfBq6Fm+gt/vnt2G2Ipq1sZd2qts3YmxZD4/ULTE7xOoge5T/XwlNGcFD1MA00th0MhxaZEY5tnYhSgJwa0dDmZM8go2tEx79TrT24jZDmoKp3ODNxjH5OS9tSC2lbIYiomDHRhpGvSO/Uiq3JgHNX3TH0Lu4iL7yAvds7wHLxWMTevjuGSU1TS2bGfa8xDkId+mzP7JjGjGl86VX7irbN+hC3N1zMzZepyp24s4Vco+9bUvXFBgO7wTDoi1BSCtlKUGhLDGArY2iMjWKeSkmUEAx9tfZYs0ktz2M8deCMOcvJoeJUz/3+eKlZKzRlbNUomfm/AcZNwVhLJl6OfNRo9uU+Y+Gqzj07pDQllecO10ZRm8Sr19YhEGprMTYIqQkKITPed9vbcFV7ga799RpNoWmgOLqwwD70IMUDzwJw4rN7HLvWsOEpZmt2SF9uUEsXfArf6s1GMd8ZUhTxPoTMD+w8BzlNxOE1AqWiRMoaadoJL/LnzZr5elTX981EUZ2f2fPjccntfC12KJlkRdYpIfC58+T5wtx2VkwLwXZKKPViC9Uu/fOo7LbW4JtMfI9GI17/+tfzYz/2Y3zv935v67PxeMwnP/lJ/sk/+Se8/vWvZ2tri3e/+9387b/9t/n4xz9+4HZf85rX8Lu/+7vxdXGE9/Wt2v/7EbyIrBC9uOB3eWGR0yEUkpIyrKtLoCUm3PC2iQJgbZPLg7UF1dS8rYAVFmGbuLgvai3StrZolnMY3BgW/W5hpTxwyYAuvzkUEKOzYQGaBVy3NNG6jKWeD1o61j5Haj6KbB3rvLhW6xjnxHTSPhcGZqIrU9KG9eXBcVSqDpVWX0ml83u33bzynxzM4Gi0J/PlSQrnimpiL/AFk3euL5CqBT7LXh7nRPEg95uXAvBAf5P71hX3DN327x3OeGB9zL2ndwE48UBF76VriAdOuY3fdxfmJQ/enDKq1jR7sDtzY9iaCa5XNVvyKgDj5hpVvRNFz8AtUPHW8ucjcbjb6eGYUBCh/csSdEa87v7ZnFs1O0iEXMAmfxaYRy6Eayj9eZY+4F4mFKNtjUSmircXZgxibo2pOroRixfp+Wcl3VdS9FHKVQ4LOaBUQ9pCTitb2f97K0SP0PLLxkp2hgShQVMnUTNMrHIvMmP1XDILAaWvNEoERVZJz7mc4MXURHK0tKiRskSYPBEnyVXF8VvObVkye5HIW9pumpbm+MyWhN5acOyWdNwzUTFpNHt16JMtuT7rsbvj5oPNyyPk5euIy5fdb8+fn9sexsDEC1FOauq6oPLnoDKuZVUt3P4aKppMFC3qhixJ2qekRFunIz8vUjhYb1+4gHtojzG0awy96FlfuOp2qP5aQiLA7XOqNTWGvm+xVUpJqWDN32uNGYI5Hfd3phhyeiA4UbrfHy8bhkWDEiHgFtSmYOYLLXtNwagRjPytNjY1Y7XHxAvFaVHTFwNq4855bSy1Same2sBMW7TpFFpEWyzNkqDxFrAItO/9bUczxNWri6/fLZo9dw5x3xkA1s5scfyZhvUiiKb2GHKMSvpEjDEgHe8aiC20ugi70EM9HiNJryRvBwYgrbv2SVNlMfqs67Omjcu5+y74UZCE3ELXg0bUFLYffS5FgQHKSF0oO5DygGBZrMPj1uSQgHdzTgi2C9m7YwH3V9Le/va38/a3v33hZ8ePH+fDH/5w671f/MVf5E1vehPPPPMMDzzwwNLtFkXB+SO8l4/CVh7Tyla2spWt7KvC7G0Itqw43CuTlK3XDQntYtC+yp2QUNrWc4iX3KyvbsckZUSJhf21BQwDbL09Jon1AUHoyZu3EbIokjhX2/E/DJQ8p2wtS+B3A9CWOFUnCR0qiI0Xx6zElLGp2fUQ6O2ZYntWsDNxwd/Za/uU1/YQ29vu94uc3MkkBtxmaqhrFSvcUy2YakMlfILQTjGmptX2a0Gw3YbYFrQLAm1kkaPxJVXxoV1jzQ4Z+m0MpGt9FntY++p25JXbmpomVjYHRqEKSS960AWFXovB7Zlhwek+nOi5Y1gvXM9tbZLw3Mwoxh41sFcr9hrB2JOyR0yp7B4z44NRaajEMWZelM21DEtZk8a/rm3Ca7jQsn1xjU2X3ViBtoImBNz7M+TVa0cacANw3gXc5aknOd6bse4paMNCsVZvMvZdTYyoaQBJEFELwXGoF5vO3/MmhMLR4/3zKAwSk/pgy8Lnm/Pn5Abl3Dzoti7h1ipioGMCT1M7dJnfn7JO5yGIt5ZyQOHboQGehy2wImhELDomP8dkBY9AjzBdasUR2e2upbPZjN3d3dZ7/X6f/s2IKy6xnZ0dhBCcOHHiwO898cQT3HPPPQwGA9785jfz2GOPHRigfyVsFXAfoRWivxT2GUwgozImOOiLkgnWFuEyfj4whlY1Om0niYS4Hy6pxLW+n+CwDkoTxtZVac1+EzeQVMMRbdjePNxN0uWRJfGWYB0YswUIVQfAdvmhuuVYdNWj5y2DiseBLs5u5rZUOXpBRdp9EHpIAqhY/ZXSwX5kdq2FSI5ZyMrn/Z/rZhQrszr2Zk9V9nZWNE3EuTBMzluL0MHIP2qi6wmO49WesCWOCx8Wg37kwrljKlCyz1rhKtRn5Et5Kffx8hPumF+6aXlgWHF+6KCAZ9bHnDg1Zu1et7/ygXXEfSfhnPu9PXEcBoOF53vu/F+65Eb+iad4/snjfH7PLdJP7Vme01tctU8BMJpdpm52IlIAUbi2PLY91eULZo4KoINUsB6enleHu1XxOfqGw535F4Icpu++P3/v2pZrpMg1DNwC24/XVYoSIVSLTtGQsv7aQ/MaE3rCzlocyDZHfcFcQqgYeTimHFCoAT2V+qcWon9goHLLZolKwDf/21v83cpeNJbrCuSVaXDOt/HOsSaJHi2yOC+LmtpO5943cd5vB9y1mMXAPpiIDG/QnnYW52hTIEnorrBWLguc3XZdpc8fFXlnkxtzUSHM8weZtk0MuKdyn32xyX7t5vndWrLTyMjBno0Ua3sVIrQJW9DPWUzGMHUVazs1zJqCiXbPa6UtM2OomMR9mxYtaHGwnXO2u5ovi0xRRFXxoe2zJkoGvsd7X0pKKSiC3+Xh2ZWfJ6diSk0dW21p20MKx6UG6CnBukkCZaf6gpOlZrNwc+6wdOJ5jXZjnBnFfl2w5wPuXd8abdw0/pxPqOw+tQmKZjBTE2pCu0zDzKRGYqEar62N9KpuJkUbi7aCOgT91lXJaz8GszdDXr2+8NzdjtnzTm9Fnu6z0avZLNz41gvJcDagLx0PvhGOC520fFw7y7B2a08Z7KL2Flnww8OTp30yR/r7ptu7PemphN/nCJHMd7Xt/YYOJ0FEzYgaLRpMrglhUwJIWSeiFiruQhTOt44Rbmc+in5n0RL8C2twG7x+hHara7C3//yf/zO/9Eu/1Hrvfe97H+9///tva7vT6ZSf+Zmf4R3veAfHjh1b+r1HH32UD37wgzz88MNcvHiRD3zgA3zzN38zjz/+OJubm7c1htuxVcB9hCZ95rrbdgSSwFGEsGUtuGwGjV4E77YUC+HF3T2k97pBfpv7u9iy7cc2U3EQvh1SWNAO2Ew2bkkQX+ku8DpNZBCTBalHtWROSMZnEtPYDhBAAxwHVZKLkB1siybuttBUq0rQgeMJUVCoAf3CPcx9dcwplwYokVD+3kgtawBqvxBUdp+J2mJabwMwa/axtjiQbxwWnnBvSA9fivSlTnIgCofkUGF6re11BcaU7KfssCjpq2OcFg8C8HJxL68+2eMNJ5wj9eqTO5w/t8vwjG+NclIij/eQJ32Lr7PH4MQmbHpnrFAwm8FwuODcJxOXLsH/9zEALnxE8kcvnOFTW+4CfH68xXPiL9ivLrrz2ey0FlPhndMgXjjHWxcS6MfrGeCI8ZxQOF4ZAUIWTnB2Xfzzkb9epgXgBzEXoAshYqIjBLjKc6YLn7hJDqVqzTHa1q1+4I2pXJAdRYZmS1qddSkhYTlwyq8BQl6qdXpqnVK66xQSi3cCznY7KuU3yx1b2YvPJGWcW0OAHROO1v3bZu91+aCpUp3oGU2rohUC2yCi5ubzwq91NTNqUcX5Jljq99xWAJeycEn1+E1fQevSspaMN/4mo4d1lZ5vVhHZ2AZjEiWlkvvsiz32jZu39+uC/VowavwxVwo7bRAzP+/OZvM0ockEKg8RryzTRjHVocINU9MwUz7g9hSYlrWq8/Oc7UXKz3NieCgKH7z1fLC95gPunhIokQTFGuMC1MqPYyIn1FSRi6vtGkrA0E+ZpRQYKyJV8FTPcrzUUSStpzTWV5TdMSv2GsVOHXjxgr3aMtY+wGfk5/EUKGpqZgG6bCx1JpBW+9e1NVjvIxnhUr3aBp63oDYOfp5eyyjepvcMxdWdmNw+qkq3PeWg9vLkkPXhhPXCDWBYKNZEP6IOQiJZRg53E2Hl7nWiyLUFfTXWLr7HQ4GrK8CbNFoWLRptwdGWrwoEoTVwRQxtm1jhbmxFIQYRYu743Cp2M1CUXmgtFGYKhCljgcBm3O18DE6kNCTAXbDtAu6be7YPZUego/ITP/ET/NzP/Vzrvdutbtd1zQ/8wA9grZ0L5ruWQ9QfeeQRHn30UR588EF+7dd+jR//8R+/rXHcjq0C7iM0F06Vc+9rEmxN25rGVlHQqNGT6CBDqGzmi02Am3UfrDyzpTsV625FO3B/21m6YG7SuXHW+2ZsYeLggKe4NfEtdOTN/PcWWSsb0AkqbO7ZyOw72edxYarfUQABAABJREFUO51qMiGrngVj1rRgPoPyOOvFOQA2OM2a3aT090Pg7LXFPQwzH3DvSkkjp8zkyB/GvJhG4jAFzrU/J0FVVtQue9vh1radt9QaZWHllKyPrU8whHu6EH02OMP91i3ErzhR8g0nZ7zpnhcAuOvrK4pXnoZTPoO41oeyhL4P6teG2OEAvGgKhb+HfVa/JdYSeFx//mnMHzzOc7/vvvsHz53nT65JPrO3DcAz8i/YnT5H3ezHnzrxwrBouwRCcuC8+I7NqwCyVQFvOW528bVIz5IOP8o+zBZLOirxi9TqhULIHko6J7Us1ijlMAbcjr/dRkpYaxKMzVbUeppEhswMY2dZEs9pA8RqXtZf2x1iG3gY+JCxwi6KuMi78ZSeM34nIlyBvcVK9SreXlkuaBaq2aZb6abNAYX55GT4vKFC0IagA2iZbVM48TRwQX53n7mCsgsoiljhkrZBZCJjKZhI1d1FSdb4XlZpc9ufh4MeVDHvfi/sU5tZrK5WZp+p2mffv540faYmcbCbRmK1Bh8sCt20n8XZDDGtYOJ9nBFMmoKRr3CPGsOIKTPrxLO0qTrBchce7visad2aX5e71xHcGh7W475QDJRk4PtkFdIF3Dq1GUFbGyvKMybUoqLyCXSDRQnBul821gqLElD6zOux0nC8bFjzFW5X3VbUUSRNMWoUux7OvVsL9mvD2HihOjlG2yoqdysf1Gkf6NVW05i0Lmnrgm1tHdoJoLASbVPAXRtLaVIrsZmBqZFMap842RX0ruwjLrn1/Mih5ZtD+oM91pQb33qhGEhF3/PSVVhXQjU4dvBJieDwPKQKsy9WdZaMXEPBolO/dQ/nDpBvYR2IPdkCHZvW+t8tjBmvmeLRZPRp7BTt75P4XIY2gqKgoJ8Fzz20KNDhGG1Xr0lGFE0qfBS+9eAdCrjhltfgYL1e78AK9M1aCLaffvppfv/3f/+mt33ixAle+cpX8sUvfvHIxnQrtgq4V7ayla1sZV8Vtqpwr+x2TGUujVMMrmO1Kbe8pV7OZ44t96J4pXEVn4gSSmJrAEKG5KRzoF1bsCoGelKUGaA87CMhVKSnvLR6XtsmJuCDo98SB83+TgiuPDjtBg1d2OkSWG5Qs8Z0EDNjKrkfk8OVMVSaWK21Vni21hInva5hMsXuu9/XY+lUuf1lmTSGsRxFvnLjA+50vlKgHc5Zt03iwu4TzB9jCHxKKRkoSd9DwkNlOt+EwUZ1+lpUPpBK91IhYc3fbidKQ0/aGHBvlprNsqZQ6VpoK6i0uxZjLdlrBHs+L7NXW0aNYeI7xzR4xe4MUeGE7HyFG1fRDshfF1i7YFuT79PEIF8JS6VFbHU21TDRgolHKkx2SwZXJhQvOAHSRdSA27L1Ab2hjgH3QAkGSlEanxwPVCabEixdgcH4PGTX1eFYar+NNvortbpLavU5HeHGS0a7vV5QNQ8Wgv/QZrQRFYUa0IjQJszNH/F5txIly3byWvYwPmDHirkxhQp3hKULVyXPk/BHbbdT4T7qZTgE20888QQf+chHOH369I1/1LH9/X2efPJJfvAHf/CIR3dztgq4j9AECiXK1uRvrEYimdkgCFLRmDG1drywRk9aVe05wRVRcKPLlGCuebUtUzHvqgmLdjVbWHOIynG3bUg7o9z+u8t7XThq5pSe52x+f7GQ2E3j3wAyHx2TOcflZmy+2gBp0VeiT+k5YgPWGdghRYQo5twq/3thmAnnWDW2YqZH1I2rcGszdT0fO/unc63mlKWhBcdrwyWdo1IoN8ZegAqLNf9rd+/GljYesxEgmgPWOW1Ocv+GWyxetmF57aktzr/RHYP6pldiX/ky7CnH0aZ3yLZRQZK/aaCqENevIT7/JXce/uTLPPuHQ/5/Fx1y4E+uKT67t8fT0vVT3Jk9xyyrbis5bPGdhJAO9hURJKFHdV7tTb09hZm1QRFekTQ9l7l6OfkX07/FPFw76R+YBD8PSALZR8l1eoVT0O0V6xRyLamSdxxmi8aQeovWekqtRzS+t2foU3tQm8B8zELgF/rsvslQASZk8bPxHB0WZn5It7rYr0TTViYyGpGxeq6a3dVYcUiNdoU7r5g58a6ksWJM3dJPEEjc/9xcbTxkPZoFKeTcMxyrs5EOlOaLxhDVzrWdka9VSyHlIfiNle4OvNo7/os+c6bj82NoHN4tBBFmRmOnaa2aU8K2rsGzh2db1fY3RDWF0Rg7cr+vxgWjpmDfTzf7TcNY7mYB93QByi/pbMQe2xnFJr/GOQqge64itFc4+HffnwolnR+Vpyq0tbH/uuP9pzFJBH0F68qdhxOlCyRD26+1QjMsmvjaWsGsUUx9wL3fuIB71wfcuzPLXl0zlQ5F0NgKk/to3TUgq1ynsbqQPPkZmtpKVLhXjZvrqwjll0x04uLv7ffZuDqjeMEpo4vLl7EPPcSR2aBHMTAMfBJioCylELFntZQKYZPiuKRECZOu8wL/LiiWx447GBBl/KbzZVRE6QVqVtimf8qWDrmr9j9HJ8MnyDIkgkvMeCG4zncFksKmgLtUAxo9SQkA0bSRqh6V6PyyhNpTlBS2XCiydhR2u5Dym7H9/f1W5fmpp57iU5/6FKdOneLuu+/m+77v+/jkJz/Jb//2b6O15pKnPJw6dYqe9zHf9ra38T3f8z28613vAuCnf/qn+a7v+i4efPBBLly4wPve9z6UUrzjHe/4yh3YAlsF3EdowkPKZcYnaYTrhxsWYW0qZs2IJohj6annV6aFsstPWmZhURK4zF/eOzj07nOmOpDuDodaSB90Z9smb1XU4Xp6+Pk8dC0lDQ7siT0XOAZ4XC40le8vF3XqKlaGLy1wIhbsIw2501ZtKeTOc3WsbqXuwrGF3sTG9GJFBRyXbypkVLVtfDuamIgRhtpOmVnnZIyaK4xn15zgF2BDxrM7TpLT2G3XFO6ZnLOdtwELgWjpv99T6wzE8ZRt9cF1yDArSnq2F2F4G3aN8/0h96278bxsY8LdD+ygXnOv2+9rXxX5WjdlAVLZNIirVxFPPIX+ky8D8Pz/GfAnL5zlz7bcMX9hb8zT8gvszp4DYNbsAQky6fjPw8iRCgH3TI/8uWmwot2n22IhQEVNO0mREkc6/n7uvuoGs9YS23zE5EW4hr41nSjivVOoNUq1Hvn/pRxSiLaYnM7nEF99CpDPEGwb/zpA5m+YRIvDNbibO1SsdHT4AeqMHwpgpYn3zMpW9tVkh6U6pDadklzZ3Hj4eAg2tRcYTIKD4RlI84vFRGd4TtdAel55Z98hgIpcTpEq5HkyLVgu0gQ684gXVbq7HG6/tMZkdT6vQQo4knCUofFwW5dk0LZBizY0P/SALgqDGJQw8HNCoAaFROpkAqMJdm/mXw7Za1RsgTWyFROzxcwnm3OBRzd+GYXR3LkKnO02VH8+Gd42KVQkzygpKDKRNCUEOrtvLK6fdbcAEqHKQtCTgvXCnbPjZcNmWdPz1dtSGnpKu2QE0GgXbI99sDvRglHjYOQA40YztjVT4c5BEKxLa33bPwkJ/HBJG8/dzqvyxro7TQR4sHHeROU3NdWW/Uaw4yHlO9MBJ65N6F92Pom8vnW0AXdZIkvo+3PUkw7KH4oSsRodk9Ouf32Xf9210Ps6mFgkVEy6d5TsxQS8xPnLbd+4TcEI4rP4X+TChOE5MtF/qNCyjxFBtbxxa6lNx5YHz0r0/XjcPGComNdWCf58OE8qwsxvrE301W8f//jH+dZv/db4+j3veQ8AP/zDP8z73/9+fuu3fguAN7zhDa3ffeQjH+Gtb30rAE8++SRXr16Nnz333HO84x3v4Nq1a5w9e5Zv+qZv4o//+I85e/bsnT2YG9gq4D5Ck77thOu9F4JIaFBZEFR7UZLQYzKJGTkr/aTgg6g5EaeUuZ3vU+0sBNvSO8Ux8BYpEIN6jpeS9tEWJnOLuMo+d9nyPC52k044Dh0Dk8OZr7gvU2MLWb58shV0nIp5wRQELOaDd7cdxpCby2Rak/pih+Aq22nsGalFj5keMfXn3EiDFDIunI2taLLEikXTmFmsaNd6H633W/dCmmjbYlahP3Op1ugXx5J6NCWG1M4lIClMJiJWyiHKjzHwm8L3oxCQd0AHbCAYsGFdBfx0b8Bda5J7fZ/tezb2Gdwr4bwLsm8p2M5Na8TVa9gnLrH9uLsXPnP5NI/vlHxx143xGfk0O/WzTH1iQuupd3iTA+wctJQNbi/a3nHLroP7R0oW6ZaC6Tyq4CABtPh29uwIFN3e6VL0YhW+LNbpq01K6c5zIfp0+2qH3trgONv5vaP1FGMmBLG4g5Eli80lHfIkgquVtCxMI/4ch96iR2r21jncK5XylRW2oPHrnBTKr1NZYIYTNdUB/GWND9GTM+vmAB9wewGvEICDX2taU4BBiDYaKQXQpUvCdrtVhORo5Ge6zzU1ShToLic5g9DOPd/WxrUdK+j64Dau1akK2E4idoJKH6B3UXcyg9cWQkT4dNnX0B8mrY6AbAraHNMK9iboHbe/vWmfvUYy8mTifTGiMvupCGFmfmwJaQWyE3ip1prf5vUuRteFIAVAifBHxNetGd4yN68riiiOV0pBT1o2vADYid6MY/1ZhJBLEVAWbvszrZjqgpFXBB81glFjGdX+HDQNYzFhyr7/XR2PNYy92/LOHXc2Zh96hqo8whcJsu9II6j8zT9plA+43Zi2qj4ndwZsXHFj6G3tzu3vtkxKRA960o2vlO7PstZ3rp1e0Vb0pn3dTQh4M80E97sc2adigksIhZRlXHu1cWu0IRcUTRaFciOU3fHIF/qbBIX9hIYwHnGQix7LrOJeyD6lGsZ7X5h28Swcb0vM1qMQpVXYDvLhqOx2Odw3Y29961uxB8DTDvos2Je//OXW6w996EO3O6w7Yi+qgPuxxx7j13/91/nc5z7HcDjkLW95C//qX/0rHn744aW/+eAHP8iP/uiPtt7r9/tMp9Mlv1jZyla2spXdCXOQ8lsUTVtByr/mzVWzEkInQMbBO6rdoKUbnGKwJq9YuZZ6rTZfVrY0N/MKN7STv9oWXmSws9uIfFG+L3eqZM5VtxdUo5ehVyw2o02R0ce6oqU6e91JuHeS6RAEYZ272JeSvrIRGlwODGKthw3dJkp/LmofNI7GsDdhtu3e3puV7DWCUeN+PxZ71HqE9jQ7u6C6m1c3uz3F3W/akPL8d+Cr4qQKt0QgM1XyYCZuo31WlCgxVlL6Ptw9KVkrYM2fg43+jM31KUolCHnTSKaVb52mJeMmVbjHWjCqTTwHI1sxlvvUXjgu3H+BVlTIvuvhHuDXnRvX+oq3FSZWuLMP498SgfIB97iR7NWw5cv8G1XJifGQM9ddIre3vc9RmyhAuUbZKGHnzr8LllPyy32vqzDepUvoeP0FCk29IDVB3JYSBVqm0MfYJj4z6anKA1kZn4eQ/InH45+rXKSvlRyXDm4u/QYMppVgU7Z0nWB8AqARJYJZ2r5otx3MLU8gHbXd6hq8soPtRRVw/8Ef/AHvfOc7eeMb30jTNPzsz/4s3/7t385nP/tZ1g8Qfzh27Bif//zn42txmL5XC6y0PUrRQ9kiTnrdXqCxj2Br8Wv3vA0qxRAq3CpW4mIbAlOlRSdyQgPPuUDJYatK3qpAGyemEYUgQgXvkB5rqB7PQ7gOgN22JinR+tuZmoeYx42bBZDy5QXx3HKYfWufQsaMqduW7ByP64Edx2T1nJMjMpietQ2NnjDmOgCV2I3XCYLjli+EHqXQggHrdG68anW3D7YUZaxoD9UJ1uUZBta9dtWdhpnwarJyh6ncjdBji25xwULVPa+cWmuiOnajTtMXQ3r+Nyf7ivNDuG/ojunMyRHq7BCGHv5sTIKH34KJy5fhyeeZfHrEF553Cqmf3evzxG7DU1wA4FrzJSazreicObERhSFwtNvOmET66xAWsW7lw9MjwstFiIiDsq83hK/KFnw8qOsq2UttttQwOlTBNO1WI67S5l+bmdd+SOfAWs2yyvacUvphLacmdKpGLpi5M6Itq8B5ZbdqChV7JSsKCjGILbpCa0ZI1VrstBV0C/+Mhbk6tdTLuNGijNVfAy5AJ7VcFCIF5Ip2GzIIQXY+5jIGl9oyF1Tkaytxr/HDuXPQooP5tdNm633a5gGUr2z/UjjOad+6eb6vJENFVOAu1iys9yG0/QlrwMzPuZMpZmfKdNfNF7t1yV4tGIWe07h+02kt9Otgfl3ygLvDw1/UKir+Lla0XbCq4jbmMW06c4Ha/azdNSrp0/cB96AQDKRl3Z+DjcGMtc0Z0nO6m5nEjEu0F/yqPJw8KbNbJo1l7O+zkZgwFfs03l+IlLXgF3rObgi0hfCA4ojUCAGdjcgoTYMRCR2BdckiZULALejXim3lxjhUilNVn9G2O8b1rTHs7cER9y2W/rwu8xRC9TdyuXOxvAV87i4FTAgZ106DnkvOCCGjjwPu+Z3zpbPvBh51GLNZ8HlEoODoKNYnYjQ1jWgiTS8I+YXxF6LveeVJOK7VAo+UbOre93fKLKs1+E7Ziyrg/p3f+Z3W6w9+8IOcO3eOT3ziE/z1v/7Xl/5OCMH5I2iB0GcYM6CxL2Ckz3RhW+EBdxCXAIktizX6xSaFh5cqUUReF4CmAkPkiLS3F7K5fR+4r7e2EQIvNz5DauPoejnfzDPWzZbPv5fbfLCbv5843LnYTILJWSTCNliKQwXZLYvZUv8yZksDTz4swLLlhFhbuMynSEmEXFwu8MpFhO3LVu/jILbVrVaIbH9hHOm1ikmSslijp9ZblRNwi85AHQdc67F1c5yhd4QkgsYappFC4A4v7KsJ8MjgLHa4wI2eYq2hCJzwfomRhjUvgnOyJ7hn0HDvhsuAb5yvEadPJu5e0xxeKC0/J887Prb41F9Q/Z/LfPELp/m/205A7DNbli/WL/CC/QIAo+oytd7vwKeTg9hod94bMY3n1XEyMwqHmc1xsJfZskC1mzSaS8ZkzqETRfOtT4LgjyyjcFI4joaEqgnQNHCw1sbM5qpuUcnYzBYmCtLzJhZwveYTYO0fJyXXQq1RyEHk/pdySCnWYv/UozR7G5DyryQMbmVfnaasihUyRUlpTdTRULaIgXYdIOACsNOY0A5ObRI9bVr0KEsBGQRVEqaPNK+7wKD0v6+xtt/a/lzVPZvjI7c8fsMF2zekibRa/cnWfCRigjz/QR60+3/P8WNDsFpQijXWjEsQrpeSjcLGHtNqQ8CwD732WiXqIME9wmxVjPadT7NTF+zXNrbAqsWYRk8JtB6sxgqVzUqpNVL7kFNVMYfdQ7oOhUxq0CV9ilDhFp40lp0TbYi9rbW1DsrqB6FsQUmfgffT+kowUCYmHQbDmnLD4EXrEWNDXSWvL/C3kzI7TLVhat0cPpVjKrsf12JtG39v+Aq3bwMVKtyFEAt9IafYnYo9Bh3vf4FEWsnUz5NSC4paRFj9QAmuViW7+26eP7M1Ru7uYI8q4G5CUsoH3FH527T+Ds+gogCRtGlaPP4oOtjWFzEYhJXxeQsBbi5k6BS+/ZWR3hde0MkgfKFNXZAdhMX8PRlg7uC0fzRNK3lk0HEekrhkVqriF61tJv2CDof/RlTJ27LboHWt7EB7UQXcXdvZcTzPU0E1eYnt7+/z4IMPYozhG77hG/iX//Jf8prXvGbp96uqoqoSZ2t313FdpE0iWUHR07WTSNUpbduCTWESKTJntpBr9IRb3IRw1e1QKTeijhnwHC5lyLK5ygXbsUouitY+rTUYUZDC3aDyGMu1bt9xlgjB/OJqcNhmN2M+58gvUTmfzxvGjfp/+AXYNplgRTtwTWMINl/JFCJxd0LlOIepze2eHCq0OIveVbcNgV3kvWXKqoUcRAfAjbBTNRRJQbyUQxSly5CGrDfJwQDHE3eBWtYGRHQWWMqYMW6osAucNxkDK3ccoYI+kMc4Zo5xcuiu+/mh5d7hlHOn9wDoPdCHe05jT5xwG7rZYHs0Qj7xRfiUU6gc/fE2n//CWf7k2nE+5YACfHZ6hWft4+xOnwWgblKwnVvivjc0uo7Boj8riY9o9Rxa4SDhkcXB6Hzw2n0nwSH77fssy1SHKpoVppVAC/dSuO4hSRK/71/bjHs/F/AjsnEuRnfkbXZyxyLML+FZKWSfQg3pSZcE6YsNemINZY8+426tuHU42yor/zVvEoG0Pthl4ANq91lBkdboiArzDnDgfdt2hdGJf+a9nEMAjN9HEi4NI7BCtn7jZdAAYoswGdevdhsjQ3sdS2i4JQH3osbbiyxomuSvW9tpr8dCpIRgoYYM2GDDzwfrBWwWhrWeF55alzDoYcvO/F/5BOLeBL1j2Jm4tW+nVq7CS9I3iSi7hYe4CEKe1Oe7wmbhN0r2YiWzJ1wxJFa4/ckISt8WqA3MfMBdmdTPGlzypm8HrPlz4uDklr4XTesNNcUmCBmEJy1il1jhnhmnCD7xFe6pNkyNZuITP1OxT63HERFnPTIhV+wuKChDVxTaFW4tEgndeH8gtKYK79fCQ5P9a2kEOQCzpxQne4qtqfNF9dYOamcXe+/c6b0109rnt3013vo/+VqcoVCcOJhJ50CWSNOucMc2fh2Ng8ipFjXY5QUvRej1nSMou35shvaQBcYwt1Z2LbUdrFsoV9NBu0jh+NzhPpWyiG3Q/Df8OVHZNszCe/7I7DZoXeH3K1tsL9qA2xjDT/3UT/HX/tpf47Wvfe3S7z388MP8yq/8Co888gg7Ozv863/9r3nLW97CZz7zGe67776Fv3nsscf4wAc+MPd+Ixq0mKKpYwbdZS3HGbQ49FdcPAFIWfhegZlgiICgopwgJioJrwjjsqFhgZQDigyy6iA2DUHYpQuTwXohiPBQR75KeO0gsWF/4TupmguHUg2P1v3MeAcgVLrtfEBkNTZzLgQBKtfd9iLIMDHYDpXGQg1Rvp9nONZcbEvOZTZNK3ESoP151bHVgssL3w1KV40eFqdZkydbVcHQ2xMc/KvL1XEt5Coqvef3WUcBEYBSrFGJfgywgzp+mOwlilIMUrVGGkQWJBWiT19sMMAFUooSRRGhgyftJncPBzyw4W6I+4cz7ju2x+aDvrrz8vPYhx7AnjvXvQhtm7isvRjtw94+4qqPpp+6QPPZq1z5tHPUPn3xfv5sZ8DjW4a/qC4D8Iz9c3YmT0f1dmxDogO4UbvrExY1dx8llXdw9IblKIwWBHNZkLrMFt7n7eA1v9+NaaKgyzLhlZDcSRSEbt/cGmtTj9YbwkrDcWTojvxZULJHIfutZyFXAS5EP1ZYAEox8JWWO7CyHp7ZsuinK/satz4lBT5RZCV924/pMOnDlNo2VJ21rAUZJ0vgWd+mJ84LYf4MFC9HPYroMtkHI7EyPctdy4OKYLG/sl9jdETkNKT1ETffzAXLB89RcS44sEqez1mlW7sKj6RSZzlj7uL8ujuv967BvcMpJ085vrE8PYT1taRODjAaxXnePLfFzrM9nh25RO7FqWSrqhlLr8itvV8S0EIekdNOUmZzqO+AMgclJvOhZJ9+scmackKem5zjmN1goAJM2QXY2m+itjCqDbu1uw57esZYTOLa2rcDjtl1jpXuGI+VsFloNvruOvU2DWpTxbKtahqEtMx8G7C9pmB7JtieuVlqe9awYybsyS13usxVps127KYBUBS92A2izxoDM6QvAo9eUUoRK/ThHrckDncQQw3LgLSKSkypfTFoaidgYatyfuKoWUfS40zPIREe+lKPs3/xFLz61RyJjSvMjNgXvLaCmWkXDBRFpCopUYLNeew9rDKOhy0TstDYGmtCRbmmMSA9rU4I6USLQxLC++FR1BBDIU183mIbrs69lxdWpJov1HQDYJPRwSZiJ/rSYRwFg/j7kmGil8kBRiYOd6EcsqwFgfcFOHsHA+8VpPzO2K0TLr/K7Z3vfCePP/74DdXq3vzmN/NDP/RDvOENb+BbvuVb+PVf/3XOnj3Lf/yP/3Hpb9773veys7MT/zz77LNHPfyVrWxlK/uaM6eOLG7pz82qlH/sYx/ju77ru7jnnnsQQvCbv/mb8bO6rvmZn/kZXve617G+vs4999zDD/3QD3HhwoUDt/n+978fIUTrz6te9apbORUruwUTQqCERAlXE+xTUvrKYE+UlEJRUvjUZqpOpTY7N4vayJPFi3nEc2PsuF2hV7i12vV79mgWl3DT7UD5htu/BZcuBhUloYNCoXx7RTWkLzbZEH02SsFGKThWGDZ7Nb11TW9dI4al68FtjPvTNIjJGPZGDk6+M2N/1Ge3LtitC0aNZaod9Fln3HdE4agsvquDzP50ObsR9ZMhBlOHiiIWHPpig77YYN2u0xcKJQXKB8WNscz8n0ljmDSGsWkYm4YJFbWYRXRCgaIUkoESDJSgJ13rL6XcHyRQSEQhEEUiiGsj0F4ZvDJQaUulLTNjqMSMWlQu8W6qFuUrcrj9f+6uVV5v21W2hVgs/LbMnGK2ofH/TcSIsdhjV+6wK3fY0RXbM8v1meL6TLG9O8Rc2EFcuoTwvY9vyZrG/fEVbm0F2gpq04b0h+dPCuX7Z6f3wp/CoxbCHynKuWfW9eV2fxpTxcJFOL8Hdbe5keVdUKQofaK6jK8XPduahtqOqa2jDczshMZOY7FFiqRELmVJ6KQifGLBiS6273/rt2y4M9DyW12DV1D0g+1FWeF+17vexW//9m/zsY99bGmVepmVZcnXf/3Xtxqxd63f79Pvz/MXx2IHCy0YcG3G1HrU4skaO8sqcirCnILFllaZtdoaUFLI9qRhbWp9VCrX+qnN/13GUUlZPUsXrhO4M/2WeBf4jJ4J2b3GQ+E67ZSWWqhkB+7OfMuthZXFLq/7gD20j43YTitCttXA9UDMONSFGMQqXiH6FLaMGe7aTqmZUBmn3Nkwdi3BAnXOIwyk7588KI9zrLiHM/YBAE5znE1RRj2Z2lj2Tc3YBkjZhEpMqYSrGlR2n8rsM212fK9pZz2R2kcNOcamOc6Q0EfbOfh5qU8bw9hne0diRCOqeIynzEnuKtY5O3TX9URPMFA2CvqUEtaV5a6Bu64PbYw4c9eI8iWuIs6D92DvPcTz5asK4vIVeOIZ9Odd9Xr/s5ovPXuaT28dA+Azu5Iv7Mx4wj7NC/pzAOxNL9DonXhvuecgiQyGezXcbfNw88SWdN+fb+PVhV+3+76H7Ha7snVYc4tjE9vHtR3iNnQ0/nvOce9W5zuIkMOYyIUZey2Nh1IOUbIfqymq4zjITiCiqTFC0xWE/Mtmo9GI17/+9fzYj/0Y3/u939v6bDwe88lPfpJ/8k/+Ca9//evZ2tri3e9+N3/7b/9tPv7xjx+43de85jX87u/+bnxdFC/KZfar0oJ7DiCFzGrPWQX1UKvHYj5zolYFdImDQkdlcLEcZtreWkIjWUyijEWhzeUV8sON92bMHVNY34OgY6CQrNlNNouSY6U7Z8dLzbF+RRGovT3vN9SBTgXs7cOOWyv1lo6twABGNVRWY0QQs3OIG+2reA6yW5L0VhyiL0LIFwjP5rQdKUsKrzMxsO4YBnZATynKDA1QmwQpnzSGkc7WYzmhZpZ8ISspfVUZoC9TH/JoxmEJ3L8dLFf7AMRVcwWVL6lXVlOLGTOvSq5tJxj0qIsc6eiU1RNaQ3Lj1Uj4cN39pq1PEOiOAjeGnuixOxuy7ftyX58MePC5HXpPPe1+d6s6R4F+2WiMBu2ReNqm8x/Gl689EkVDQqoJ4Sly2VoFYEUmjBZg9R4dJqSDpZtM86X7fHYV8C3zCJTcXKCd2pBKnJDpIjO2dhXpqJtTO7qW8jS+SDUJVf2idS8rrwchMmRLTNCJ5TSMlX112ovKE7DW8pM/+ZP8xm/8Bh/96Ed56KGHbnobWms+/elP8zf/5t+86d/uNBcixCU8gMY4eJjOeky24K4YrC0yVdQGI1MvYCXaQiRRXEEm+HO3Z6MSfZd5yxf1TMV4sVK6ypBpnQVYDbzYUxqLtRodlaEDty18HjhuizPzc2rkiyDkwit+Zefp5nEuOe/cTWQqJhFKVKYOqUTpVW09bNb23QIVJmqMT544J6LWk5YgWqGG9ItNjql7ALjbvIQHimPcs+72f7YPA+WgawB7tWB7VrJVuQB9t15nR1fsCRdc70jDTOyjREHfw9J7ap2TxYPcqx8E4J7eGmcGik3vCLmelukcaSuYGcte7ZyO/fo0U20Z+Ij6rqHkFZuGrzvmjuneY3usrc3iaZ5MelR1gfLJnc2NKYO7DOKM2549f9fhLkPgdo8mmC9e4drH3f4/c+Fu/mxnjb/Ydjv8wmiHp+XnuT77MtPZNQAaveeD6M4CeWgHU7pgM9AfhKDrJ9Hq0xuC7QSvbO+vWBoQwyIH2WWi02VRdN2kZe155o7jkNZ9fkIv97wXuZK97FkovHBLO4kRTNO05izjIfp6iZNxO2atwNxGWzCtddTUCLYsQfr2t7+dt7/97Qu3dfz4cT784Q+33vvFX/xF3vSmN/HMM8/wwAMPLB1HURRHIsK5sps3ATEoAYGwNr5WQmAtzBYIDnUFt9ILt4YkTYbSJ7i7quGLK+NzCskd2pDr+Z0S9IFyltYWM782zpmc+3zhb2JQYlqvo9Bb0Gzwle2BcInQDbPBWl+xWbptHi8bhoMZchgys766PfXQ8FmN2NmFLQePnm0LdmY99pqgjm2pfcAARIhwEI4VMlWqwznLz7mbf/NKZRDXcvNXKYeUco2+2GBoXHK6Lwr6MrV3Mj7YCwHwftOwb6sIcx+LPQyavl3zZ1hSSIHvoDXX0spqsI1F+JZXtgFjRIJPGxGr6QAzW1OJSYR9h2seqAxCzOuhhKAbDlfVDvN5oJqFe68mwZ1rO44UtF1ZsqdPsj1z5/3KdMD+cwWnnnSoHvHgBew999x4x10LavWNceclNH7prMPKFnOFJkgwbFfUKOmJYfTTDE7/JDxTGnz116MerONw60h/dJB0IZMe0Y2sWxHPA3Ql+lhMFGHTOLG7KJomDNbUaH+dGzNDiYLGJ5dKhi7JkCmyB+0UwFXyY9vAFLRrUWBEOs6jtltdg1d2sL2oAu53vvOd/Nf/+l/57//9v7O5ucklD4M5fvw4Q98j8od+6Ie49957eeyxxwD4Z//sn/FX/+pf5eUvfznb29v8/M//PE8//TT/4B/8g5ve/3h2NTrjuRPtBI+8w2qblmKiQLVgUcZn42zgZ9uQ7UuVvEIorNBYGwLcIQYTvxOCyWiHzpInExlPViBTls2PRZMmK5flK6KAzKJgO1ax43vzlcZs5xFWRjhqK7AiP28uoFgumkZ70V6g9mgwMaD2G0UE0RxhMFZT46rD4+Ya02abuhnHrxdqwFrvDAAnivu5Vz/IS/ou7f+KY5KHN2seXHfB7PGB2+7+zE2mVyYDXqhKrlRuot2a9dmqemxVboG/Xp9kn/upyoq+532fluvct9bjpb6y8PKNGfev73Fy6MbY63nulueNTauS3arH9cr9/kpVMtKSnncK7htOeM35q5x71J1X9fp74dQJmHixm+eu0Hxxi9llEy+DHEpuufXX3ojpUzO++MLdAPzf7TU+vWX4/NQF18+Iz7IzfYaq3sYERX2baQtAdBLb6u+ZEFx4v6siGp3NhJIIn7cr2v7rIndiO6I9NnfQuyJ0hrnkUhdm1eFhzj8HeV0uBNDZsSD9vep/L+ycn5IfUxBIE1mAnZsNc04ncRBbq3itglwJ1ph6ToH/KMzeJof7U5/6FMePH2+9/773vY/3v//9tz22nZ0dhBCcCCKBS+yJJ57gnnvuYTAY8OY3v5nHHnvswAB9ZUdnlraEoczUnCUC7Z+1INSkO6JGwXIVYifc1H52DrpFD9PCJ4k8ub694VlKsNcgeHU4CHk3ED9QsHQuyFAxCQcO/dWTSdtjTfRZLwWbhTvqzbJmMGyQa/4YpQStESPPP9Yaru9grvjgdbfHtm8FBlBpTZ0h7hQlpRok3queenhtEBxNfFt3ztqaFgLH2w5VRyX79MSQvl1j6NfOgVIUUsRAVVsX/I516oO9L/fZF9sA1HbsIMxZ68NCCMoYcLvktvZ9tc0M7NQQmtOYGppGxmpubV1FvfZIpxkNtai8BoAX0838xlyBPR5kxwwpeG21MPNK5kZoCptEUwuKluCWRTOzk6znd8muHcciwOWq4PK1DTY/53jm5fkvOMXym1Qtj2r1WmO1iOckpUuCKnnZWlsb0X42hVAUok8p1mLArW1NI6qkP2JkKyHuksWpwh23Ff3qcq741PUpbS6y6C0v1BhMTB6FCnr6vcbYhlqHgluFEQWF52wbVUftHLfdoiWsK0URr18+Jm0br0J/Z1BmKw73nbEXVcD9S7/0SwC89a1vbb3/q7/6q/zIj/wIAM888wwyCxi2trb4iZ/4CS5dusTJkyf5xm/8Rv7wD/+QVx+VUMTKVrayla3sUGa5DR6YFbzhDa/nox/9aOvtRdXtm7XpdMrP/MzP8I53vINjx44t/d6jjz7KBz/4QR5++GEuXrzIBz7wAb75m7+Zxx9/nM0j7me7snkLTYBgvneA8IrlrV7FwvW7bzv2bQGxnI7hAm8DSxT6bw594/Evto6iqi45nwQ4bwwZbSfn5qrY+XtzdJYsYS6TEFXhq8Nr1t2v66pgvYB15ba5Xjb0NhpE/lxNZ+Crw4yncHmb5qqrbO7snWCnVux7eNdYO/Z23ou4kGsY2U7gpaDGtTUN6cDA4U7Hl4oCYXulWKNvBlHVuxQytr8CF3BPtWbfo3T2xYh9sc3U7vrPaxdMiVDhFl4fAD8mvx1fCdQziZmYeIqbkaCuFZUPyBsjmGmYhYDbw7ljhdsLYeaWVy9tvGt9wsg6EmB6baNwXICOB5Re6UUEXQU57UPTeIE+L/Ar9tmXe+zWJwC4XCku7G9w5kl3XU+dfg65PsS88RvdBg5LlfHtwDAWm/G23TGIqNzftwNqIalJXVny4FkiUbEnvLsutahoqFIfa6Fc0B7pB7rVCtVvCBuSEtQ3rBLnyR3ZoV063raOXUbmhFB9Mjuc40ZPkbKgCe3fVENBKiooUaJlEV8Xsh/pFAnhof1x6TvWHmzFxb4z9qIKuO0h0jJdZ+zf/bt/x7/7d//uSPZf1TsI0c5QuhdNWjh9S6KkyGld3j1Uj0yNkTVN4EeLwDlJC7yrZMu5rGeb7yPjRCKzhTX8nasnL1RutobEl3aTRlCP1KSWHK39h+0t42gf0gQKIXvRyXETXt2GAsdq9TJubYACJg53fqwu09jJemYweiEU2lRRNXTW7NHocfy8X57g5OAhXmJcYuYV/eM8fFzw+uMuk/mau65y9lUTygccT5Z+gR3N0Jfcgj5+XrB9bY3tscsm78xcFSDAuXaaIZPGZUEH/hBP9zQPbezzsnPX3evX1BSvPQv3v9R9YX3NLW773vG5tou9sE3z3BUARs8KdneG8XhPnJ6w+Y0DxLe8AQDz2nYrPHHpEsXmn8GfXQSguaIxE4O97qr24sLFGyuUg4MbAjxzhStPb/C5XbdYPrFr+dJkl+flEwDsVReZ1bseDeLvVdmpxlrjHZNsAW21lEk0BQhUh+W2qLod9gMsrFR1W+zlVe1F93pw/+M+55zp9pjdlzqOdD5m0X7+Fy+N2Xzhn5VW5h0TaS/WGrRIkPGQQW/3/W7iOJOK+h1Y7O2tL/YWUEodGBDfitV1zQ/8wA9grY1J3WWWQ9QfeeQRHn30UR588EF+7dd+jR//8R8/0nG9mOyxxx7j13/91/nc5z7HcDjkLW95C//qX/0rHn744ZvajrUWE3tSu78Cp9v6YFujo1JzqHDnVT9I64WSPYxtItxZysJXsTyaK+y4o1rcrXJ1H9J8f8Y2GaR8lpTJlx3jYXibc4F3Fw2W+QMkoTFwLbSGHGPDurVrvVAu4PYtsIZlTTEA0cvmxskUKg8d3hlhLu4yfcFt/9pkwLZvBQYwMxotUi/iQgzoyw2sardSUxk6rW0Gt94nWLCUqbWSawHWp2f7lAH666vbIdhrjGVqdNQ32Zc7TMwWMzvx50djZT/yzMEF2SFol8JgERFNVk8lcj9ds9lEMalLpt6Pq4zTbam83zQTM2qbutfElo8d7n5qL6VpMOjgv1inT5DamoVVRsYA22AobJGqp3h9l+xezOmPQoyZqF22PRf/6nTIM+M+Jy+fAKD/+FU21r6MHHg499e/gUOZ9mt1o52wVjYAJYnK65UtyVXWjRcRzP3YAhdsh2MUVjJj3ErOaLKAOyJMk78gkHEfigJj6taa3raOGn70ofP2fml7iwJvbZtIv9JmirUFjQprr44JIwjo1D6pF7mrfjdZOzFjGoRUPllwpyrcq4D7TtiLKuD+f23WTMiI0O694IB3Jsa530ZRBQc/CW1FjGhaENDQtzNXLgxtRuQSBz1+R6SHWoomTt6CNgzHfT+D7UZEcZq0um2L2vtyk1CKH7qTmYfEdhaXOEmJAin6EcaHMGiP6sn7JebnxS2k7QxxCxYvpA8yssBi7pgz1VqhWu1ZrDX0imNsDlxTyvvFa3l17zyPnHLf//oTE15372VOfaM7aPUND2Bf8RDWO/+iqpCXXkA+7wTDjl3aZnO34j7fq9TODGZkCPpo9VjSzCRGS6SvLJQDw+CsoXzYQWbF17/2xove1hbls88BcOKp5zn+3BZmx3PtNvuIh+/GPPSShT+1588jTn4JIV3AXe8LzI4A45IGvfJJpJSYR1534BDkH/4x4PpsP371AT6/587Zl0cTLqnnGNcOUq5NheufOmxBOgMtA3Bc4hu0twmKwQCpb/uCoBac4EorkbP4GZrvjZu1ITsg4Hfb7LTnWryDNu+y4xi1hOIW8EK71v7Ogqx71p5MU5Fn8UNSI937dTzO9pCPHndmrMDcasB9B2BwIdh++umn+f3f//2bDuZPnDjBK1/5ygNFOFcGf/AHf8A73/lO3vjGN9I0DT/7sz/Lt3/7t/PZz36W9fX1Q2+n9q4puGAkAEfBrR/auv7KoYo2s+PUPon5tUgIp2iuMpEk6wlc7g3pb7xuMrttsS2hDx9CQBASW2l+W6BMzqJ545AJ7CXzWZ6sDvoOhRfj7Pnq9pqfb9ZLyXoBGz7gHvRr5IBEJK4b2Ju4vwF7bUR9oWL7mrtu16o+2zPYq92YJ7ZBiybOW6XtY9BomfRSnLhUCujnk5ztY1Ei6a8UYkBp+07VW+R3QJojKmMY24p96dpNju0WU7MbA2AhJMqWMSmgcIJpAVIucD5H4GhX07YrPRn3GM1Kpr7CPdWux3cdAm45oTFV4hZ3fJFwnDHpKd05a/y9XVuDQsSAu4kBt4iQ8tJzvsNrACNsKox4XZ8I5TeSqdlhB5dQvzLt8/xEsunFNQfPNTw43GJt/Sm3r+EAc5gODI1PWnQq3IWAUgr6IlS4e1gMFc4nMtS+8u853LJAiZLS9iLNznHr+0mPRBYYk/Voj3pFyTc0VrYg5nN+YHe9zNZGjOz4jCpsxP82tSBbtH9rKww6XVfqVpU6VPFzXz22FIvJlgZhpW8heAdoXXDLa7CzVbC+zFYB91fCDuIr04ZINXramgSDUESw0G87r8YG8a+uwFpc1EmTFiQIWcqYheA3TMQdPpFYsBhkk1S3J2HaUcabJXMaQqAc+bbhr1yYJvXINsYlHWwrIC68kErol9rZ9SLOtsm48r5ilx+DlL3oWBWiT6kGMXM6kMc4Kx7ilYXjH3/9KcWjp8c88hKvE/CmHvLrX4Z91Svc9s+cbZ8vY2AyQYx8f8hhH9HvwXEH27PDAUpKeoHvNJ7AaOKq1ddcFG53ptjGINa8qEbZvt4L7eRJzMmTbp/n70I8/Szqgqt4U9VQKEQI+hc5trMGvefunf3tAVVVUI1dILY52aJ/5VPILzgVU+4+A2dPYT3UUOztw+e/zPgj7hx94jP38MmtPl/Ycb9/Xlxk31yOC5SS/cXOqjUtgS7T8TWFKDtTfM6rbqMx5p5Da9vrg7UZZ9pvi3Tf2VhdSSiOhc92K/EmlwsX+X0eWLVa4MTP8cpvAGOd46VhYu/S8Bws7fMdEoadY7ojZgX2VgVbjjgrH4LtJ554go985COcPn36prexv7/Pk08+yQ/+4A8e6dhebPY7v/M7rdcf/OAHOXfuHJ/4xCf463/9r899v6oqqioFykEob0ZD5deE0Ogr1XhdoFKJipmvbIa2QV0L874UDQiygNslYoXpcryz1ws4oAki7ipaOYdbZ5DXeTHTW7GQdEzWRoOFY0xBSpEpPw/YYN0O2fA9p9cUbBSWga9A93oaUWb9qGYNTGvMjuepXpqy/3zB5V23nlyuCnZmMPKBV8WMRjSxQBC6ZoTqoJWaxnNxo81N2x0Fbw81BujZIaXtoVAtWoE2UHtfxFW3x4xx/OSJ3mKmR5FTXcg+yCQ4ViAppYjK5EU4dF/hnkx7GCMjp3u/6rFXl+w3IeAWzLSl8kKztZ26gMnvL/dN0jHqRH2gdv/5OVniEpNh3QjJT2ElZUwzSYrO2qUXBEM5urIy++yqbQCuVZtcHA8YekXtvjxG/6mG+zYdwq63/hSi38feQJw4crgbgzXptlESelIwUIHnXlBnwmmBbpG4+orS9iltjzLoFwmXsCl8d5haTJA02BgIN+2A2R9vLBZJf+4XJHHid0MSDJfAX4TuzEXbuhZg7eHfkBAN2jYt/8MF2HXkbcvW9Usw+cThPvqAG8utr8ErO9BWAffKVrayla3sa8729/dbleennnqKT33qU5w6dYq7776b7/u+7+OTn/wkv/3bv43WOopwnjp1ip5X3n/b297G93zP9/Cud70LgJ/+6Z/mu77ru3jwwQe5cOEC73vf+1BK8Y53vOMrf4B/iW1nx1UeT506tfDzxx57jA984ANz79diFoOa0Gm7tAlSW1G32jHVZoyxzXxCK7aYcj2gg5CREJLGkCGnSh/yLFY9DslzEzqKYJA2Oc8O7lpnAflNBttRBPLw/cMDkqsFKZcFPeHoRkO7zprosVa4ba4VgqHSMeBWhecqN37Mkxo7rtGXXeJi9Kzg8rVNLkzc9q5Wku2ZZeyDjJmoHNzZu5+FLZBC0ohQ9XPomyDa2IVZ58cR/layHwP30LM6D7aNP1ez2JarYSz3mHq0VtXs0ehJ3KbBVRlD4NMTTnSt7y+vEhZjkwr5pC6ZaUUdAu7aUcRG/vVEu97jM0/dqZmgTZUlkrvX3cRKP0Bjpx6G7pNJVmCEjIF24/nd+XGrTstZbYUTC1sSSzlqw4SxckmI65xgMFH0YsBdsl4cY+NJdwynT15BrQ/i7+358zActjdaVTDz11GbFlS5FI4uN/TS77UpmOgctdgW5gz9yEtbRt63sTZ2mAFXKDGyztpw+nPZ0jQwrcJLl8Ixn/TXqUItSl9sulU6lXHUliwAdyrnPoFg3f2bkkkZyiMkRmyDNSYKLK7sL4+tAu6jNCFTFeimstQJomoAq5tWBh3ai0vq+exFQmTPVQf9d1W2uEOYuOrEE7Im8rbAVbCFle0kcuCm4jOjB/TYtlYiMhiMQIIoU/UY46t0yUkJv3Ob84tOpgQbHJ107MFJaKvFZqypzjlV5FW4wOMxNofJprEICkq1zqBwcO11dZoNznDauKrW+XKDVxwrePS0y+I/+pJnOf03Bog3fr3b/sOvxHQXG4jlWPmFL8BTz8GOVznfHMI95zCvfKV7LRPsMTdx6RLis19wn73wDNMnK/i8q84Mr42Q/R7mFa+Y3+8Cs+fOgTGIUBm6cA2u7iBCn81jx9tCKFUFo0mEuY8nDiZX6wBxEvS3a+Rnrvhzebm1v9lEcX1rnc9vPQjAJ7f7/Pn1GV+yrs3Itn2exiTBk36ROPtxzGiMaaiz+9+IHuHKBzhkt6oUFiJjZhhbYeM9Nu+4teFkFivUnE+yzOlzvxFZhbpbiW63GXPWVu1fViFfCj8P41mMWp8bQxp3BxbfOqa20nuLi76gui2Ect85Yhj37aqU34x9/OMf51u/9Vvj6/e85z0A/PAP/zDvf//7+a3f+i0A3vCGN7R+95GPfCQKcz755JNcvXo1fvbcc8/xjne8g2vXrnH27Fm+6Zu+iT/+4z/m7Nk24mVly80Yw0/91E/x1/7aX+O1r33twu+8973vjdcLXIX7/vvvpxLTtEZY6TiaNsFtKzFjRmrHpK1rwxVVjueqwKXrhZt9roRJ1WHhOunm3TAEeVsgEBlizfrv5xXvHC22bH6Zs1t4SPL1OadbuRaBqUo4MEOGRcG6bze5UVjWlGHgIeWqNAgpsD7gZqbRWzMmF933r1zZ5Pn9dS5M3Hx+pYLdmWbf86NncobFxOqxokBYSR8HaW+oaMS09UAvCrYT79W1+yz9+Humh7KFxx54CLYx7vp73YmpmDJln5lx8OlGT9BmlpTRkY5bbt02+1IxUBBo66HSHbR2RnWBsYKZcV/YqQu2Zopd7zZNGsvUNFTC+Q+NnTpl8haNp6twnUHKaWioqILWhoXCZv5NDikPomlCobK1ab6XRfvcWgy1njD2Fe4tdZWyKSnHDoXXk4r1YsCJa85HWnviKuvrlxC+ZC3qGnvmNHbDi0NKCeNx6sM90xjtVkuAQlr6SsaAe6othc6PySkuJLRJ6XXjC0qRYNYFRbx3pZh4uuXyQDSvcDuU5CJUSaKiWZvoVNZ36+gmxiJipVPjXtyxwLTOudNxSKgEQ0KLhr9N9hvjIeVODO5Oiabdxm+PbhgvOlsF3EdqEuLk1uF0HmTWYEnB8EEWoGEOMu2qLI3stVRG8/7bYZshk5bvY64VQlRebTvndJ313BnHOylCEm4nt60UzIZeprlTAkQOed4PNP+7bWlxBeckWAxGZxOrNUs5ayHYju3ZPIReySCCdpyN3jlOivsBOG/u5q7ekPs23Dl5+Yblr5ze4jV/xQWXvbe/EvOWR7G9+X6Z8bw8/xzi8c+7vX36eaZfTkmLwSsGyHOnuGGLLd3AVVftGT0+4y++dBf7tTuvL/3yNg/KT8AZ15oMDx0/yOz6OmLos9IeBii94ySHA0ymzi9G+9CYeEoLZRiWDWsDF7yWPY3Rkt0dd99d3l3nWtVnp3bndK+RXJ9Jnvc5hi/vVXyJ57hmvwxApXcdTMy3yAgLq0VnfTM1UpXREWq8gm/IMEvpHeK8Rzw6CsFoU9HoKSaIJJkJUEMUVelwurEehLpchdjtOyPzQRRCW7TNtpn4PC171kPLu3l14QQpc/sJpjx4NjkJYaxxTK3xdZ//EGwvcToi5SOHxae57ijtdvhjN+skvPWtb+UgHvphOOpf/vKXW68/9KEP3dwgVjZn73znO3n88cf53//7fy/9zrLe6pWYpKBZuGqRDirNFFRiSsWY2vgKt566Ocbf+5ELGtZQ4arcSoQKt+NopjZEBRLmEsHBnLp2g/QQWG0blGivzQstrIVzVK7FAqRhzjnMkxOC7QQpLylkn571FW56USgNYL2wDJShUIFLC9ZYbOXnoammvmrZvuYC5ov76zw36XNp6o7h2tSwo2dMpYfxU7kqXuRwu9ZK2vszSpQIO1/Za5+rTJNGutZXgasc+lUbbPQxGuMk6qd+XRnJEZXdZ9Y4gVEnZmUIPoyUBSVDBuGcFNIF3L6lZiktUtjE4baSmZHsNyHgVmzVMgbce7VhYhsq6e67Rlex57q7fp1jFO3AsLFTKjGOsHmJxFhFrtsTgu0QjJYinQd33g9OkAbq1qxxVf89cRklC0ov5DqYrHGslJzpuet88uI65bF9eoMrYciIpoET3sca9GE0im1G7cyJpoVkxUBa1gqLv42YKNGqyOf9t8G3j7M9CiSFv9M1ykHMCfz9Po2oEGKeJpK2a1r6Qe0Wc764EyHl4TfhHx0RNdtek5dZm27ZSapk4+kqprfEFfMxY9Cmuo1K+8F2exzulS2zVcB9J2zhInlYMwf+Pj3sMsLUtClRsoeWXm2yU+EydjlHpb3N/AvygHGYlncb+gDnQjNg4uQZMrcic0bybK6rdM8HOd0sYrtdi+osHvOZRcjUP0Mv9KxPs5R9CuUWj2F5ihPiXu4x9wBw73DI/euKl2647T5yYpdXveYKvbe6aq1585tgQbAtLrsqr3jyKfj8c0z/7zYAl57c4OroJBt9d40elNsMX7nlFiSALn+6qhDXriGeeR7zvAu4r19e59nRkO3anaee3OTe5y9RXHecKtsNuLe2EJMJNvQlXl+Hft/9AZACO6oxxpWw5eVrcPdWDNxt2UMcX6d33u3vdLWPENA74yFrJ0vMRKO+6Bypy7vrXJz2+NK++/7VynJ92nC1dgvuRfk818zTTOrrcYilSpC0YF1REqdXEFRI+75vfbuXfV69sUh80pwgMBjEcKTpoc00Jl6E31ZCYxxmoVEJyBLuwfCzRc+SNa3PXWW4PSe4SriIx0Onat86L10YmZAeZRKejQRPa/2+U+FOAXxnzMKDElswvMUOw1GbtbfRFmwl1vKX3t71rnfx27/923zsYx/jvvvuu+nfz+x+FAMUSEoxiPe9omDGxMF5W+2YTIxhQ8vQXBnZVbWTYKEVfZRfa7WcdZ69sKYlkTRhJSZW3YsWD7RbXUuCo4dDyFnsAoHFxZb8Aodui3olskcp1hh6VfKBLFgrRCvgHipDKbP5o7bYsdeI2TOMt0qu7rm19MLEiW29MHHfv17V7DGiwq0TRhiUTUmNwgc5tc00aWgHXt1AJO+ykkRkvep5gBtjo5gYVtNgmQSxPDFhpvdpjBfoClBtf50KucaADTZ85XS9cMJxg1bA7WDaABMtGTWKHb82b9eCrRlsV+77o8YpoteeyhCQFUlMax515ZCFqT97o5L2gONnJwVyZRXSw8kDPksJl4aNp8BapyewLLizBkMTO7NIWbCrJMprCA2m5zneG3Ch716f3ttg40LF8XXnP5RKgpQIr4pmhwOHpvO6NbbSWCMo/DnsS8t64fjtAL3ajT33X93anlpmFdbB5EU8Romy6flUuAR8c2DxZnECOny/7WcuQIvl1WZfoc4TJt3WgKq1li/ZXiaq2B1T8E+6iafmDgbcK5XyO2OrgHtlK1vZylb2VWH2q0ylfGVfGbPW8pM/+ZP8xm/8Bh/96Ed56AZCTMtsZkYYGarVpedPO6e0sKXr22umEQETxKoS6moxnzsl9JxzrzKkVQ5JD4nBAAUOn+nIvW1XNPN9AJ7apYgZAAFLhRlv0YJoapH13e6JNXrGvV4rFOtZwL2mDAOlUf68WgNmSoSUz7YFOztDXvCc7QvTgksTuDJ1x7plxozkiDqrOgpcoARECHQIlAPUPNGCmiguFsaPJZ1z6ZXkO+6sxeBbf1MDGh2r7JXda1eYraMJhIC7J4as2U3WlXu9XgqGyp0LgL4/F7UJAbcLtq/N3OsQbG/58u2OrhjL/dh2rPHt3w7i7Lcg5b5nd+0VvCUSI/r0soRv4c+nDK3LfFAae3X70M7E1rW6E+ibloBYJVz4XhYukbJlN7k+7XF14K7PC9M+J7fW6fuCgBzsoXoqqqKJzXXH397zAfdYY0yJ8vsfKMOakox9nWWgBKWU8fqHRHqo6pe276gCIjtGKyiyXuOF6KNsUvYmnIms+ANdREFqMYegRVELbXm7gpxJg6Fub7dDCwjdgRKdsltU8j21s0Sdpkb566pFoJrmvPEELb99gcXFtqpw3xlbBdx3woTMeu3KyFlxr22nmvX/Z+/fgy1JrvM+9JeZVbv2Puf0e6anZ3qeAAYkHgRAggRAkSIIEiYIijIJKWiKvpeCRQapUJghQ4gwLdgQadOIoE2FZViWggjLIT4cZlhS3BAoWTdAQVCQNCVcQAABEMBwgBlg3tPv7vPce9cjM+8fKzMrq/Y5PdMz3eAAOGviTO9XVWVlVWXmWutb37dPjaeKGd/wNp9w06rSZoO1w7osS5f21w8CY3KFcRTuuc4ntkPO4bkt6pXKsfpjRhsP9PGc9vu+l3QYZfyeo/Yth+06n2W3kSx8YdaoCqk3WtPHWffHOGJk4N4oNccmnmOltOlI1VAcVbA+izsYHqrr0F/9Kjz2lLTnySt0j++yc172d20xY68rmZhRJn4hEW9flqirV1HPSH0z17aD7kiHWgsR5TN7PLioWHTy2L7sjmuU334H7uUv3+fcQT/zLOzswukw4d1zD0wm+BNBVuzEBurKfMA2K/dasCNH4O4zmFdJxHv99h3UkSncE7S3Tx1H7+xx9HYhnrpre5tHdjbYDXqrz+41nPebXNbPALBjzzNvL9PZZejCkOmJ6m+JCKUnEYpwzkQiogwKlxZgdjTpJJjhOMJssno/PRnoYjpXo2JJx/Ne2Mb2jKPlAfIZZQBVgIcPItGjbHr4TS/7Va4s+pM0VzzGYH8KkSOJw/l40peM2ZBpncH3cozRKY72sWr+pju5nhceXT+oRvHQXvr2n//n/zm/8zu/w+/+7u9y5MiRRFB37NgxZvtxYxxgrVumZ7hThkJVaVyJRFz5mJE7dQBKy5iUFsaZow3ixOd1pZE7pR+fhjWhUYIvL5fxjKWF8oxaEWbuA9AnIzsYkTMsL/EZykaHEpxct3riZ8wCLHdWaGaFYqOQbdeMY6JtmvKcVdhFP14sdkquLaZcquUcL9dweWm52sk4v6t3adQiQxqUUneb1Ro7fA8JVzplDoFBrXM8t6hCIuczdrB65zI5Jzha1aYse+ejJFd+HQpKI/faVB9jw65zpJT9boT+mEaJTu1ReLrgHC6sYruTrDbAlaVns+nY7uSDHbXDnK3EHZAT5R1k+X3kXEena9qY4Q4IP50FauQcVu+HyGEQ9ectvZPoGHJ5ON8leHPbSWBkEUq+dvUpttsjXGtkTXOpLjm5t8bGFTnHYn1ONdtFT8I8VDfCTL4VkA0Lh7UZpNw4ZsYlcr6JCVn5WNsfmOeT3BtVYF0fnmMufVYgij0HKefsR4g2ND3gDxKHu8Srbt/toyOcAmy4UGLS63SLDG+8tyvISlLiNmQyYQPm8vCMuYytfUCedksc7heDMju069mhw30TzehpelB6+GcLyqTo1FCkBHoysX7CzgcJcSrbDN6pZCLKV7phMh3WoayaHxCfxUj6wbCb4f7HkNKhA5FPDvs52KvmRu3J2+nI68gT3AvwYWC1gaGxd8ZH/cow0BB/pwKMzuh1JsURKnMUEO3OwpsUDbZetDOjrMfWsqK+5Cmflnol/cQTuDNnkqSWevpZeOYiXNsNzVCYM1OOB5KUav0KzcKwdkLOefqGY/DA3fj1Ddl+ewv18CP4PxXn1O816NMb8PK7UPcJzH3jtTu87txlkWEBeNW37a/DvZAJjmfOw5UdVNRHPXlSHO4gWabuuozeW/b7m65C5N2dd6K70HcPtPjTt+PvumvwGx2I4U588U+YPWOTVMoVt8d5/VV2O1k8L5prtHY3u+4Gp7uB5FdcoMZ7x+gJhZlR6L5tzvWwN5HR6wb3vsrgkkZPRPImbq8neO+yGu+J1HhnZHrDKLV5fpNa+s2oNtvLwmwlQBMdcWIUXLTn5f0wACfnNzpGFhhQnlDWkQeuhvJEg2cxQVCHUf88+v5cWt/RsXCB5fdm2f4Vqs9/20P7+rRf//VfB0hkdNF+4zd+g//sP/vPnvd+6m6LNqtNnpj19CgVVETm5zQfRwf5gKyRD/wofQmL1AtH3on4m/TaOzrfDBwlpXSWCZusBrzonUelNc7rJNknbctkCAP8GhXXF6t3/TCwTdo2Z16viiOsGSEE3eAUp9zt3FYKfPpEpTlVeU5NZNw/PmmYlX2wejkvaZYFdajtvbyzxld31/nqnowfj+10PNlssqmFTLBVNQqdasQrZqy5daahZrsKDnfj83XPkIcjH5MLM0VnznGpZxSqSo4aEDSrxcEEIR1rVU3tBf5cu12ca1PmsSwmTItjHCllbrvdnuXOyRp3rsk+z8wcp6uWE6FPJtrSOJN0tq81hnNzeCbA7M83u1zV19jTwvjd+F2WdjvNWzLnXSe7Hep6XQYpV3a3/4GWoEwclwsK3GgEtN7TeZfq1heqZonUrgO0boFzPZmu3Pt1uqW8amk6mAdI+dbkPJfcEdb3joc+KNBqlmD1TWs4vdhluhXWSMdKcB57Te795SVNZ01KOpyYtGFNJ9tvl4Y1Y1jrpLRhzZzAmg2mXtZIG+4Ik5H0rUYQElGXu1UzauZDWLrSKSAR+9aPMtNRetfoCaWeZZK0sl+byiG18Aclp1cIie2oNCCVSyiRl4uJnbi+MdmY0fkeGm693Os2C+jF44xh8PvJmd0MezFz8KFd3w4d7pto08lJoH8YILJjC1MyBMdv8JCEISPpfK7Wbg7ZtTW4Bq9yimLZRx9FW9WfHkfNhyRJY8fYDWBsCsVKbaqk9gbbjOtYhlnqEXQpX9iMYD4OibLmpE7E1oZJOGpwDzL3quizhEQdTzv43mgZzKvyGJU5QqkFLmUocXjasNBZdI7tVnOlkUXEheWUMxfWmD0p8Clz5hzaWpIo9O4eFBruFgIzddtJOHaEImiPHr16DbZ2U4bcP/gy3MlM13cxh4ubNI/KhOw7mJ4G/y0vTw7y8zX92GPSa597hu5Sy2Qhk4s+c7tococabn/nGdTeHLZCHbkxsByRjVQV/swd8ntTQKwHzy2QsHkn0LoIozunH+fq8ivU7SYgKAM/QFo4rGPwWa95GVlI5T6xum+XdQ2dFWSAc4tBHZNSBq1naYI1TEI2pL8vBDYW+A/Cs9LZ6HwWzxE53q9maj8ESm+pzlIaCDFKnxyDKsDYhqSCOV8DPhITAt4yZEaXNiTY20pweuwsjxzoA34/VkYYvwdou4vjjQ/t0G7Yng9B3fMx57pBctfpdsD34L0dZqTDeKCy4FPuEMexICezgsx5VVKTnX4fs4cZ+mwQG8/5HIj7MmkPXjm8cysBrlWLAfvxOCVrgd6Gzra0WTKHlRJHZs0dYUNViS16ZlSAkcu2E+Mwuj+PpinwHvZCpnOrmbDVGnYDfnvRWRpVJ5kvhabya4nxe+IrKkqqVJsrdcaDRMM+Nat9nwukPzojhr5+W844BM1Vhw3IpVbVSfsa5PpoXVIwTfucFEdZR/hLjqo1NkqdYPUbZljHrpUXAFqAlC8tzC1st7I+2dRb7HCRuduUtrg6/cXj5/ZcAc6I5ush5i2Fqvo1k3IINYhUvEs/KFocbeiDSBjY+Vi3HmW3snXWSm1zjhJsaVTN3MrzJDXrms1A4npsMWV9s0GXco5l2+CdTyonzaLAWYUJNdwTbZkazUSHkgKlRXotSLFN/TqWjqmXNVrFJJGlueyhCrOT7CPT8d6vf1fndZf2ACTy4T4AZijMEB2aZ9AjsV1e8pAfT57tnvTV6AlDgtOhMx1JYZXqBvsaI2LGSalD+/qwQ4f70A7t0A7t0F4S9qJI0w5T3N/05nyX7oP9EFaCJjGpBttlpU/QO3rpMy2Q5iiLqX07KFcZk3eOoc2rx19FioxJorxyqaZz331kHkV09uNnOTxdrMwQRRGqW1DqtT5z6NeZFUVyuNcKz1SLgwlQaYuGgRzksjPstsHhbgv2rGIZ4hqttzjlKHxPZLXmN5j5CGEXZ7tMTgkrz25es+pxCQYPvQxqRBmMs9sRJh1y3KFNSzrq9D72QyTJK8yMqT7KupeA8roRWbTocE+NY6Jdqj8GIUxrw1i1dIq91rIbHPoddYVdeylltJ1rB4Fc+XcVzTi0/eDLMTlh8dhUj52gxyO6rdZb6pDlb6lFci04/bGOPEcBDpBTCbkYsq+0NKphGaTV5p1nt9OJKG6rmbCxMxOddmDadoCiCUXa9bLAOo0O0eeJsUydpgoFy5UJfyEQs+bW8cpRebnPSmXSfR7b6RAYfeQDELTI9Z/BwfMd0Jt5MEernt/A+54sMW5rVO82RUK/Mbo0jgMKHTgfQnBIN9JGnamqeJcCMZFXIJen06Mxanzf3Ap7UZDyw3n4QDt0uG+ibUzOiC6nb1MktbMLWreg7UJGbiBNRapFyjUx9eCBDtHu8Lw5Ql3JqPboevtwKsB20z7qfTN4+SA01AmGG2Mm7jP8st/9pC8spM9DJj29HycK3eorHwfOfjGhVDmA6gyjggVGz5hOJIK9Xt7GVB1joiR6OvEzSl8K0yYSdVdIGTVIJNtmGpFMCvyRDQikKsxmoDX+zjvD90N4tn8OEiBfTmBnyeJSf4yp8zec3Qbwf/AnADzz7ybM63Xu3dsEYO2uJ+HOO6U2G0QibGMd5uF+XCxR585DG/q0qiSDH/txbcSkDrC1BV/4CgDnvnqUR3cNj7UCKbvUfIl5fY5e89ygVDVAYoie/MHD0HhBGhctvUZ7hVI9u6wxU0qzLlBSerhhzGhFUhIbJmiPxVCle8W6yH6QQ8TG5DIH23414JLNNtlrQWL0sjyTNA6k/YwybWK6/1c51OhQuXTJKoJ9XBIy/Gw/yHi+CCn0JNNDLQIrrGJ38eV9euGF24siTTus4f6mt/0yo7nJArjPjrqRU+Ho8M6lOcu54CzpODdZ7Gg8UFnJWN6Og2ycsdLohF5xRI1vnf12vIP8uYVxydcqekWy3jmkvKBiFjKHa6pkvTCsJfIqmGhHEdnelYxEPkhgLbuCRVew1ch4sNMZ5h20rneCjC+YqoAm8xUbfo01HR1mRTEaoMTVGyLdxuzRRWJVn1Hqtb62V00xfgg1jtvHLHuE/qZsOTrV3wNUeoN1TrDhI5zZMDOKachoi7PdXwjrNbXTCVK+tJ5F59jVAtde+GvU3Q5tcLgPuh965RY3DNw8R5mf9653tkcWpdAcnpYuweobtaBz9YChfwBLHqAeYUU1xjs6VVOHZ2PeOfY6xU4su2sL1uuKYjs46KF+vQvf141olcd+VIWlc5pJ6mOYaMUsrKk6O8N7nwIzRmmc99mdIpZnuJ+/7T+3muBsl2FdmBQP4vPp20FQTfowg3uPmhF1tROLuipA9/KDwr1iUxDEuiYROcr3GtH86UsqxiVnt8IOSdNujR063DfRTqizKGWwuqMJ8g+13qW2fZ1j28WHOE7QZrS4LVaiX+i+jnm4nteDffQkIsN9RE3Lvr4kOhTXkxRwQ69XOYYPue7hdShWB4AcitQxrNceQtbjsXymtTSM4vsAoR1Dffs2RWdbh0nZexcIwAJsTU+oyhNslAKPPqbOsO6PUTrpp3WmnCwrbp9JH56ewu2V5/ZK+v3e9Tm3nd3FvEakatzrvy05rjfD1N4e7tKczasy0DuvOHJ+F7W3tyoZdh3Tv/cxzv0bua5/fP4ObDbBvfzh8+izj+PvDjXYy1puyEVwuPeWcHUH9XSACU8KIVQrQ5S3mggkPmqHb27jP/8k5/6tXJM/OHeWz11tedx/DoB5/SzeLVE6QAnLE8zKE5RGMisTNaNUa4OgR0GB9jotJjo6GrWgDTA4kfTpYaKGUiRtOArAzK8z9bMgNSPWeUcd5GDmaocF2yyR0gA74jHYb4HrVxYiI8sX3yt4UTOAj8uzXq5AyNWISGk1G5LtEhUyW9nz63022bsBdDVJnWSL+OuRJkZm1Z6JWYhrYvlFyYxSTcE5zh/cKy/IXhRp2mFk/dAyy5nFoa+rNPSEYRCyVCFAHEuK+gWvC1lAmb86Vwv7eQoeP7csz/jZG7Zt+AwaNF65lOGyo2EnzrWDOu3BYrwcLMhVaGleJ65VyUStseYkQ7xRFqyXmrVCnrupdlTGJ/kmreSZbILG9F5bsNOW7IT3O52mcX2JS6k0626W1iprasKRsqTKgtkenxz0Ljnq4V/lBv0aIbjxmlV6g1JlDrcXTe9Blls5HDYhE2yW7ZZ+MoGQS+amqTrKEXeCjbB+mBWKqfGUoQ+KSBgXxqbWie72MoOUL51liTjYjd2j6XawQXYsnUc25ueZS+9XneycvOu5MpqJ2T1bIApJWktLqGMPiaABQ3+2NnsuwlAXAhgRor60jr3WsBO6dbso2GhKyjDfd9aglE/jufUKpXyC5RukXCEyvlfaMy0U60Xv0FrvkzMdz631Pr0eO9rxGd8vaNPbqoRsznhvAk9DttNUouZ9haOH9nvnAi9E5BkaulQKKRfpOWmqQT05xJLRGOAT1EEsVXSqSMmBvL3eX/9+eLF2SJp2a+zQ4b6JtuGOYnRJ61vqMBmgpI6sDXIUVjdCijKCvY0H1DhwWIYQt32j9mGCHdaN5JPPcFBxIYsX4WhjsjOPDjPAAVHZtJLvM26rrMo5Ydl+eoEu//GoztXhY51rPF7a//61T0ZPMHqaLVQaOedwixdmjfXyNk6p+wC43d3Ghp6wHlhIj1WGs2tw/5q08+61mttmC46vy3U7cXbB2vfejvu+t8iBb6KzDaAe+jJ7X3Z8dfM4ILqe1Sc6zt7/Mdx/8qPyI/0ck+5DD9H+uyd46qqwiF9tCgoFu6HWrn16i+rLT0jdNkj6fnMHrgXY27UFftHhm8Cw7fyKn+mtpxNfla0LUx67fILPXJOAwCcvex52j7PbXAi/NhTFCdYrCXIcn9zLbf5ejnvpu3VdcqQoODqR8zo2UawXnsr0yIKFVey0nr0Qb1p2Hus9ZWBWXy8UG6VsB5KdKRRJDmanVWw2nksLua6XmiNc0CVdkKhp2BsSx7hu9LwFdvAE5ct4Dfaz5GDHZ1Gy2cMF91DrU/abH7MbZLh7JMd49d0HqIYpbT1YdOuAJOjbYEbaoHE3w4xSXOAWqmKiN1JgZOo3qNyUFV6Hm2De99f+hre9uU05tG8AkwVveA6ICA1HEZ4lrYUR24aAXJIFS5QMMo/lsl77LeJ7BQCR+ekhqIFRW8djlxm/SLZ99iwaVdBlwfSVY6le0UAsD/hpcg4X7104/94p16qk9BWz4PzNosa0ibW1UKpA9og8j85qlgFSvtcV7GSa0wuraB2YMARNtQGmUpsNHCkKNiaaaTgV56FxCrpYtyrkXr18VSSY7cdMrcteHkqJRrZJkPVisN7x9Ozb/Rg6RCUYVVCqtVTHvuGPSxY+OHsiUdWfE4jD6J18v7Q6/BHeQ+0ttZK5VQjJhmhGras0a4x5Mbwaru/i93E9M17TQYAbjxwvn3oPOtwg0NBRp4ysXIfo6MV+cStzyZCATwIYdZg7l3bG0hYsQpZ/1yq22iIF+BurMconCLlRnklhUfG99hTOpqDGRHtmRrNe5scUp1v61NO6DpvdKyi9kuF2z0ebOpvPFf29JpDy/l4DcbZj8Nmqjs4vB6zkA5TAQcuCGPQbkb5FpvjkcIcASLoivkP7ggGh6b4w85trL3QOPrTr26HDfWiHdmiHdmgvCXtxNdyHUflD602lwFZc7BpZWGdySlaVeG9pwhrfuHqQkU5kVVGGcBRclt+agxfaAXnWQ0j1at133Ed632e4hZRNpeA4GUIm2hB6XaQAGwwD36nsRhWUTBJZ1azQQpQWgpaV9skpAoFPW6eYBznK3eBs79k+u+vxFCEIulEapk4zCd7q0YniaKmSY9U6xW7r6aKGNSJyatWw9KyXVpK67YiwmbLBlPUkBTW2/QIiwa0ZoBwKKta8IKM23AZrukx17CJR1W/feWhcf913O8Nup1nEPugcjW9xEcIearaTFGksI0iowN6ZTu3OgqwHopIOQEjslwF3COw8wup9kI5dLe07yHFbzRY72h6i7iyLACsH2G0VU216ldEAF48Z7YlxFN71DrhxmK7PeFfas2Y8R8p4dB2c7Jghj2UffYbbMNTMSYGWlXOK5xKD2blHabLnTbLbkX9AIN1lTwDoa4GAE0mQ3QoabcgmbtO5pH/DmBMOkF0LCfAPSGRdh1MSfhsE7T0Hjjkv2vxhhvtW2aHDfROtwMiDqqAJMB4TIF46GxhXI9yrGeIIWYtMkv1DGCRCxnVb+wzGueWQMrRkuVMduIqD/Wg73xNUDLPMYSeDY/dZvH5QD4NKhOQegPmMg1+ePRzCcPOoYB697NndC7OG0dVKJj1CzKflMY6Zs9zlJNt6elpxfKI5Uck53rNm+ZYjc1555goAxx9oKG4vUeuBPf70Gfx3vHp/lu7naeriRdSz58JphHY+K/XOzR89zRe/cobPbArMb7NRPLs4y5v/92u88hO/AUD16iOo247ACYnKc9tJ0dRuAqbr6Qvg4PS6ZKxf5RSldpw+KnVl3oF7ahMVdDGJTPPLsNBpHXbH0lyWPtnbnLA3n7AILKS7TclmM+HCUvrk2aXmmTk8vSf3+pP+Ipv+2ZTNWavuYL28jdv0y6SP/Z3cOZty21T2f6qCOyrH3WvSnrs3djl1cpfp0S7das2uZm+nYmchEee6LVDKJ5majbWatSMN5UZYqGjo9hS7m/L7c9eO8NXdDb68I/fSo9trtIsTSa4FJIocpcmcb4aT3ojPIFr+rChUFoEeLohjtmm/Z3I4SeeM+zEbM4YXDKHr/SIcVlnPJxRGoH1GV4EgqK8bG9fNO9/hVa9iMHYqYh5Btg8Qz1sAaxNxsxdaw31o3+w2nAdNulej6eAcR1brAgZQY6tr4WGJ93/MdIdn0WakbPF4RhWDuQ9G2dmM8CuuB7oDsnDyrI0QMapI/Ca9Qz3kKunljSIvRpgzFWhf4HGJCMpQUvpJgnhPjWS3J7rPRMq5ZvBpq9kLDvdOZ9jpFAE0RG2F9awKXaZLhVKKtTDEHC3hSOGSAzu34nSrts+gWy862fK+l2zr+7iiJGhks87UzZKOdwD1YlWmZhL7JiqzjGDEWpVUrDELUmVrqmLNGCbBW4xttZGZ3WkZm8L7vU4CDnudD33gaOhZ0feHMvfWZ7j7QIvHDpyoWNoj7VlFJAl6I9N7Rg3Wll65tI7c34aorax39v21SN7VNEELfEHD0k5YhBrt3U4zKzRFeJysV0y0S3XwOmlZx/PzGO0osjr5WWFYdxHZ6GmcYi/cJyqUItiB6oAGpZIDLq647a+7X1XMGbJ7R033vsbaqDJTOSmlZMP3c20+XkTkRM9TVNBL+bHS/0pptCetpRNrfo5gHQRFQoBAueGYIAe7ZfZC52A4nIevZ4cO9020VnV4pWlpexiPX2J919d8ZRFM6KPwuQ1IXFw3qPGID2SMdEOAjGaTuh7v07uw+Ig13AUqgyfHiGAPiykY1F37YZt7258Ncrw/LyGz4Y8yWbEeoh6ZVkuUqkTXnL6WKZdbi+3pHe4Zmn4hE49fGPl+zZzijL2Ls2uyz7vWNHdMHXfN5BxfeWybl7/qKtPvETg2Lz8rcld10IguS/yZM/uebzT90EPw1Ln+g2qS6qP9Vy+x+NM5e5dijTmYwlEv5RH86uU7+cTVDT53RfrsQrPk81cLPnftJPc+exKAs39oeWBjwYNnHgfg1FueQH/Xy+COQKx212nK7+64707RP71n6xJoharidarwtcU9Iw64KhTqyAR9RBZielZCt4u7INfl8tY6j+9s8MxC2nx+qTk3d5wPMmMX7Q5b+ipLZH8x6hvr5AtVcYK7uMtL++5em3L3uuLsTM7x/vWalx3f5M5XyfbVG2+H134n7uxdsBayGcslx7a3UDtBg7RpYVrhj0pmwp84IYR10eqa6dNPc+ShRwG4/dPPcttn5hglbVjYCdeaGZcCQ+92mIyj7J5zNQzYWvcJFClFnLAlu9XX5mlVkeuAr0DHfZR3EblAiDCyegW2fpD1jMS5k6+TjrcxUwo9TTq1kRwoEreMM2rOt+HZ6rVJI3cEgPUlHXWC5HbUaKXxz0Hs80JslTDx0A7txuxAboIAwR2wjKPxVMnR6XRNo6sUgIO+1AQQhb5R6QVaYM0whARHKzKZoTGkNG4zlimLQctYktI/EiY53fE4+VwbnYciG3+ck/XHIIvnTMpIT7SwQ5ehD1LNdoBPO69YWsnogtRs73WwtMOAfKA/YQ0otU8M38dLx0aRwblbw15HyoS23lEHBmyAKK2mMwdDiKxk7q5cxYxqUNvb0kP9Exw3SGX1/WyToyPFZlViwJ4aQ2X6rLxRCu89dXD+jNWYLNu6ZzU7LczDbVE7cfiTvnsYk/MxcpwUKXS1MjeMLf+9wmRBz2EwSad3Krmjymu00skpVwewd/fM3wzg5LFNuSxY52vaINFZq5qlm6ZSg4X1oZ5b2tR6WDMqeRlTJ+glpaMD7TG6z3BPjWPdONoiniNo299nIOR6HW4IIc/a60ZBhrw85KBzH0hepgBG31eGYUCtZbx27shRAw6XSlCKUVnmGA0zrufOzmRwjOE+dEqS3So7nINvjR063DfR5moPo1o6amqklqfxCzo3T7T/OQMhrDqxY2d1mN2OGxUpcg6SxTV6kmBrOZwMAlOi6mvYxpHU/LhxO+U7vI/O4bDN/YM+1Df1aZAKGbrU7v1qT/VKG2IAwegZhVmjLKQ2OEZ3netr6by3aF32UL34fSIEkX8jW/VxdZa7yg3u25CD3r/ecfes5t6jIhJ592u2qX7gPvybv132HzSy1UUhEFObm6hr1/C5cycHkj7+3d9j9yPPcu5JyYA31mC0S0Qz5+eneGJ+F9ea/qSn2fx3uVZ8dafj0UYy7BfV4zR+wWf3Ktb3TgBw1t3N645v8EOtnPNb736K6rvAnQ5BgtkMzt6FeoNkuLXSUve9J+/VU8/iv/Qs9kKo4dYKffsG3CcOsppWmMfPUV15FoDFYwWP7034wqb8/Cu7uzxlnuSafUrOsdsGJNgBMNEbFKpKhCOFqijdBJPq7BWVhmOl3Dd3rM058/Jdqu89K135A9+zWhtfVfhjx55/1LSq8C9/Of4uIYYr+ENuu/w0ZzZlv8cnwshbtuKcjjNT4mzbg2ectCDJIZ5Fcnbjs9gviHt29HDA5Gy7yBbravB24GhLxnocZc7GiszJjxn1GKAqzIzSTCmixrwSIpjBoh6yOrThwioypur8uc3jdwGmqFfad2iH9tKxHE4OWbAbncao6NRFksZWzSn0BBscVuuGc3Scv9PcGrPbMbsbspYpuK1EgzdllwOcNLVrn2EmMqmDcJM41/T5uOBsR36F6HDHZ3nMwq7QaFNKLXfcJyUFJtVYl1pRKj9g4W69og61uTWapdPshfc7LexmrOSlhkr3NeCVgan2HC2l1cdKy0ZhE4TcekWph8RYtWpS5jTVy2cBgkJNmYRsdOUnVJlElMXjvO9RA8r2CMEBfDrvY0NBQRmWwJXWVEYNYOStz4IK4o0mGbC9TvpgETLcjXMpuy3XRVBEPkMSjYnrBkiEYLns17DMoEdtQMhoK5NquI0vMBg0CpfBl+XzeN2HiZnIXp/gZOMESLCY7Olcg9F1TwisltRug0WoxV90molWCTIuxF6OUsdsrsZ58DGDXYDSPkmtVdqxZpzU9yNop5z01XuP9U5KD0blBH39/yqkfJDU8qxA6HMEao7kyi1HqMpuwv01Gg/iPJqk1IKM4CAIMLiuB5G2DktaxqizfJtD+/qxwyt2aId2aId2aC8JE9I09YL+DuvODm0VLWYTeZYL+tA6OFsFBaWfUPoJEz8TaUi1RqFnUoahK4yeDBbgKVgW/mxgFU7IlUBOFsmXBKJaJebjFRhxXKAHGKwQGpZp+6hmEB3tKKVY6Emoa54lXWqjK7TuHTmtSkq9xkRvhN9VFLqS/aMwKv4RyK0k6+y8wMTnVjO3mj1r2GkNO50O2W3FvAvM3DZIKaoITYcjhefkxHFy0oW/hqNlw5Gy5UjZMjUCL3eBILH2loWa03j56/xSYLyYUP4i9dbpmlFQKkOptfwpHWjThugFR3bts3+j86O9bFsqTaGlH2IfWC/Z7IWFhYWdTrHVKjYb+dtuJfCw6ByLztF6qZfOdd4TYWb6KxLkP94XhYoKECJfGa9jodcy5GKR8tfxv1guUfiCwhcpu62UokBnf4aSihJhZC9CeZEJKKxegk73pXz58xNQhYKybLGupvVzWj+nUQsW1CytE8byzrHXeXZaxU6r2O1gbjW1VdRW0YUMtw+AxxjTNloy3RPtmBrHmpFa7qkeBoE6L3Dy4ZWUKxyvs6VjheE+q3/fH/2i03WJz6bDpvtnP8uRalKC1hKJTb23iZCu86L9nsPc9yO/69EPJiXUxu3NSQBvpXle+Bz8QuTE/vAP/5C/+Bf/InfddRdKKT784Q8P2+M9v/RLv8Sdd97JbDbj7W9/O4888shz7vcf/sN/yP333890OuXNb34zn/zkJ2+4bTfbDjPcN9HmbKIR+GUbooAiD7FHZ0UeItZkXI8heMjwPc6Ilym7HTNaRk8ozSxFLhPzZarlseA6XEJuXz/OEiPmEUbnKZKEhOxgmBHrM9h93atstx8Ut4fhDuvAy3Q+k2KDqjiastMGkWFxuk0yH0mfPLbAd1i/zGpxa4xeZ60UKPE9/k5edrTgVUdl+5dt7HLm+A6nHpDrUr3xdvzrX50y28miRMXWDurSVdTmphz/2FHUtS38//N5AL76f2s+9swreHQ31r0JVC/2wGbtubBouebmaddrqqIKA3zrLdfY5ZqWjPrSbrPsNqm7HS6G/r02vY/1ne/j0gm5zrYGnEe1TegTRArtuGTEV+TEThxHdRZTCuRcHZ3Ca1+B+9Zv7a/DyROUX5G6cusVzywUD+8KLfmX1afZ3HsiZbYBymKDWaxHUiUeS5PBG61qk/TakbpgpyoS+YxWHrOh4JTAw28q83tEImiN76QOEWRxaH2W+UiT2Kim8jrZ5SGfgU6LKSAtYlak/bLXsUTER9jqKLu9enzJFKy2J5JBFSmzDqTsdmRaNRm/QmpHtvCMMie5Fmj8TWyz9/L8AXSqplDVysLhZtiLreE+dLkPLVrkEolzhlEFjhKHTZnBAoPyfd3rRK9R6jWs6dmlc/1mqY7KZYB6JuF4DCCNDyY4ualGNKwPfFb65BAJS3lvUbqHjBe6wuomyYNpXSSnDEKm1BeorBY0Z1meKBkHbZZJNayOB3Ke8m8bMrlL138eIdQAu61PmV3pM8lCxgz3kcJxtOw4VsoGRycNk8ImLpCJdsGple0b37LUu9QulCa5erBGinW1xkVEjwQK8iFSKYXOFvtxXO/7OaDu8m3olVCisxr7wHrR1m6yYVfY1eUHi84z7zzLcGHaEMyJ/RqDNak93oW5oUzfF7qXJYsw4Zz92queWX2/WmydQcwlgBL46JN6RQE+k9NiRqPWaM0i9bN1DTrcS97rFTSl9FngL3ANnSrTPNGoOUu9YBGQB9NOJ/UQAK2EKK8OsIHWS8Y6OmUxQBoz4qV2zIyjCajB2hlMX3mI9T7VySd0lReHrEtzmR30lbC792ZBns2EhtChZHGIhIkEfnFazjPbA1mwrH4beub3hDjxeoBoka4YZtR19rwbPRmgag7if7nV9mJquG/U9vb2eP3rX8/P/MzP8Jf+0l9a+f7Xfu3X+Pt//+/zW7/1WzzwwAP8nb/zd3jHO97BQw89xHQ63Xef/+Sf/BPe+9738qEPfYg3v/nNfPCDH+Qd73gHX/rSlzgdEaF/BnbocN9Em7urQriCowuOVWuXdG45qNcUyLVsIxqaq4vb+BDHib2X9JDFtVZFgvIWYSDPa7ihJ3dwHpRyhNKZPsI7cHgzYolRdM35LhCt5fCsQN5GhMs+R+RNqX5QC5HfvA5N6wllcLCnxTGm5lgiSdHK4LylU/VgQoKedMK5Ba3dw7q9dMjp5AR38iAArzgy49uOtbz+1FUAzty1zfQsFPcHErQH704w5NxiDZZqWri8KX+Aajvso1d44v+RNv6LJ8/w++caHuUJ2Q4nOt+B7XKu97jGs8z9ldSHE7NOhdQSl3pNMi/h9yf0PSwmR9k1l2isnJM4OYnqjnrLMPvTp1FPi4PM1hI/b9Fn5Zz8m78N/8AD/bmcPAWvezXqjPze33ZqpS7d33dfIorb60rOzR1P6C8BcGX3EdruavptnKijrqf1m9gwiUdblpvoiVz3o+0atzWSKQFYtAV211NuhWt2g5rj1zP9hS9K2z7zDM88fpzH9+Q6nV/CZtuw0BI0sGFxl/gI9o2CHzzpxfu4l2/ZL4NlB7IfBAd/TBbY71NnQSlCAMtct7Z7NXNmEwma3Wczj03Qzc7Nae2CLmjGJom0xG4sCwgdrmunFlIXfkBN4IuxPPNxw9ty6HB/s9vYqRLS0cAwrkq0bykyjV3lJQvow2ell4yjCQ6r1y6wdPcOeB6gGxON9pmpsMAOTlVBdJBNCgBAlBVq0SPJx8i34HSF0XVaH0TG86RBrSqsatP06+hCZj2W9UwxlFj6YHUMNsTxRNQfVRonrBc4dSRNs14g1Nuh2XudZ9G55PDOQlA61oBLltKyHogtZ2WH0Y7O9qzjzveQ9Fo11H6XNqyZbJjTx/XKOnMulSLV8Xr61/H6OKwgGgaB1b7G2h+QvYxjT+vIqLGkDzrnacMmMau7jPrtIdmQShWy9Vk0rYpUWx/vi3yNA/2azSL3rVXxmu3vdEdToXeM0qhwElpp8AUuvLes0+gFrZZ+7vQCZzq64HvGvQ/h9/3chdNYVdM5cdhbPadWC5aB/2RuDaYN5YIIUmCiSRDx1ilap9N9UDiHd6LNDeJwT8If4VwEVh7Z7aVOvlNdYqjPoeQgsl2DDHd4Hr0bcytkAfPR/O58X3LplE067/J+LNPb0ROr9sG4LlsDaVWmo/kQWMnLu3IS05wMUdpbrKxHviZZ7hdZw900Ddvb24PPqqqiqqqV377zne/kne985wHt8Hzwgx/k/e9/Pz/2Yz8GwG//9m9zxx138OEPf5i/8lf+yr7b/b2/9/f4uZ/7Of7aX/trAHzoQx/iX/2rf8U//sf/mL/9t//2izm1F2WHDvdNtLrbQikjw32WLUpi9kAkIss1r8W5zuuu8wx3hKjFCNg0ZbTzKLcwpQ4ZL9P7kFUf1zNZMqKV6xFLJOKJkdORZ7izJzSxmvc7kG1HLMrRSTEBGhcd7pk5QaU2kvOJh061g8WUwgkhXejnutuh7XZToKIwxzg5uZ9vCQRe337S8aY7LnH29cF5vX8DdXIdbj8uhzhzQNTrRMgWnz4l5xucQ395h8VjHX96Vb7/wjXPF/gi5xd/kjZdm9xGqWVC7WzNor1K0wXGcN+FIIPU2c7Kkxwv7uE2Jw7wKb2OUrCnWvYKcYTW/ZS71ktmRs7x2tU1mn/TcP6qRPke2rqDrVbzig35/Z//9L+l+rk/j3vlK/trc/o0/jkifO6abP/MYsIziwVXu8fDOUimOzrahTkSIrJ1+H5JZ7fShKd1xbQ4lmrvptowLUhsuM4r2l1F9bQ48fozn8PfcxZ/8uSLynbrP/x31P/yYemTP76dT105xhc25cb5ys6Sp9TTbHXPAHLf5BFqpfraSMjrv/J7f1wDNpwUJZsSF3ZuwNvQL2ZM5rCmCs2wv5IBM7+yeN+lLFi/TZYl893QKdAuW7iuOsYemxzrztV0bokNKJxxkM+hUa5nyZUAw2KF6fxmmDABv8AM9yGk/NDI6it9i3MFXdANVkET26iyd158yA5mGeqJWqPT/bOkfJ09t8t90V15LWYe/BZOiyI5wCttjc+uj6zpAUqdpMxa4SqJx2F1/5oyIzgUOHN08Cd+FhzuImW5jRfm5Zg1t753suW9onFQB7+lcYqF9eyGH+x1jqW1lBHZ5CTUlTKVylOZXg7KaIfJpMaEMyJuBwu1oHG7tCGw7HCCFIgkbvTEX/K9l+WG6t8P/lWSocyDLSmRoTJUj3J4329rnUfrtDDDep+CECJP5WnCB613NM7Spgx0rH8OyAldpfE3Hj+vrS8C63rFWromAG24V1u/pBPyd+lj+n3lNghCROB5rqON6vfhK6Z+g07JON+ZeuDEdzbezSPyzJi4AazTyZls/IJGL1iE/ZWuwNgeeWCUZmpUcrg7r2mdTmizohsFmQKBWjEImJGCHC2OVjUJTA4xuKIzhno7REfEdbXK51hNnDv3yyA7+vtGYfDKpUBKDFwlLiHGKiYyryY0mENq1CPlyyhIHZ3tyPEwRm7GNo6tT8zdGuf7hc7B0f7RP/pH/Pqv//rgs1/+5V/mv/1v/9sb2s9jjz3G+fPnefvb354+O3bsGG9+85v5+Mc/vq/D3TQNn/70p3nf+96XPtNa8/a3v52Pf/zjN3YiN9kOHe5DO7RDO7RDe0mYR5zuQzu0F2LD7JOwBassEIWOsO5u3+0LL85xkTLc4oU41Qeh8gDcWIozwrlzh7hQ0xQ8juRsg6CA7xJbsSgG2LTAN4FxPCoIRKmoPsM9xXmbORRLcjbrkorST7CqoA2ZSIUWBzM4m52Pmdu+HGqvUyxtJAQTCPlOG8mxLLW3yYlonUnZcGmjKIcPtLydoguka61T1E6YvQEaFrRO/tJl0mMnKMtW+77t8h5iXS9AR4dFGLVjVj+WBeSM2045uuCNWi9jT9xvdPQivLmxnsY52hBI7QgEXsFxixDkmKk2vhSUROIjG0L9CyWs6zEYXfrJ0NmKZXuqD7QcrJfdW575BzDKJKi9cxWtX6PVEszuMuREtN7pBu9rpCY5ftvifZElk2oaPWep5uEcSrTNj62YFYplJh/XOENjI/rDYZTvoeUIj8BQA17Ruh6236lhiaUEOmwirLOZoyz9Ef/tifiU68uxYolmT55qsSqiNkM/UOGSzJfcV30gR+q2029Dwiyh/OLlDM+39gVOOUwm52ZUic/QEAnOTt+OfdEY3Lqa7hc7B//cz/0c/8P/8D8MPtsvu/1cdv78eQDuuOOOwed33HFH+m5sly9fxlq77zYPP/zwDbfhZtqhw30Tre52+6xQVoNtXZMy2+NsVrQ8Yh5JWKIpdIKaGD1hUqwL4UZiIjUr9ZQDrcIwWcWBcoWxU2kc+0TRVrJyo4c7Z7dUfZZ7TLxBJPnIZMzMoOZ0RqFnTI1AoSdqbQDjyQeWXg+1pXUL6lBP3Ha7eLdAhYzy0dndPOhfy3eckoHte+64yD3fV2O+42XSpNOnYFLiwyDgN66fUXUPPgj33osKD7niy2izzTIsIvY6x9xdSfXNMXNRhLp05zvabhcbFxW+wzqdSg20LtGF4bQRiPnLjpacqsD5CUsbYeeeszPH6Uqu42494UtXT/D7l+Qc/s3m01zyj/EG9SbpE3cvP/yqP4Esw/1cpp54gmtfkOv3yE7BU+ZJlvNr4VtNYdaZlEdDe2Y4HE0nTO/W7SHs8VW4Bvdzb/mdvKYUFvJXHiu4f81y/7r0we0bc0zlU0Zdf/5J+OJTqM4RNWPUiTW4+zb8fXdLt91xBiYZ7GpnB/3MM/Cnj8k5f+ocX/njo3z6kkDp/2Sr4NGtjietZNEvqsfZ7p5l0cg5dXYeyjr67O1Ydkc+69nGY51WvM65xbrRnm12GImOi3UpPYkmNdpj1vF+cQjy7GXH8v0z4ajxri9DkVq7Vd3WaGMpISF+aoQtHYjqAj6D3w11uUu0i2Q7N9e872tJb3jbm9uUQ/u6tP456GyAd1oZb7QusGYdCjCBY6HQBd4PF4Klr3BKxlxDSavn6VkrXB0QK9HRLij0NPGNTPQGEzUjKoKUakrpqzSX5Q4gyLNn7TKhTbQqmegNlI4Zb4GMF7pfhEcSMYCp30ChWYa5tY6OdpLQmrLmZ3jvWMTsqZJK2LmVNcJOK/rTZXic551nu/XshgLmubU0rodPL2iwqqO1QcO6MyysSozeS6tZ2ALdBIfdGryHzUbafLUphHTMSh/sqiss2qt0dp76VKETJFtkltrk2O1i6NyUMo3JngUNcy0Z8oXaZum2qN1ugj8niG/M6puWhh4O3diSpVKYiBRwATbupI/mrqWm7bXCcQLZhvTeZQ6zSLNVKJ+zipdU4b6acZQNd4xZuPdKChyeOtwXc13glO05a2JpUkzAeyvfR0cTUYnxvl+WlVpWhZN4T1iNcZrCxRKAirnZYBnKq2q9Ta22exSecuJ0p2yuxromzVON3ZN5MiRtW1Mz9+ssrKylWjdDqwnT0KnrhaYyJSrWlDtNpW1ysKW+uy9lWDrFbuvZbcM1UAsatUB7nZzSllb6LWTZk6TcWCUkrIe7UM4YTavJoDzK0mLdYoCM0GqIUGntbs9z4ofoTugGJXXOdYNafh14bkilByUTVVKE+8DpjUG5VySqs75LQbecpPHWZbhf3PaTyYSjQbr10Ho7dLhvonV2jtbF4CGINdtDu/5CNRJopPcZpFxYJgPbKJEkzQwico7IoBgeUCcPaz5IjInbBq/3I1hy3T4Pd4TdulCbcr2HP9egjEyrgdQpEIgMSNBU72BItHqJpUsTUOdrOrugDZO0dQtQBWuVRLXu12/kjSfX+L7bBQb9srfuYX7oDbhXBYKwqoKug7ru3z+XVRX+vvvkHJqG8hNPsWakjcKauoZWQU7GL8NCqq/djxrP0by3yQHv7AKHZT3Uw92zBt9yZMnRsh2QipxcW3DkqEwuTV3w1N46FxfShie6T7G59yizQJr29OI1+Gt91uB52f/97/n/PSa17A9tNkkCDGBSnmBWnqAyMpAqpWnsHl2QczF6xqQ4wW1r3wLAa/2388aTa7z+uPTBK45c5fZjuxw5IX1e3eYxp8qkE+62atoLHXsXiqRPXhRbzI5cpjz6p3LMAuwezK/JvX9tc43L8xnnl7LAfGr+Cr66C4/vyDGf4Eku+ceYt1K3vuy25LpkJENC2BcDWlKyEe/NSKjSB9JaOtekRbwjMLgmwkDSfuXfITzb+/i66Ou6ggOcO/nyvMj3Cs24psrjUcnpB0+DW3GQR/C10TPeB9RavGvSoiQ0EvIov8+3bXCq4HD6OLSXmg3nKBd4R/rAbYvG6CrBamsWg2B1pzocNkGYjSqxZPwogQU8JN3SWJFnLmPdNDCQZYLVlUAMzEZmDucFshqX95H1uh8/Yi13zKQKS7UNDnhHjc9I4Yw3YaTRNDEgFyC4bZa9Xdqe62HRwV7r2Onk93PX0XpLTXBOo+MeWlk7R20Ny+ANzq2m6vqxZ2k91iu2gpzlntUsOiFLkzYvB0FMDYOgZbx2sb65Dse3PnOCVEsbYNfCdr6gc4vkaDvfhnVVEbbt6HRLF65I6x2t15CkyySLHiHjLR21qpOjJ0BmnfrZKcd+GUedO+Cqv09KX1F6cbYASjQen+qtjS/SNc4trvPi8brQns5Ltt7QZ4xBhvG4l1IrKm9o3TT0uzjtMYvujcW6mk7H0qLVeSdJvtKjMyKPTs0OqB6dULqCRVcwD9DxuVUsrGIeM9zK4w2p9KDzGut10jpvAhIiXQPVSKZZgfMRzWBTzX68LnLefaAD8oz3MNidS4JJ/wYC0TS/SymA1/11taOE2CrBal/3rlUxIFFLBIxZuYTCDBx6j033WeflWuDI0A5u4HR/I9uZwDF04cIF7rzzzvT5hQsXeMMb3rDvNrfddhvGGC5cuDD4/MKFC2l/f1Z2uGK6iea8XUmzRF29ldqNlFGbhEV9ls0dMR+qLFvV15YOCZJEICGSJPUSDvG91JKPmM+ztubO7iqxxDC7HEmm+jr0QhYMkX0y1bTEtj43PCWHT4lz3VOWiKxCzziaW2xrYdapyhPcU70RgO9cP8P3377kdW8R1m/zI2/Cve7bhhsXhfy9APPHjqEmOjnDhVZUaoNJIRHspuvRDXJ+AXo00OV0yQHv7Jza76ZasPXCcefanLOnt5gdl9+UJxXmjin6hDi8fqfmLf/Ps1xYShBg55m/wLNmk2+b3gbA60/soF9x+3ODjoJOt/7//B5f+PCMPwwZ80fc4zTddjqnaXmcNXOKiZK6s84vsbpL2Z2qOMKpySv4Dv1qAL7vjOGtd1zm5a+S7PLk/gp1bIpaC2iC9QpmFbThXr+whduRAEnTSD8tlpr5fELzjLy/OJ/x6O4aX96R6/7kruVa07Dr5Rx29S6bXGC7Ey3xeXOZ1u4OsrcDveuwmI0IkqhhbXKG74wESSbO3RRtFvRKN1iYDBeLoVYsRbQn4bjidMc2XY+JdCWLnsjWMgdZPuh/s8Jy3tuq9ItnhSk9OyH5feZ8J53h1efxxZr7Jq/h/uM//mP+2T/7Z/ziL/4iJ06c4P3vfz8f+MAH/qyb9XVjY4dn4LTZONZK7SlAoafkbM8HOU1JFzugs3o26kkgwAoONxIIL32mg70feiyDvcvcEDLgSWYs8GCoct/toxXBoS+Dw92oEuuH87kJY8sk1KlLVtDRksGlbU+atrRCirYXMtB7LGlVm2UVG0TLPGQ63YzaeuadPH+LQlMokma1wtN5zXYr7dhuBRG2UP36ZOA87PMYW9pU34wnwMF7uG2T6Xi3bk7n5nSuGWQqUb1D5r1kj20ilnShhlsOIeF+n+bjKD0VSxFi7XDMcrsQEHDRIU5cPbHGWrTfY2lB5aci1qVitlkPHPzozPcopuF9KVnQNmW4W9XSBnmwqK/uvUerPnCLhonXzHwMOkzlDCMLuWppzQITkgDC6aH3uR79vet8mxzunHkboFIVS1tRhxtrYTV7nWZmAnoj1vSndaOidSqpmDRW7s06wvgDnNwxJElzDFnFc06imFHOOVkiwky+L8IaPdwH2IFiR+yfHKHmXDuak7PncxQYc3SCX4trby1ojSKxpMvaPzrcMdAX178KDTomBoZB/VtlL4ZH5WbbAw88wJkzZ/jYxz6WHOzt7W0+8YlP8Df+xt/Yd5vJZMIb3/hGPvaxj/HjP/7jADjn+NjHPsYv/MIvPK/j3qp5+NDhPrRDO7RDO7SXjL3Q+rFvBEj5z//8z/Oud72Ld73rXfzu7/4u//bf/ts/6yZ9XZmQYOWL4by0CpTTdK5OjNiNqkD17NJAypZFi3rQQCIsU7onxzK57JcSZzs6o9Fxcgeiv4JrFxfoQe84ZepGzr8jqh70bZQsdgwIlIP2R3c/Umr1fdHXIzfOsbQKE6G81rN0lj0kuzbXe1i6tF+rOoH1IsHD2ltx0gOkfKcTktRFVs/bedGxBthsJIOeYMAu5wOPfT4sY/G4lO1zWDrVpiADCNlY7QUK3boFrRVCVZclLjRD9GHUbQapyRbnOpO1Go1DMasc2yDUW/37TvXOp6UdoAiTbnZos/FGUHHh+1IrlAcTa33HQVFvB223vhMHOaENJtRe6rVNyBAbpSjwGHrps1LrRNA3dROc9+nebFVNo3YzedkiJYx6GyaOrO/QUSZMy/0Zk0sTNWPh11lauTflvlIp422UtCwf72unqV0PKW+d1MoDGU9B75BqtCAfI9EbvWN8kOXntCLPSy/bCQG+rVxS/FDKDAIfQih8cHAb+jKz2F8FPemb9EMfoOvJ2aK8YLj3VZ0CGTmWdaXM8ybZ15JHZXd3l0cffTS9f+yxx/jsZz/LyZMnuffee3nPe97DBz7wAR588MEkC3bXXXclZxrgB3/wB3nXu96VHOr3vve9vPvd7+Y7v/M7edOb3sQHP/hB9vb2Emv5c9mtmocPHe6baDoMqsOHeL8IIYmhW4d60ajbO5YRSpG10WTpfJtqLCMDeQ5bca4b6OrGOk35/ThCN7QIR72eVrhYhOmEhFg8z7QYiJlxEbPKYbbOdQPmTa/7QWjMuJ6Opsok6aLR6KKv8ypUxe36FbyxkmzvD9zR8ee+7SkmP/oaack4u/0iTT3xFMunLJdraU/jhMlyUki2V2oHl9mCSQNlVs+jpW42MLN2do+5vcJ2WADMbYVWMDveMX25bKPvPwkvO4s/28uXnXrNI/z074ls15v/wwkuL9a556hAae7/oRb/3f/Rwedw8SLq4Ufo/vARAL7w+8f5l8+c5NNXZeFyTT2F0RXT4jgAa/oUUzbSIqVhjvc2Ma+uFad4wL2C190m9/b3nb7Kt3zfFsWbYt38yYAqCHVV62siAxZ0SdTFS5THnuTEiUscuRLqyBpwLWyfk2M8ubPBIzuGf3dFarC/xCfZrc8NFlbeu1QP6H2veTs4d/qwv1aTVGs/MeuioRozWpQr6BSdw798hHeNF43hunvXF9VBqP2MiJXh4q8nbjlgIo2Lt0yGRLbdT/cr/2ycIR9muQ+SG9tXaYCICigYL75uhrlv8hru6XTKf/Pf/Df80A/9ED/7sz+LX8V0HtpzWl4KMXyWrGvo3DLV9jaqxGuXHOQEPU1Q4FVkmjBoR4UNyW5HRyrWa6dsX4QcZyixFaKj7Hl2vpGMbzqHIbt5Gm+yfegs22x8gVUmPQxJAlQpiuTMaSw94VftHI3TBBltGudZui5BxxsWohKSHdMpnbK9rROHex6G4KmRWtyYabUeGkfS8d5qPHu2dxZ7mHxcjurkoObW1ysLjDlfPwinS5C7sguBRgfVBQhO1mi89diexM75wZCpkSGvyJxVCZz0WXWb7S8628nhDiiFFMhRci8VIbtcYDCopFttggZ4fsZOObwbcvGk197S+ZqWWBpRoJUEdyJJWuE0Vkft5x5eHp38Shm8n6R64ZpZkMTLJS5LcoG0VcWOvl3OizhavPebIBm2tPJszDv5m4ZzLrXGqOH41jidyYgJk71ldf5ODmxwtvMAVL52lHt9FEDLmMlThjvL2neZtKkPDnc85XwdK2aGqMVRKVcMuvRrXxsc8DwoZtJ9MvGCnrFxn16CC0aV2Cg96vp1wku1hvtG7FOf+hRve9vb0vv3vve9ALz73e/mN3/zN/nFX/xF9vb2+Pmf/3k2Nzf53u/9Xj7ykY8MNLi/8pWvcPny5fT+J3/yJ7l06RK/9Eu/xPnz53nDG97ARz7ykRUitYPsVs3Dhw73TbTCrK3IDOQMiP1nvTMbNbTHDnUOBx9EZSOMR344+CwRLbh2UBPlEkwtwqtEO7A/3pDsTJyCHhLeDyA3CCFNYcTIdBkDAP3CAcQ51a4e1HTn/WZCVsFQUAY4c0mFVoYyRNlPuRO8Yn2d77lN2vi2VzzFxo/fh/uetzz/9nbd9SHmTYP+9GcAaP/vL/InD9/JwzsB6lzv0uh5giJPzDpK6cTM6r2QxJXBIddo6m6HOoM6L9tNLgcCk4vL01xaTLlrq6Cqs36fTQeyXv70aYpXCEHYa778Fbi2Cyek1sU/+MBQAqxp0I8+Cg99VU73C5e48sWSzz4jDvwfXZ7xqStzHtfigHeuZmaOM9NSE175NRyWxofsUCClifdyyYxjZsKZqdxbd5zcEZ3zMwJx90cEmh7r5f2pU4PaeX/6NNxzN/o1F5jMs9rzvQXTLzwBwCv/v9f43FbP6LnsNqnbK+kZMXo2egaLRPKSjpPd+1pXSWZPtq+C1E7vXI4tj1g73xzg1Lt8g+xTk4B3Y2K16N+u6oAPo/Ko6HRHmJw/0Gk+yJ7r90MmZoMsgnvSw5j9sIGM7uaZehHR9ZcGDO7F2Gwm9+F3fdd38c53vvNA2NyhHWSWeB9EZzs+mwqFR4LRbajRFMJQ1zsZoZ4yyQmNFsdCYNqPJxKcK5Njrr0Z1PaCOE7R8XVqWMoFeQlWmBdzyGoiyeoX7JHJPFpQEg6vI0nUGBavUKp3Hlvl6EK/tM7ROp8IuVrnQs1ydObmgzFTILB6QNjVWMciZC73jDCRx6ex81IjHmXFNmvLrq8TrN9jhSRSxTF8ItD9DDUQz12OF2u/M6kw39K4PsPd2SXON9lYWuw7PKTrEtdAqbZWssGdi7XyUQtc3reh1n9A4urnK1ri0QpvB+tCg6FQOl2TcRmwSy79aI5I3wsSog0w+jwwFB3u0msKp1JJgVECN4/keA6N84bKB/LawK6fJ4McGrIA8wryIGd+jxri4VmqmdOqlibozzXOsLSKZTiNie3bFq22KmW4G+dpB6VNq2tpS9tLxvLcJRzxN+n1aH6XZ6sv6XQ+QMJTsGqIkpC+GAbFcgcbhtcuJcuy51t7nRAqJSXK9+fglKMJ9f8t/ZroVtduv5gM941u+/3f//3XdWiVUvzKr/wKv/Irv3Lgbx5//PGVz37hF37heUPIx3ar5uFvSIf7H/7Df8jf/bt/l/Pnz/P617+e//V//V9505vedODv/9k/+2f8nb/zd3j88cd58MEH+R//x/+RH/mRH7nh407LowNnGkiES+OBItVsqHIwwYNMAlEHtPN1gEYNtRFtpg3a12wHghDX9XXaaZtevkAmrlWHINV9et9nrYkZ7NUHfEjk4jhIl1te95MT3mGVTsRV1pVoNcEFfWnjO0wgVgMZpMpQRzv14rQddcc4pmacDIzV92wYvv1Ey5+/T2p3T/3oMdz3f89Kmw+0pkFtb8FeYEpdLOT1XhjkLl7DPnqFS5+Rc/7Uk/fwR5enfPaq/P4p8yRLt5V2V5gZUZM1WmmmPeEYBqOrdM06u0PnllzTEqU7N7+Nx/amHD9/gnu9ZHOPzi9QthbdBCTDA/fDkSP428ShZVrBsiYVohUG9dSTqKfPST8+9CTzz2xz/jGpoX702lm+tDvlT0OzH97Z5kn9KEsvTv9EbzBTxxLcqVU1C7/Fwkp7aruDdXU6R1e05LlX78HXHWpbWMxVGyLlwfH2p06tXodjx3DHjq18rB64F4Cz1z7Kqy/WfK6Sfnxav1yOHWCJha7IGfmlxnqom5urAOhwn/WQ0CL+KpxDO4iYR23Xng+hlecpd7izh0c06f0wO4QFv5o5U8nBHWYRTGQVV/3zjLL07LGgxjXYL8JiZmPImj5Jz2OsW9Uo6ubpm3LMaC8qw/0NkAz+wAc+QNd1FEXBz/7sz3Jqv2fk0J6/jQLLQjjUpHG3tUbm0yyY5HWFz1iEhxBSg84W2CbUYObzu2YIIc/rbyMsO+dJUai04PRhwe8Gc3VvotndJWc3OvBRdiiRO6a6XBtB66tdE3+Dp3M+IWM75wOAPDJyC8S9J6AarmU6RI86yojttYrW+PQcN04g5HsBybTdNezq3RS4je02mRLLAKYf1kaxZjuud4b90tKGjHZ0tqUvI3kW+NFyN4f1Sht6KHehFTiwkQDMG4qs1t+FGuroZHa+TkRtsY1AYptPSAO/6gyCjF0On2TK4j2TZ4+HDndL53V/3dFEQrEkCecLJt4MArXQIw8EXu4pA+S79JOU3IBAGOqKNM/0ZJ79vbYfCjIlflRLS5vq0jvn6bzUZgM0WpzrnO1dMtzyunUMHDGNpvClBLBU/zyNg0u5E71fRjlvd+RnyTkVcoJgQY92ELLKKdOtMgd8XzRa3x+EloKsza3uyX8TqtP3CJUCTecjfLyjpKJW+yBO90PL3CT7Wma4X4p2q+bhbziH+5/8k3/Ce9/7Xj70oQ/x5je/mQ9+8IO84x3v4Etf+hKn82xfsH//7/89P/VTP8Wv/uqv8qM/+qP8zu/8Dj/+4z/OH//xH/Pa1772z+AMDu3QDu3QvjntxRC2fCOsEd785jcP3ud1aof2Ys2BV+IU5NI9vu11s/VkQCSlg6M5znInfd8gyanzoByrznZ0Xm0M0MV9KR1KRbISD58RhKrhPmMGPNYzC6u67yHswflP9dZ0WO9SHS8E51z1Trj1ji5zbDovJFSdim2O5Tp5UCEjmlMO6x1NWKULKzlB2xshYOss2yEIuqN22FWbqeQNBCkQz7k0M0o9Y6JiuZhojeekUXnQM6qyJGZpFyUO+3KCqASxX3lRf05KHG2g1OJ0u9BvlTMsXTmSAutrthu/oLW7CTnhAhFmDOD6yKatckfOY11MdkRm9BAECU5Z7PtYNpjaGvog9rFXDq8dWvXw5NpXVK6vEzdB9izuJcLL4/dFQHeoLLCSM/JHR3Xw/T7Z5Nyccol5vXNyT7QpEKOYuD58o5V8FxLiyemLvyh8IYEx36Z7UzLGQ84CB1mAy4VnbuSUZ8+L7CcP5uSyny1Q4iNZnh8jWAtWy78yFMsYoUJAsJhhxj22owgA82gS5pGEXB+Qv/mlXGN7qZCm/VnZrZqHb9jhfve7383P/uzP8n3f9303pQE32/7e3/t7/NzP/Vwqjv/Qhz7Ev/pX/4p//I//MX/7b//tld//L//L/8IP//AP81/+l/8lAP/9f//f89GPfpR/8A/+AR/60Idu6NjT4mRWhySms8k52uABCxIiMcKlMTI9hwx36+c0apGgSlFiINfl279Ge3/YuJhkoMcZsVROo2RhshKlG0ghDGEz5FHEffYdP4+twYNXkYmxwes8A+/wepJkj0yYaEuzlqA3x9SMs2sVDxyRRr76aM133nWB098fIHXf8+0w66HHz2l7e6ir1+Bp0dn2j1+ke3ybvcdl/+cuHOORrbM8vC1R+C9uOr5UX+BZpH563l4B+vpehU7QeHlvmJh1ZupE+J0s1NqJTNDLRiL7Mep/pW55bK9Cq6NcXEityu3nl5z+wh4nznwSgOn9f4y+fQ21HmDZRcSKhUl8Z4l9apetL8vnj507yaM7p3hqIX34zBye2m150olk1kX9OLXbTQudmN2OtXY77iKL7kpaVIwJSmRy8z0krCnw2zXqmmS42QkZjZDp4I7l85NjA/xdAns3D97GvUd2uWdDsvr3XXklRVUNyGpaP2fZbUobCIiPGBF33XC/YwbwAO3OdTChn6Cd7+hcM6jx4jqLOAjPXrr3Y4YLeg4EnWDa0NeV5W0awNICoqR3GtpQlz2a+LNF9PPJfvdR/xKlqpRx0lpq3POSD6OrWwLg9rxwx/lGt/vDP/xD/u7f/bt8+tOf5ty5c/zzf/7PBxOr955f/uVf5h/9o3/E5uYm3/M938Ov//qv8+CDD153vzeKsMrb80Ls/vvv5957731B247tB37gB3jrW9/KL//yLw8+v3btGn/5L//lW07i9kL7LprUTF5/Qep9myCiuAAbVXFBHcipwi7icvqgjHO0XpYowtdjhkrYvVO2OI0nkYRNnvvrOYKDtofnPjqrnalXMrV51qtTHa3vMOgV2GYOp7ajsWKcEffeplpVrYzUCkcHwGucIjmPTXC4m5jx7ixbbsGmFijVntpk6bbSmA0BKRCVIvSMUq/15WO+Cln/nihrkEkNzrfNJDijGoVK1221Fj43jUKrfgqdGCVZ56Ah3VjD3BWZg+ZSVhugc3Nau6Bzy7R/rQps0E+3XhzoWPcufe6wqca/h/KDMMEL8ZpN+xuQpiGSdyYiKUIVoNI943Wr1uio0rV1CIGaTgO3OOAmZG+V04NgktYlyjWDEoq8pCKiOfM1zlhmS5jWe7SX9SrpbLfe07i+PdpLKUKXSiUFThz11ktKuoy8D8D5YYZbhZKt+EkMdKTABS60uZ97fSZ11pPT5TxENpVvxZrsgyR15TrF7XpLAQDXCV+R7wMGw/ZLyMzFckofEbJmlLmPaka3Jsz8jRC8fqF2K+fhG3a4t7a2ePvb3859993HX/trf413v/vdnD179gU18GZb0zR8+tOf5n3ve1/6TGvN29/+dj7+8Y/vu83HP/7xVKQf7R3veAcf/vCHDzxOXdfUdT9ZbG8LBHeqjgwgxLBKugLxoep1NEuqRKbRT9Ti9NRUFHpKraLm5a5AnTLIuDjhTZI+SvDxAx7GgxbfeS236Py60e/jwKDB26zm2w+OJxPdPtJD49e5A+7ApsjekOCkBYxboNC0RlAKlTacnmlesSED12tPXeX0GxrUd4gklQt62c9pjUzSqq5hawf/uMiILf54i8e+fJIvXhN488M7JV/e6vhqI87p0zzMZv1k0gFXSlOadSYmG8hHtT6GvtbPIHU5UVLLl1JHGBdmV+2cJ3YK9jrNVwq5F0o9Y3r+OOtflX47+h8cRwrLRiGTw9RYSu0SC+lue4xL9W08u5T35xZwZenYCud8xe1x0TzDlhcYfmfnFHqNiRLId+krLC3bTiDpO/U5OrdMjti0OM7ErFOF35/gLo5PCk6Uct4bR5foU8fgRJABcx6aNkHele2e/8AepMv81gLrCoJ0N8dMxdLdwSLUsi3V3gBu2JOaxQm1y7IfYTHteoii9QX4vgYv6rimmioErp5k+8alFpFcLC6EVgS0pcbU4xJRolZF0v8GkmMbLRLw5CUiOUmcZARCkIzs+TqoDSNTKFAmW4RUAdYpgZ7CzCj0hELH+3CNQlWor/NZeW9vj9e//vX8zM/8DH/pL/2lle9/7dd+jb//9/8+v/Vbv5XYUd/xjnfw0EMPDQhbcrtRhFVu7373u2/4HJRSvOc97+Fv/s2/ecPb7me///u/z+c//3k+85nP8H/+n/8n6+syPjVNwx/8wR/clGMcZC+m7w40pbOA2HBhDOBoUF7jVb8gh2wRrYcQ6khuOJZASrW8asi50ql2AD0Wtu+evdroCVpV6fiRJ6F/Fs3geDH4lsYnJdJWZlSi4nyf4W7oKH2RnGg/Yky32IHDvR9IVdqRzV1UlKH2t4hSRt6nf62FZUhVzl3Ltt5hG5k7l26L1i0G47RAyGUNVOkNSmZUPvK1THC4lNWM8l+JJNZ1aQ0kfRRq3L3HJySCTX0XbVgqIPXNeYZb+kLeT4yitCYjwbNSPxzZ7rs9OrfEpUCsoCSMm6S2Wto0v4uMV4lyUf5N0eJoVfy+Tlw8uY0DM7G0AAdo0L7Epn00tM7iEh9BONdY7688JoPRR6RGfm9rvVqznJc+5WVz0VlPkHTKVO+fW5dlsK3v9d8t8jqfVowSjXKA0pd0QaN6LJ+V2pee1ew670MudhAhsM9QEcPvYprfpWDKvpaWvdnz6l12vCFk3fp2X26BnKU+olYO7Wtjt3IevmGH+8Mf/jCXLl3i//g//g9+67d+i1/+5V/m7W9/Oz/7sz/Lj/3Yj1GW5XPv5BbZ5cuXsdauMNHdcccdPPzww/tuc/78+X1/f/78+QOP86u/+qv8d//df7fy+YS1gbwIcGCoKBGCUQ4eqAKD8jpNYgmulmkp1sQBPGP59g6SE3Bz6jkHjnaWtVZ4UGp4hP2y2hkTiGJY17p6HI+Kupq+wzk3gPV0obaoLeScJ0ZzqvLcvy6O2JkHdihefQf+7jtv7CQnk/713hx3UfZ36YkNPn/1GJ+6Ko/I57f2eFT9KZcbIRSb1xfwbpGi/oVZx+lJqh12gcgj1W7RUWfR44KqnyzpJ4BI/HJZX8DVngtN73xZLxHwuHCSuKfJIsAFpdZpsG69Y+4WzMMCpVY1LQ21kkXCUm+z116k6eScC10xMTOmiANtKNjlMnut1JXX7SbGTDlaSYDtLK/mFMdYC31walrwbScUbzotLOnHf+Ao/of+HP7MGTmBukZduZLOxx9drdXWjzwC5y72HxxZl/vo0acA2P6jHR7ZvpeLC+mDuev7A2QCrt0udSdZ9c4OCX+cr0P2I4+SdykCrgOCJGbxY9Ykj/IPIGfJuQ3kN4m0KEb990d75AsXrSdJ/xtIJIpxQagDJC4nRYR+4SxQyyLB3vpAWSw42yf7LXsOXytQOmXYjZ6g9aSvqVSFkMmFQEBkZd4vmPhizfsXDmfzXmGtTQHQaFVVUe2DpHjnO9/JO9/5zgP25fngBz/I+9//fn7sx34MgN/+7d/mjjvu4MMf/jB/5a/8lX23u1GEVW6PPfbYc57j18L+zb/5N/z1v/7Xectb3sK//Jf/kvvvv/9rctwb6buDgt6wmr0cBoYBIqcJSHAXck4FO0KlxQxT/j5a0AdJNZkocBnrsWQ16/57JOuW5ntd0QYYu2wuaBed1gcFnnIlixYDga2fY+nQahzglv21qk4azX2b/eA3MdsaxwOHZ5w1j20DSRJM/ITSxxprM3AHHPL8xNrdBQ1ztZO4P5bdJjZArqUPpGY7IqtKtcaUDabR4fYlVtk0X9aZdBUQAqpZoMN3WZAxQ83lgdd9CGCV6hm9J5osEwxLLdDrWDPtETbrVDfulli7TLw0hN50eRbetxlysaWm7deHHmpa6vT9ks7XqZ1RDs6PHMnYHnRAGvh2UB/sA+os9oTzWTDByxXNieK0zxzo4EyP+yplwMMKpHewxQGPmvSlmlK6EpMxsecmznav/66QAEeEkhtNIHmTBle2pPOWVhW02dpXtg1zqTKD+uNIKDwo48gCWDk6L/5+4KAnMtMeaZCfRgw69L+XE/FZbX2OTnN0qGy9kZjyR0uE/TgX+nOKHEyWW5GL9nxzQ8pv5Tz8gsImt99+O+9973v53Oc+xyc+8Qle8YpX8NM//dPcdddd/K2/9bd45JFHbnY7X1L2vve9j62trfT31FNP/Vk36dAO7dAO7eveAlbmBf154LOf/SzHjh0b/P3qr/7qDbfjscce4/z587z97W9Pnx07dow3v/nNB6KlIsIq3+a5EFYvRbvzzjv5gz/4A77t276N7/qu7+L3f//3b/kxb7TvfvVXf3Vwje+5557nPMYQdSV3jU/OWhug5hJMs07ISjvX9NrYIXM6JirKs5ddcJTk3yUdovkdJaO6QHZqIlmqrijNWkC4TDFGSjcKFdUSgu53BmP1eft8TaMauiCQJPKgNrWn9Uta1dCETHcTMuwOl8inIlmX8/I3hqlGUrjY5pKKia+omMifKiiVQSkCX6QP5Ieyv1a1NH5O43ZpQjC0tXuSwQ3BQ6NEgSQ62zO/zsxP5Y+Kma+o/JTKT0Owb0hW18OA+2TDuIwuOj7935DpXVi8CX+KIjB6xz+joqsmMGQbCG2tq4MSTJ3dTyFsEWuCXTe4BxZqj4VasqDp/9SSmgU1ixSkOUj6KZ1Ddl5jc8HlPsh07miHv/4MdSD0Fcna/C/VcscMd/ivUBWlWqNAArITP6NikurETRbQGDyFXv581i6txDkptUrbl0ozoaDwRZA/y6DryiRZ3jwQHOv7c+j2GAq/0q+DWYVVFFtmYwK5g/W/w70ZAj/x3pekjO0Dd9jrXrOvlb3QOfjgnjo0eJGkaefOneOjH/0oH/3oRzHG8CM/8iN8/vOf59WvfjW/9mu/xt/6W3/rZrXzedltt92GMYYLFy4MPr9w4QJnYpZtZGfOnLmh38PBGZNIcDC2nEkxkmboiKoOD5pO0WYBy8TIpVEFJRNsYIqWmrAWq+osytvrTco+X9gDu7ogCft7LgmCA7LbYy1tHzQslR+2MWUgYvQ5RPxyGHC0iZco+InKcPes497bNwGYvWoN7rsTf/KFsQn62QzlPG5H2nhlb8Yzy4Ind+XYj+uvcnn5CHtLYWX2bhnOsdcOlcxDgPUH6FCMcGtVhDquOrwvR8yqixQ1B2iKXa7ppyioUvS1o6Zz85Td6OxiAJeOWdN8EgCSTnahJxhVpXuxsXs0IRMMMCnWmepjbDjJPLeqkQVCktApOD69j9ciUmvfdmyNu9bgSCHX8vaq5dtPX+G+nwwwuv/3u4adXFWpFns/U489Bp/4AvXnrtKGhJU24CxcPS/Q1k+fv5ePXy758o5k5c/rC7SqTtCypduitjtJh9u6Zh92T5vd0zZNgPJOY32XavGcC5mY7BEQSFjMWGVlFpAQD6l2EFADBnMjMFeilnWAlKueKT1mCIbkS22/wNAl3vUwNYmim74tavBPsPxZHN4f8rpMMDmlilBbGmv54uK2z2wYVaJuwezqvcK/iOj6G97whhUHcb+x+rksIpxuBP30QhBWY/un//Sf8uM//uNMAvLm6aef5q677kKHLM98Pucf/IN/wC/+4i/e0Pk8X4syRVVV8Tu/8zt84AMf4Id/+If5r/6r/+qWHC/ajfbd+973vkEp2Pb2dnC6HdfVhx8viL1jWOur8b4Y1Hh7ZVP5hwnyUvHZVFGNOdZkKgN0yZnrfE3rFilLqDCge61joysmQSoyWmFmgywhniQ3GTWDIxdF52s6VTNhMthHn71uaVhQqKKXOmNIwGVVh/VlypL3CKphJrMIGe3ST5hQUEUIuDLJQZU2qsGaQHie+2ywdUvJFKZ8zzqFmqZznvhZcOjD8ZTGYejCmF2pNeaqHNa0HuB05pnuvBTH+j7wEE0rKBKTt9Rzx3rj6HCT9uYGdeOihpHx5qghlNl6cbjbwNFidInGYFW/tmlpEly+c/VKYEcpM5jr8z7s63wz5BQ92i0/x+uZzmqFhbHf9oipfWDZOmc1V1JqUCHIhJlfo1IFExP7UCVnOre01yzTLX0k/R63b5zBeDMgEIsZ7f6cDY6+1GM/G9edD2q4D3AZ87Vp5Hm53u8O/tzhvM7uQ0EkxPvAeT9wusflH18T87yoOfgbwW7VPHzDDnfbtvyLf/Ev+I3f+A3+9b/+17zuda/jPe95D//pf/qfcvToUQD++T//5/zMz/zM19zhnkwmvPGNb+RjH/tYIr9xzvGxj33sQD227/7u7+ZjH/sY73nPe9JnH/3oR/nu7/7uGz6+QqdJLddPBFZkDGyU4KCl8GWSJZF6pR5SlmQD6DUppRa4WImuxakl1mC/OOupJ1JNd1rJq8H3K/0QIXijOpe8vkax6nTnDoQKTojYlLJY40R1Py9T4rC98ii87uQ1Tn1HaOPr78O//H5YHy5enreFmkw7lzbttiVbjWLLyoS6VNu0dq8/51DzqnW2EPIusceKs91kjpnBuiWtnaRzlIVTnLAXeN8RabDmdZRmWq3fGTBoZvDo/aCUShXoUCdemg2MnqTtOzvH+Y7CyARZmaMcd6c5FiDlS9ewpTeYluKAT6qzvJbv5vtOy++/97Y59x/fYm1NWr1+e8Pa953G/Sd/8Ya6PrX36jXaL1/jyS8c4+md0AZrWDrNs4Ho7Ytbis/tXOFpLWR1tdsd6GYv3Xaose4XJuJI9uQ0ioY4u/dw035hJBmL2McC1x5OsHn0e7ifeM36a1EPnkSJrq+Soklmqnf68zYdlOXoF1tFcBgyvdTRfbMaeR++zxchOjjcuTbxfkRUY56Gm2Exw/1CtzXGpHno69F+6qd+inPnzqWa5Ve/+tV89rOf5WUvexkAOzs7vO9977tlDvc4u/n+97+fV73qVS+oru1W2kFBb7HRHTS494c1npF/JJVfRKdsJAMUdxkXXLn56HSH7aGvL46ynhFCalIwKziXqkRrjSr652uiNxJh2MTP0GjmWZ2s7L93uFtV0wXOl5jJi7Bij6VW84H0aM+cHgO5FotN+s02zCfa905Jnk0uMExUyTRIXpVKo1UfrFntH8km51KNkomepv0bilSzXfkpFRMmsVRKa7yHNjj8BZL9T+PTAVnF1fEpJ8e6/iijFRjlk5OdS4bFc7Ihmy37a1PwRo7N4DhRPz0SxdV+FxQpkQJSjx9JU8cyYBqNpXewx+Rf8b4q1DSRphWuwGDSOcReig6v84Oqv34+iaUDQRIvzUPKDtoUGfrT8YKzPUXWG5WfMDWGiY6lAxLIyB1u57OYiJICjxi4KRLiILzXCuNjAWaszdchGx6CBIGBP7c8qBIz+DF4LHP1wYSF/fXM7hvlBnPziu72yr01lOHN53MXuJgimZ5VHdqXK1nuSLIrbf4asJTf8iO8tO1WzcM37HDfeeedOOf4qZ/6KT75yU/yhje8YeU3b3vb2zh+/PiN7vqm2Hvf+17e/e53853f+Z286U1v4oMf/CB7e3upLuyv/tW/ytmzZxPM8L/4L/4L3vrWt/I//U//E3/hL/wF/q//6//iU5/6FP/b//a/3fCxI7Qmvgbo6PC0RGbFzks2Lo+kGWSgBBmA88lRZA4cOTNjIqcYDZAv2tLoG7RBU9ZOxrDe4Y7Hi/WqdlAjGp1tpQ6o508ZuKGjGH9vzJRJcYTKCNnW1BzjJHfzcn0nb7pNfvO209d42ffuYP6j1wHgXv86KF4EYKNpYGdOsyXntt2WzDtPk5ygNvR7nCDbgVMjNbZNmoAl81xnEW+NdXaQ8Y6/y60f/FvZHnqkQOjTPtBS4X056sccKhcnhbD4s3OsW2YM2zWgMeVxADb0aW53xzheSlBgbgtqd5aqkIXQne4s33t6gx+96yoAr37LFYqXH0VtyPacPIL77u96vj2+alpjtzzndtd5ZFcWkJdrxXYLz+7JuXy5ucTjfJbdxbnQB5ppcTxl8eO9pEP9ca7vKn2gA2lalN0Zk6fY1I9x+yGcc5+pSCnIstVKFcT0r3WgVD6hm2xfXfqNSH1GLfHhPZHXl+3fbUWMJKT3OZssDMeI8YQ9XnAoZYQ1+EB4XDjO9TKJL9CkfuwFbnsTkXgR4XThwgXuvLPnhbhw4cK+cx68MITV2MYO761ioT3IHnvsMW6//fbBZ3/5L/9lvvVbv5VPfepTt+y4N6Pv9rX8mcnv5wMdrghBjXwJSH3s6FkYZ9DGda7R4bVOMtwpgBf4GnInJc8SAlRqg6mXgGPlp2ilKbWMwUZPaG0+dgh8Pda0Ru1mn9YbInlWqzll5tzJwl7OoVUNTcbiPV7sR5iuSRnukkobKh0dMzVw3Lxn0BtD2Hecj4ZBRE1JGbL0pS8plaHI5Kw8JK6SiZ8kmH3c/2B8Q+FDlp2VIEDvAI/LA5w/2NEYj4QyT+QOaJ+c2G+LqG6hWAx2mpN+eW+TQ+6y+SeaocCN5qEcKVHqtQHZ3MRXlMoMggZ5EGK/bHeO0pSMdZYsysj/cssd9MJXVOE+m6oJldapZnwFJXAQv1GcxzJ4P4gDXqAwvp+bUkbb52vh4VWUNXTvrF4f+t1vJfsLQZS0jhsGHcYBnP5+6t8Lv1K2DT0Zng/ke3G7LuN3kL1Eh3+sf14g6+9bMz98s+tw36p5+IY9lP/5f/6f+Ymf+IkDWVoBjh8//mdGAPOTP/mTXLp0iV/6pV/i/PnzvOENb+AjH/lIgqo9+eSTgyj1n/tzf47f+Z3f4f3vfz//9X/9X/Pggw/y4Q9/+FCD+9AO7dAO7WtsHoV/gZnzF7rdfvbAAw9w5swZPvaxjyUHe3t7m0984hP8jb/xN/bd5oUgrF5qdt8B6g6vec1reM1rXnPLjnvz+k4TF6ExkHSjSAzv+wC5EJFO+uyf0am+GvrgVTxWRKrEBXVrF7TdbgpyGj2lNDOMkaXXOieCI9UvyCs/ZT2UTpUU1L5laU4AsFdcpOm20/4bu0dd7FLomC3WuIxgUbLrLZ2uU5t1+E0MGrSqxmlH5YMqQcZGDgJr116n76dqwpoxzIreIbbe07qMnCtzBGI9cC8nNcwIalVQqimVk/bNmFCiB46WFAoEaHJgSTcZumwQFEWLgkJ+2Q+o142/sd7ROU8TLvtSi4RVPKfWxyrwiFQc1gXHY6iUdR+iEL0XnfBUuqRrWr1IgfcYgMnl5+S7sERXq7B5pTRlUI6o1AYzjrHmj7DhJcO8piasm5JpkDabGKlJj0gE63xwakO/KkPpJ0yD6ohSGqfaRFbX+SWd04MkQVRfAVE1mfk11sK9u2YKZoVmmiDlw0viEeK0yARv8IIkyGD9+XvpBgmvTAJDvg/JqDz4O0YGKKX7kpBAAJoTvXX00rNWFWg1SVBujyOX/RQ0WocKz7NSBXn5Yx+wyx3xTDIXpKQyIlSclO3VOpQaBHRrVB0w3lAxxdLRhuvSmkVC8Xnvkk76zbSbOZceWm837HD/9E//9K1ox021X/iFXzhwkt6PAOYnfuIn+Imf+IkXfdzCD+ukAHQYcGPEu0Mi0jYbtIRwIsp8BOIJP4SQ5bU+cZtBxAudTSrqhtM9sijR/WtVDOerUHfav84z0yOoUVYPuu+xwkSU12h7HFpFualjHJ3czWl/PwB3cZJ7Nia85pjne09LdvVVP7iF+Y/fhHv1q2/oPA8y/djjuK9e5vIFmawu1AWbjWcvkyAZRkaHsF9SxDL2wzCDKnWxVcq8FmYamJ8jxNysRF1l4B7C4KR2rNdfz2u4xUZwpQyaZv0ifN/GA6DNGrPyJACn3B3cVlUcn0g7FlZj6hOALPZeeaLk7Xfs8Jq3Cdts8Y7X4++6Ax9H/HLywiH9ANUE72FhDZdrufvOLeDq0vJsI+zt5/Sj7CyeobW7oR/XhpOZCvrnEWmiSwo96fvEdYKkSM+fZiAXNF5EoRnrYg8scBXE0gKtJ1L7lvaxGnHPCXXkA4EmxkV5p5eDiHY8r/Q6ZHTyDHahp0N4oe5rwvdDwIzZbvdl7R0977npADP9erbd3V0effTR9P6xxx7js5/9LCdPnuTee+/lPe95Dx/4wAd48MEHkyzYXXfdNdDq/sEf/EHe9a53pfnmuRBWh3aw3Yy+k3s1v5d7uPiNLCH7uU3G0bicj3WryeEONaAx8xiRXkplXB6+53zwfiizVDJJGcFolZ8wDXNhGfgjIneJUVVY5McMfEfn6iQF1bMihwBAIntzeJ3VkQ96SEjcEjovONYJgu7FoenReyqwR6vUr86rlAVqnTBj9yOgwlBmDnIvhyjnVGJ8gYnSqKNa8s7HrLkffH5dG83TMneX2fvhfBu1yKODvbQSRIgM2p3zdM6nddgwux3OMl8j7TOv4MFF5zWfHmKTB8z4ZrDGk2O6QWDGqCJJcubO9lpcR2mpn55kDu+AKVwrlBuSp5W+v7edclgMKitPsKrL5s6hyT2iEvTeKBXK2oa/i9lTxVAWzIRla/w+ws0Ty/qI+0f2sX+1dn6P5+iR+PyabH2qKdEBXWZ8FZ5X+d76lqHChyMvTYB4P+QZ7DwwYodoGu/D8jxb042Y5aVNsXxD03lNxZQ6oFxKPaPTM5yWtd8q5uDQXqr2okjTDm1ohiJFhyOExYW6m1wmpHWLVM8Ua0BsiFQ6Hetl+oh1bs4PnYv4r7x+EQvgMDjK6xF0meH+V+G1Q63BVYd6CI8ywSlxGfzauY4yQJdn5UnO+Jfx8lII0B48VvDqoy3ffttVHvgecbTMO97w4pztpkFduoj6yhPShs88zuWPe75wRZzPr+xqzi0WbGmRxGra3RX4937n1tfVVig9SxHvwkxFs9pIfemaPsURTnE8EJQd0zM2SsN60UejQSb6eSeTzHbbcdmKdjbAZvcU8/YybTcPrRm2RVPgIFvsteSScUppCnOEDSMQ0hN+gyOlZhZGhVIrJrrk2ETa8l0na97wmnMU73iDHO273pj6Ekha2S/YnMfWsNsZAlcd241jqxUdV4Cl3UqOKfT93evh6uBwRodbHE/rcxmRVefyejVYg9KBkfOsMKiBZvU0EeLF9o2dWyFVygMfnbQo3kOuGAWtdDiPPkOU651GwrX4faErDD2p0Ljt3tuQoelLEVy2SN/P8nIZhejRXo+Y5oVavuC64W1v8Pef+tSneNvb3pbeRxKud7/73fzmb/4mv/iLv8je3h4///M/z+bmJt/7vd/LRz7ykQG66ytf+QqXL19O758LYfV87Pd+7/c4dkzGhZjl/cIXvgDA5ubmDZ7l14/djL5TqlgpqbkhGxEiyfOaOUIYgc6q6JSWOGxWMy3bD7S7vesd5EDWlTStfUHlJ5jsGKUyVCl4prBKp4xeEfSqXfZMO9/RBUh5QUUuH2VzKaxYbjMKhnttMQj7uPSaZL8L32dXB1m3ACEfZit7Z7Vzns67IDUm2xtVpuCy0VO8L1Kpj468NIMaaZ8cLR+yy23UFlfdSrZ37PzCapJAh0CknEMxcMpcaH8TzkFb6Fzvai07OX6nVh2s/Fg58eQYuhydbjleh/UmkeMqpcH3TnfPEp6V5alh+Y9WZar1n/p11vwaUzVhGs5xajSTDNJdaJWc2tCgUFcdM9yaiS8SkgHAUtAm5IANJZG9XY9ArP9NOGc/FrJS6CyoYb0KDra877yn9WQoA0e48oN5P78Sq0RzkUk9kzqjz8rLZyYR+jrV4fQklYCoUMc+LkGJa8Hx+nzgaOfvswSY0AnmMP1hkF+jKINrJqgDoXDvvKwdO1XTmTo82wfP2S/UXkxZF9xwru8la7diHj50uG+iqVBfIm+irq8OcKC+hruzC1onmdP9SBfyZ1iPWM97aYOM+CFEU9Pg7qW24/kSp6XsdgaHkslw6NCrwUR20KTT/xsHrRjVTRHtwNLqwrl1doHSOkXAp+oYp/wR7t6Q83two+PVx7e5+8EtildJPZ+/5+z1T6ppULs7sAx10Ht7sLkNFyVD7s9vYp/ZZf64/PzJp07w+WvH+NymHPOhzZrH1ZNsdiL5Vnc7gZ36oHMuUu2snONEdK2L6GAf5winOe6OA3BSrXF6WnLnmvz+njXLA+s1dwZd8ZNH55QTi+002zsyAT65fYSHto/zJ9dkH5+f38FXyk+xbZ8O/bhMCwuQbKvyhdQIhyuRI+2M2WBWnmAtZLBLZbDe07iQYfCwXsLZNdnBq09ssfam47jXjcotInHY1asw38Ofvfv61+Yge/YimxfWOL8sks72taZlxy9ZKumXRBbjhxPdYME7MokgR4hlExAVMdsjGei8BivXa43PZoKYJcKTcHyl0apKi8dSzySAltWU5YEaIdtxg0W4tMejAmmRVxalOnz2POJAxVIY1UPjgKRhm1jO99HItkHSCMAq0Fm9olIGhe05G+A5HXCVL8hvonm+dnC27//+779ubZZSil/5lV/hV37lVw78zeOPP77y2fUQVs/HxgRlf/2v//WVdn2j2ovtO1GDyHI+IaN0QzYgvxLPMicULJn1GWfKBGuFgETzDB2lrKaaERlWJCCLeDKFolA6EU1pBdabTPM6QLOzRzPXGbYqLOATokey2621aN0Tt+UBco/D6JJW96RlJmMtj2uaSVguFkoz1lRuXaa77TusH0pSGUqKsP/CTHGuo4jqGaqioEgOt/eeTkEXzkmjsN6JbjXQqCbUve5PFgr7O8BaZ06+LkRpIQtcWO9Z2j6bqpVPjsfSOmpvE5KgZ6mPY3JJJHuNx99Xdioh4oZZcu+dyG5FRzKgKMaqNz7jAynUNNX6T90sONtmACGvDEyiDrYOs1a8dC6ysfcOd0kxQFzYAJkGqTeX2vk+WZQHbt1I0sqHjHSEjEvX9g62U8IMVKrgUEu30IYuaiw01tOEDRpnaUOwONqYXFj22wc2ok54mu9DoDqHlLsM/REZ/J3u52YhOs7mcN9rcd8Mh9dlczPI8xb5CmZKCFuN17iAJGy1ONwiOXfzHW742s3BL2W7FfPwocN9aId2aId2aC8Je1EZ7m+AyLq7FQV530Sm1PWXNCvBbSCShEaLzOXyWqOwyXkqdCX1xrHG2k/E3VaxzrUDBbUSJFZyyOLNqYaBLFlca8roYI/g2iAZSON69EpOGBYDgJF8K8kc0TsQ1jWDwJ8dobG8d3SqSkEDhabAYEJWPbKWx6zb2Nm2XrLaSye/W3rRBe+dIY/xBRMlfdaadazuKIy8L6j6REX4vcvqYIXCztIER2+pdkXbPCCdItPz8LqakNGOWXVB1SUnX0e96P64rbdEf7Z1Kjj6ct1qZ1kgjPByzHblPhrITYUExRjdNHbC89/HrLb0sWT9CzUsN/CZo18GbXIg6KELkV1yoLWSrHY4ZKyhzodJ61XSxi61YuIMLhLoeWjRCcbeqnqgfR0tV+RxONrgnLa+oHWaLmaolSJXm/Lag1PU2WedJwX8l9az6BxzK/tb+I6WDquev5OZkFlZP8e+Beln520fhNAhkBAg5qJY0Aen92e5X0VX5N95MvK+hNrYbz+hvBQtjjYwKwwaKJzCWXlerD8WnO7lvqjLm2Hf7KRpt2oePnS4b6Ipr3otyzgwqX4gks9bOlfTBU1K77vBIiHBRVOkU+BdMZs9ZtaUbSLzogwiXnUIxcgqnCVsMHyLaAMPa6tW9ZxXzndUY9pnwENb1TAKnCDlEdqVYDuyCEp1K6piqgrWgyjmemGZlS3KAHshY/3UM6A1fiZRc7Wzg3r2HDxzURp0aRu3U+P3Qr3ztY7FBc21y/L7i3vrXFyc4NxSzvmZheaxHctXl1Kf/Ix+hK32aZbtlmwfMqN5Dfd4Qhem0LAQ0zPW9ClOemE4vt0f49Sk4uRUtj9VwV0zx8tCRvtbTl/h9He0FN91j/Tdt74Rf+YMKMVtl+ScXv4nD/Pd//pRPvkpkUb7yPnbMVfewpem/x6AneWzeO8oTIQ3hwE6lC+03RznmxT1L4sNZuXJBCVc+I4rS50We4VWnJpqjhRyHU+d3IV7H4CRHI/a2ZZ/z1+CtkVdkz7zZ07jy4kgDQC1s4ufzfAjYiZ1Uc6v++QTfPHiXTy8rXhyLv2yrfao9ZKaXi4lN9EubwYZ6FzDVPseRSF9sRzUtauwUOth6i7J1shVBk/RozXC4q6/DwzGTFNfj9nSHcNFvrQr1IGFpc/4X+UtwyfWkIteixxdke61id6gQBwBOWeBJ3YhGxOz25EB17K6WMzNsfpdrlm73xh0s8zxtYOUH9o3ng2Jqla+ff47yhxk6OcwQ8nEz5i66CzKXNelDLP8mwjKdMl+uuA9JFYy2mXKoEvmZCDXpHpJqp7rY4xGyZ5Nn2e4uwwe28/D4wx34Wf9mImmokxt6jB479P7SGQVu7d1nto55mF8mas5TrmBE23o4c+l2cD4lomW7GwkZYtmsXQ42jh+0dGpjiYwfNd+NzC/x1KprDwn72PVZ7QjX0oRx0w1Y6LWUiBDztPj3JhtPjibdCz1Iulox7r4dKxRzfZzWS7pFbePTrf0VxFYv4c8HPkxS6qEfKiUBG6MUgPSMR3+je8HBILaU3gGkPNKG2wcgAVulEjTtBrKQ8q9ZnsJPN2KlnhoU+sdjfXUcSmsAroqbN95wPSIughlXoQSunnn2esse+G+Wqg6teUgkyx7XnZpBihRgZMXCYESmftNlvH22uFMj5hrvcvquOPcGdfWo3lQyV6GH6l+bspLN2HwrMbjT1RPdDczmkLDxPXb2G6N1h+nUzW3qoL7m93hvlV26HDfRHPK0TGceDvV0ak2TQ7Ot0J2laKzNbl8lsBdaroU8Q4Odz7QrRB2MKjrSg58DCfuh3wYONF6UDO6n04wDKOrg12NHOrYxvH2sR0Oh/JZEMJ1ON8l2G/na2pvs9rlgnO765hHHKe2NwGYfumP0cf+BN/IPrpLlp3zE65syaS+XVcsuzWWVvptuyu4WBvOL6SNV2vPZmPZbGQwv8oOF9XTbDmBZ+8tL2LtcnDOElSIcG2ZzCdB47rQMwpdJRKTNU5w2p3h7kq+v2fDcGbmuW0i/XK87Lh9uuSe28Q5ve2NFvO21+DevCqrFSHa/uzdTL5/wZ//7X8BwJF/2gIncFfeAsBjs8/QuN20kIn/Nk6yLUu9SesW6XrNypPM1IkEDV76hqZrEyxsTVWsFVNC3IPJzELVS2wl25H9s7eAvaX8AerZi6i9Jf6qfO92G/SJGepbxMH2990DdY36g08D8NU/OsLHr8z4wvYmT2ohs/JYNCWND/sIsP5UQ+UaOrtIWZt4L0VTKuicxwCXW6w8O8536Y5Ocm7xPaBcDxXM4ebAqmZ1WMzmTms+qcbX13N4n8skSl8lqaCJWqP0VZLtcYHcJidqbP2cLurg+nrQhr5kJNcmHTOrmrSOMKqkUy3mluiBvjRYyv8s7IEHHnhBMLX3vOc9/M2/+TdvQYu+/szoks7F+bQG5bhRlvKUlQJhu8YkluNCVUz8JMGry9G/bajRjg53kte7znUdMjOrgYPt8IPAgUL3AevM4rOulB454KFEBrdShjM4Y98meKrxhokyVLp/vq336RRiNj4Sf8Xs9lyJM7qrt3BYKoKDzUQyd6FPKr2B9W3KeJe+GtRvdzhqVVOrZXgvmeWoUd24XRnz0xqqGTpB0XnVfdnNxKyn0huAidpg4mcpYKLQWO9oYulfCDDGdVyrGuZqhyaUAnauec4xfN964lGZXiK2VCbBn+UMJAsbM7F9trxvb+F7ziDN/reYUrnDLdns3plSOO2x4Qet03TaU/mstt172ohs2KeESPTce835lppGxfVEQek0pe3Tx6Ue3utxL3IsRec98zDtzDvLrmvYDeVk8X4QBMaIh4DhGrU/wyHXiFZl6lsI5VdoiuB4a2VwOgsue4c3ji60yQG53OsKiVuqaR8/a/sjG8ZmfEGlegWA9VKY5Vun0nXr3ITarbPUGwNZuZtpX+9z6YuxWzkPHzrcN9EWak4MREUhe2Elr1N2qQvM0s7HOhhZwMeBOGbi4mJXWEnNCpSn83WKLCb5kwQVC5nuA7JQeXYuvh+zIst+4/bXdxCiLmDKaEfnJ9WoDuEzzrV45VL7rRNoTGtlu6Xf4prf49w8RKeV4Wq7wZd31ti4IPtY+6L8u9vJNlcaw4Wl5loTIGBW6q8i4cZeZ9ns9riipYZ7hys0fpfGS3+3dpdlu5XYr11wTnRiVi0GxFUTs86kOMpUS412dHomyIR+yp3kntka33JM2vetR2oe2Njj2Ewmjcmko5p2rN8e6urOHMffdvLAPk42m+H/6n8MwOuu/VMu/Yspm42Qy9nd13G1PJ+itlPWcTj2zKacEw66Pgu7bk5xwt/BES965xpFTUsdYHPaK5yfYkKNldJA20IXHNqikFr5+H59FooOw+y0vYd7apPmcdlfvaUp15ZML0of6y89hbu24OIfyf7/7bl7+A+X53xZfZq95nLfz3oje37q8MzEe0da3psbOtzhO+uGz1U0j0dh02Iz33fao+9kIiU+U0PiwgHbrW9DVqlnks/hjt7HgIAb7IOBZmwMsOWBrP44RoXsR0AmTPxsVOsn2p6RSKn1cxq7l3gjOrtY6aMBq/mIo8F7i1KGIusXpXV63m+muW9iSPlv/uZvvqDt7r///pvajq9n07qvy02ZpQFSyzAmU5M67Qj93v+e7hm6S1GMTnwJKhwnkG15RectZSBR1KlOuEdGPZd5D04NCcNiEFRHNFuW8RzLEOWcFfE779uBazAgOXUBYRZ1rimYGsOaiQSwUncb+Q6iAxfPuXaOpW+YB2LLub8WO1b+CQSLcV6yzDCqSBlv4wuU1+kcreqo1ZJlgOXHYGE+VwvxbBPORXS9e63kMKapgjKgvUo9SzrVAFM2qPw0ZYilcMBShwBtrWpamt6ZVG3IrIcMt2sH/d5zgex/TcdowegI9gSnqySU+ZpPh3soZ7FW4f/RfODliJwtVg/HRIVMz4mVXLi4Uk11qSXLXYRETWejHsb+zocElltsRJGpmkYtiBJdhoLSFZS2R0b4UDIBYEfabVZ5WgeLLq7tOrbVLtthzdb5GqPKgZ58X7YQSc/GKiM94jL+XjTlwzrOTxIbf/zeKYsP5MXODDkRvI1qNLFj+yAP2V4GrxVp/SANGq2zsSnYXWCYaN073IVA/Vvn8T4GugyLtmLOOpaGW2HfzBnuWzkPHzrch3Zoh3Zoh/aSsL569oVt+/Vsb33rW/+sm/B1byZHZ6mgx5wCVyEQve+N0peA5bq7KCUIlhCkLNWU0pUUmXbx2Ca+SE6BQNyLlGWPUOK8PjnnLRgl/bDO07q8JG0YXI+/S9KPAfLqUwAxONvjWk/fO4dOlYOgf0nB1GhmEdqEonW9PJMO0OQuYyVfqJoFgtZaWvlXmbi/Ck1PAFaxRqfa1EcRYRXRgW0IFta+d7hbt0jJCHm9xPnocA/ZmlXoH0Eeheum16hCVhtg5tepfJWQCRpFi6cNDvZc7dD4eXK4nWslceJiaVIz6NPIQD4q1e3bNEpqxLKg/dCB0QSWv/Lx8DcxO4xcn8Y6YtG2suJAT7J9xOB57CfJevvQR8Id0IWskVbquoNqLz8aM9wiZ5kCLQizvXGxPVKqGJNSIhvWk6p1QOM8e4E1bc/X7OktFk4COJaWgilCIhYDzAHRkZ4nCZ7HwPqYxTy1K2qHU1L6niFfo2R/IZhsdbtS0+183V/fVHoSd74f2hOGMYvsu1HrjC+otGYahpW1QjHRAruPz9/SatZswdTO6K6DWnkx9s3MJHIr5+FDh/sm2pxN2ghhHcE52zRQ17hssJaJwqaoZJ9dCgOGbiQqN4KQOddDsJ0fwmjFzD4Qo1znsY+S98fNB4lulKFehbHnk75SZWJVjtk4P8pwp7r2GIENEWrrFnjfpeqcRXuVS9UzFEtp71azxtRolMqjrYbWefas9PMVrnJVn2fpQs017SDr1/ma2m5T1zupz4ayIl2YRPssntZV0gY3ejKom52VJzmq7+SIOyHv3ZRczuHUZMo964bXHpUs4xvPXuDUK5cUp8Kir/P4pUNNQ58VGrU3f35OwyzUC3/73bzyjy5z76bUiT+5e5TWNykyPvGV1MFFKH+QoJiGczjBXdxnbudUFdnjFbuNYx4y1JXWnJ5pjpch4116WNSp5tqfOAHLJX5N9sddIt+jFnKv89Q5fH2F3YtyzheuSCb92LOS5Z/OdtnamvEfLkqG/pOX4WH1EJvzJ9JEZFSBU1Wa1BM6JOmeZ/cVDOqzIZ/4DuAzIN6fMUM0rm+2ITtksvduNKG6ROCjlMa5NoM7dgMYep4hV9m9LKvEPgs21nQdE/IUqkoQzdJXFL5I5DYWgYemhZCrsa5OC9bOzgd16kqVaFck2HxEceRZMwAb6iGt7/DGYvWtia4f2qG9UMu1llN2aaRHL3NT+H3IbufcHJIp9em9Uj2yqfQVBpM50MPjm+hkJIKxwCqe5sax/rOj8w4TsorO9ZltECeqzeqZxT0ZOvne5yRpesSxEBURsrkuhbWGSJaYmSyVHjjcWglzdBOJ1hE4eXQAWm9ZqDlLtw1AbXfSuQO0ZkNYz4n1ySXK905P7Kvo5DSqoWae6qUjOqfn4WgEFRdQaAzg5LJHELRDJEmLzPJVyHBPfBXq1LO+9CTZr8bPqf1uQlZ5b7G+pg1t2JeobcBI5lbWVPmaK8qS9dKNo2sqgHZyIrv4OeFsPT0TfJvKAXrHjMJQOY/3w5s04agC3DzWcDcqljQMf58jD7zr14EOJ2oXaZ4R1FPMDqOg0AWTUCdfOsnIx+NJs3p0CIj8WiRJ21V77HKFhd0Mfd5K/b8usAHdFaH2ae7z7aCueT8+EiBB0o0vqCjTOUekRQy0WNXidJtqumXevH4pVT53kwgXD3aM82BXgZDeTcLPp9pTaNB46gBNmBhZm01sgd5H4vTQXrp26HDfRNt1lykRCFNapPo2DNShHskuwyI8zl42YG2ibJHUmMYFe4yCjiFJeR2rkKI4xhDug+wgCDnZAjvC0OR9ty/ULvddvHIDSHleL9Yv3MM5xr5xsa62wWNxIRJadztsmWfojHx/Tk2xtg1SID3M1dJSW5nkF801mm47q7/VwWGOtbexfzInQ/VORiRB85n8iw7MpvGctCoTOda6vo0z9i5OBe3wNWNw+BQQODnV3LduedVJic7e8ecc+s2vgpPH5XB7Czh/GXaCczopYHsH9dhj0t4zZ5JjfaB1Dp2FwC2emkW6Dp3qaKmZhwhx3e3gMrKae9VpXn+y5MENuS4bhWO302x3cs7Ow5lpzcuPSxCjPCkFYCpqEHoHVYU/ekzeHxGH2tfBQd9bgHuKa5tSx/6V7SPstIZqU9pcKMfVpuChLenzRxbX2HJPYV2TyG5iTWIkfluR9fIkqBWEe993yXntI9H7wEiTZQvSFYfchfvWDX4bJbSc79IznbchDzYNF2ZZ4GrFwY42hI1GToVU3xdkTWKGqPRSIxnHkLEkmLQ6I1KKdeopCNDg9aTPAGbPTPw99M+rcFC0dGbOzTbv1coC8dAO7fnaODAVx3V5X0DIRA63KQY8KpF0VL6TTGR03EyQr4rkqINKECLLeA8P1mGeiaVJWhUD6LBXjhaHjvd8zDqHccjiaXxLG4JbuRxWbr0T1A7kK/2Ko03oAw+jkpAYqDVKMzGKtTA1GqVonE9Q4MZJ++LWrXc0upc6bTupuW1DYLfV81DP3cOpzagO1+f8NyHDHTknorPdB+iF+LIPutrVC4HUuqdMZmCWj5JXE0R6KRLBpTVJVgrYujk2rCdigqOHscc+jtciktpmfTpuEj28udeHHsOL+6Cp8rofy0f3rCbO72363nobarvDPjuRBGtDDYAd3/f0aAUAoz0ZOT7OeylOUv29N5Zjs7gBqjrqzMf3RpWUIWkx8SXGq3SfKRXalEjTPEvrWIbrOtc7LO0Wdbed+kYpTadmdCHjrLwe1JHL0zSE+g+zyOG59D2aY6JMep4LFN5XWGTNYnVHp/uSUK1H/Eb4UFSisv2bbJ3OdW0liYUeqBQY7Sm1XP4YqChV0FTHZImAm2ceDufgW2SHDvdNtGV7jS5MrDnpQiRKA0J2O9QcESbBXCvUNVjVM5dbN158j5wLGDiS4++i7asJmZEm5e9TzVd0Irwjj0LuT0KTTz6rx1Lo7Hhx0R+j9nawALBuyby9nCLl3rvBhAt9IKKfhPck4h0DGcrgHCt1pj0T+wSjJ6MARlYzNdLVdsHJi07PMXcbZyYb3Bu0wtcLIbWIk9rxiee+tZo7XyaThX7La3Df85ZhWy5eRD3zrLzZE+dFPXNO/n3sKVjU0FlYlyAOt52AtRlcCjVNn3mGZzZv53zw2c+rS1x2j6VJfKI3cL5lt70AQN1ew+gpG0hG+eVHJrz19j2+/ZVyzOlZ8K2nuSzXd7lbUFaWtbPBQX7ZUahKqEM2d77AV1VytJNFFvPC0F2zPLsrDv7D2xMuLvufGQ17LTwZmOcvmmfoOnG2Y2BDqzIw+w8XWwOn1Xekey5pWvdR9ue07P7eT7vee4vKn1ccEZnnXB34CMbP5fWeR8ku5VwK10OcRO6AvP4vJ9TRIavmsntdBxIeCBIzqqDLtpdzyZ7XLGAQ9zIOuPnsWRkyu988+2aGlB/aizeFyhzuyEnQE11Gxu5+A1kga5U7f7lqiJBjFkEBoPDicEeHOAZZc5IzyJ3XMhErxv3laLUOm7KT6fi+r9m2WBZKyKiAfeWoREO6S8e1OToNR04CNzpQeDHMohqlKFWv31zq6Gz32fdOSTvlHBytX2YoPhnkE2eEr7GqHYxvubkwHkZpNauEA6NPKMhrl9Vs+zDO7289IVmOAjK+SDXbJT2rd9xGZ06GsG93vfRY0DNfTWrs7/D4QJ41HtMPIstK/ZDQBhqnNDbufzSPWUTvPTrDUk0drl8gPVNeMbU6sYBbLzJgxQBWvoJ3TtfV4mnoaOlRm9b3rPdJ+z22yYqKS84tZExBrcR5bVxF5U0qn/Cxhjze61603KPees2czi3oYmLGO7QqsSZLvITsdkSUWoblBePglFVQZDNMVAmIUmqdU0womcTAjJ/RsqTT0oY6027fz8bX+HrXO7Ux4wJY2R+rTnskVTRKp8D/zbZvZkj5rbRDh/vQDu3QDu3QXhImJQIvcNtDj/vQ6J2cKG+Zo2UkAGsG6JJc3hFC8DXCkkP9doKIh3+jk+AQr6EYkFf1AXSFptAVXdi/ON99Nt2qjto3WN8TlOU1pB2WWi1pVGTHrqWkYxAcd71EljIDp7yXP8yhuxqwWfp16DRqhCm91PL7iRbHzAWH1GpPk63ILVbIsxIKaQGYvoSlqGl1PUC9aUxKSkQqsPjehixlctxwA/WJMUR+P+szyD1sPa/VFRSCItcUl1KBPHtpV/p5fIzB+yybOihRCN/t56jFc1ZjxzASafll+J0VBu1YaqgMTvVknxG+73Epo6ydZmYLWhek61xglg/OpcJjsyBDJKzsslKBRvXa45GoN9fdToiyeM6eAaS70Yt07zasY73DpX4YO/ryFwMvDgm094GWnhAwOthGlSnzLm1cDkq+oi59NONDnXd4vrSXeyByMqChtDqpEFR+SqfWaMM5FCFJ0yedlDxHefB8v4TTKFE2IDmkVwTxITgWywI6B15LScfXksjsm5k07VbaocN9E61NtZEMHqgcYprqT8erQx8zbB2gGJKAqKwGLNagjeqWxhPQCuN4P79GEoeU6RpHzPeZzAZZ7X2jdqs1p/22erDPXpIowyJlu/fe0XZz2qS93OBCtFypyBq+hjGTlC3QrgjogQzWnmctRtn8PLstx+iGA3XIOPZwWtF6jtnjI/4Id68bvuWI7PfkpMOjqIMExpHS8ooTm8xeI9Fd99pXrfSYP30af0zg2OriBdGvvizwb//YBdovbzM/p3GB5XN67CuUJxWulnvl/MMbfGFrg8d35J475x5mc/5YWmBOy2N476iDlnhn9yjMGifcbQC84ojnO771HBv/rwflmK97NV5pJpcuAbDx7AXJspvI4FFJ9tr0CzRvrjOEXLrG9pMTvrQj2eovbjrOLRfp64kyWDxXItkO25Kt1ZMksyWZ1JrWCkzR7SPrJTbMQMfIr8h06OtkQ+JvD1jABeSFz98DXmWQdjJ22ud4DgntEesX9auR8Awau0/5x1gL2+/HzpqhYlahtnKM/HjXq00TJE4ck2qc13h7QJ+9CPO88Ez14Rrh0JQy2X0eJPvCeLhfFinOE3npkPe9hJbWE0o9Sxlu7ZU4xSm7Z3F4TGIZ1nSDDJoOkPRpap/OsmQtDVrpxDXsVFS8753PXBKr83XKtkJcsOeKAy5Aylcz+WmK9Q6fa0CPxpY42iV3XIE2fc12oRnU+Yq7kGc+O6CHX7duQaPngyCExvQPrJJ+inDunPRqf9tn3FGKXNVBWMrL5IgWTqD8w4wzyQH3iBMeYe5yjbJ7KUCXB2OoH4+lbrCm2n/M7S2XXtwvU2np+VekX9rBaxW5P+ih8A6bOaMFrZ/S2CBZ56Q0IEo+RRb/eF29l9eRDE8US5bp3mvdPKhw5Prn2bzjGayhAAo9pw6lR61q6fArS9+DLEeJyu7jWrq/Pywt1rcJ8i3ojjY9v2pUXuURyb68tlspBoGXQmlKHzkbSiZqlhRBDq7HPvg6r24zRLvlMqIdol2+jNJoWlF6n66dnGP/jN4qO5xLb40dOtw30ZzrBJ05go8O4NMBmjx2AAbvfQ+sVCi8UgMHvX950IJXg7e9k/wcsJYxpFwWHZYBc+t4/yvbm8GiZezsyin0A2e+H4U4IUnrGImapoi2WwhMWBlMWLhMiiNMzHpqe4Qdj52xRLblupUF17AOPm6btZvh92h6SLmuOLsGrzoqjuDZ4zto5WlaGeCnVcttDy5Rr/lW2VdwrFcswK/96TukPjo63NcWbD0+4bGLJ9hu5JhTYzk6aVJ9zRN76zy6q3gKITHbXj5F213FBn3mOOFHiB84jK44FrRI7561rL9hhvv+Pz/ssxNCBMcrXyk62TsCi6euYVmjAqmaLwqRBhtZJFVzX3yGL507xRc3pb0P1ee4pJ9MUOgZRzGULJTsv7UBVq/67IcL9Xtd+C4+RypbNLCvw5xB/vbjH4iLSo+UMvi4yFQrzyber3ym0kItOqLjY2QOP8PnWw2c7oPtIDia1Kx1KfNQI4vJCP2r1ZzOLweLkNUghU4weXn2yhWno68Pc/1vw7byTN8Ch9uTMmk3vO3zqh84tG90S7wdygWyy8hO7lBqXAZhBnrNAEb3JSJGT0KGO8tKi3sJiBPhcEkPOcoNRfItaU9P3qV1kYKJsq+WWomjBJLdy7V1nbd0vqZxwthtXR3g1XH/Du91P1V7PSIE3afMS+nB8xwDeql+2PsAP842oa8hNUpyuamNRCcoOl6SpXOZwx0J0KQlGoVkaAEqv4ZDpz5Ix3weY2R/bvlYZFJ9tM6SANczoxQFitJLcKZQFUZXybHrGB1CD53LmN3OpVQjUzrEoGoWqPYOr2xytCXv28t+yT4tkZ/EZeuc9L3qgxYDmbgwLrc01M7ShrmtcR7jVue3GDdtvcioxhKHVrXUap6k0GyQvEzEbeE+G8vHxj7pgM4taE2o7VcNrbM48uRRSrhLoliBcT3hYB60yB38nLVfSEIjqZlk4ZPE5chpd0qHEocYoBjN60qFkopQlucLGl9gdM+fopTu528P4+D4qo0D5n0CKmbtY/tb1bK0jr02BPyQ0jvnRTINRBVA0AA+wf9vtr3QORgOnfXr2aHDfRNt+FCNonO+n4xuxKQeFcYEJwMHnN5ZkBfXry9aJU2Lr6OTL1m/GDkf6xLn+4nHGUZzn89tlUuvDCOAMqj2NeQy6WmUqiiirqaZUurZYIIyoyyf1ESFfYbIf3LQiZNFz/SeZ0MKMyMnNHGuQauCSkk98vFJwV3TjpedFgf55Lc06KMFRLbqWYF+xb34137r8+gLwDlU0wiZGtBdabmydZwn99a4WIdMKHCkmKUJ6tzScG7PsYVkpK1dBuevJzWBPNNZUZopayErfaRsUKfWrz9AVhW+ul1eLxaonR18ZCEvDOjRBNM0qD95CIBrn7L8ydY6D+/IhP2U/wLz+hJlqM+ui1NM1GxAjhMj1HmjhuzxWibmuJDSE8Bk3z8Ha+coeAWsvM/RHAdnxg+wEXnPil6n93ilwjXJmIVH44JWxSgA1hPHdWhqVWICuiMuXKOD3bo5jV8MFiFtxoGQCN6Sg10GxMfQ4Y5NdjAKEJgE173Z9mIy3Id2aBM1wxdBkzcsvOOY3yNlMvSYEkRN1GtWGKwq0phUmhkTNUsEhQ6RwJoHjeg5W3TUKQM2VRsYyuQ0a0omeiOpWxhKSrXWM3hTs+evJYe0s/VKYDjnsGi7PaxbZvwJFhn/hoHiPsAv9dH7BdSimXDudUCU7bqG7aZkLWgBGwWV6Z92owZDnHBYZM5mhGJbJ8Hopgv17xHaH8aPKpB3eu2SXBcIXLpgShcCikY3WN2kIIj3epixD1cmWr4WGQYysqy6B+MUpYmBFcXUF2xYCVbX6hRoaMJ1jsRZESaf62EDCWnXk+V2oa+Ga6H4udRCO0IXp/vU5Bn4jMUc5D5I7Nm+Q3udkFaFqhgznQvPuWUZAuQ7LSxtT/AVNbkjfLixnr3OshvmkV29xdJvU4dgj9RT1ynYE5EUPdpiyC+gfccSnYJZe8UGMzVjFhzqSuukYw8S0Jkaw4aT++Uot7Mst1JGvXPLvp9TRlhUgCJ5bmP3Bk6/VuUgGKTQUMLcyL234BgbbpKCSRoJvkx0KPFwEzrvmPueFX1gSo3WC6soszjGRLOOgS639y5pzG+ZK2in2NmT+3CqhWug1CrVmWuEiV6Njn0z7XAOvjV26HDfRNOqSNngJDuSZXYhZsRWb+fxg/N8FvoHZcn7rF9qWZiEenkUlcFYx5DWmPmNsBzrotM9zhD358jAaRj+ezBTcxaEGPRTIGmKC6M4uIza2LreqehckxwSaZMeZbAjzC7uo2cnBzB6SmGmzMqTAEz1UYA02SxVSaEnHEWcz2MTze3VkqN3hoXZt56EMydgPSwcjh3B3XPPKqHY2JrgoF++BBcu4Z+8CsDOEwVPbB/hiXmRiMYUcKQ0BNJRrtSw3Xa0RLh9vK6hbi3L7sQ+Wy9Os17GhZQTUrbna8aIk12GfWoNXSd/AHWNfuRR7CcfB+Chp07zp1uKJ/UTck57zwjpWbjuRlc41cPBGrtHZxcC3RsFYHLWYAnw9Ky/wCDMsvJ4KZ1lmnXIYccSjlGw6nlY/nz1me6YYnKsOqLD+j+BoWfELvvUBspe+uCQQg9mQWU1MWdk4qIwOuRuIbVv2aLbDmrh2nQciM9/D6sNJ5YccJ2fH6vjx6Ed2kvFSjXD6eBwUw2yhpYo8eRWAt8pgJeCxjmbdO/IWDoa1TAPZTALfw3ru0TO5ZVjotb6HXvSdwAFFWWApwO0AQ7bhJKZdlQyMw62iSRWQ6/GIWc6PBs7gpTrNDf055R9m8ZQGReWasmim7HspC9mRqHV9dcj+0LAY1bRNXR2kRwngEJXKehgfYunSmO+EEIW6XuR0NqfODY/xxV0G7k+s7Cgu3Ae2it8tvyNjlaUCZv5Ga3a6GHwyoAjSV4p37KiHJHda2afJEUeSPYjojyNTmUL0XK9aJB7y7tepsyp4ZUXGL0ZkGlCDxlvbA8Xl3Mauo/Wi+Z7lwLBbqWdY7uemo33QmobgxSNmVOrms6HMruwFPbZvWUUVNk1mKpj1EVA2HWgdRlKFCM5LqGmu1/nOd8nVrwawd5BEHMmPE/K0nmXIQmUBCJChtegoi7BAT0w/HxMmKpUNUA6pDapbrBdnKtrv8OW0ixCzXjpJ1SuYs1VbIS13CTp2x8Ebz+0l6odOtyHdmiHdmiH9pIw7w9J0w7thduUI6iAukkST4F4qmU1uPV8TJybgCJRHUu1m2lOb+NcRxczb0bqS1PNtzIUvncuS19R+iq1rWU5dLhHGbpcJUP+bUImMV/Uj1Foo4DCCNGWZ7rje6X6Gupa1SydZWFDeZQFrRRG9TWk+XO6Wk+qw6c+td26BjdyMpLGNXbgXHrv0JQ9LFiNIbnxvIfB5EHWPRFsBaguNbFWXFqo6OizjkYKuqkCwVjlJsxYT/Bsj8OqFq1ySLdOwZRCVShl0nVxqloJQrQOdKq1DvuItf+qosiCDhCVJnqouM2ucapnzhw5o0oK36tXRHh8lDxbRsRSNlBq1RPHRc33XNlFOBH2Dz7B9dVspCywSWz1jdul1guaeE18IfrzLkpgBWh/yOROuykzdZSlkeRHj/gaZv69tymYE2VDVxzujNgtL3FoVSN165GfIPWlCtdFJ9b3vN8HpvZLNsXv5PmKJSWpXdnvcme88YsEd5d2FFT6CEfcCXDSD8fUhFIrKm3Qfn8U64u1Q9K0W2OHDvdNNoUeZWufzzYjjFb8bGCrcPVBHelgmzgwxohwOchi97q+fX3ROBOaS5kJqUPG2Jkm+5iBVnjlsgz6CEY/zmjDaHB2+6yWxwNbP3lDDx0ayK1lmdF4jn2b4yA2XGTEPijNjFlximPqDADrXmqu98xW+PcaBRWnvRCOnargeNVQHA19fmID7j6Du+OO8P4Ez2nOoa5KRltdvAxPXmT5JZkIvnLuDv50Z8qXtzzX6sBEqhVHSp3kWnZax5ZbJFiZ1hO0WacMcKmqOMrErBOD5KVa4x73Ldwxkz44Urb4uoM9Weyxvr5/OyOEfLmEpu0Xcw5UXafv1eUr8NlHufwZ6dOHttd5YrfmmnsKiJJeWS7atzQZXDJKv0mNlPwmLhTHmeyhtm5+z+nRozSsT1S+W+FEGNhYOPV5enH9sxdlXPIMfd7yUCYyyMTH5ykiPgS/2WeV9aAZUWc39ptRBblWeWeXeD+s2x4vFHLES9Sbz2GRAhvsF5tyjjrb9npR/xduo1HihuxwjXBoR/xxTGBptqqj9csEw83JOhPCJdWFxrks/OP7shyHS4tg7x1Lv83SSilR3e3gXDeAjCrTS/JN1BolVYJMV36K8YYmwKWXehfn20QKGbkqxtncfh6L+tP5XKp4Lk6IMdQ1l7yMzotPtbsNtbcsO+mMuVEUGbGU1Hj3TMrS3oyEDRX+nzO3d8MxLCuZSW3KM9yqTNJq8fyH5zBigx4N6S6UJnXETGYgRU0Ot06OqPQBFJmzN/EiDRVr8TvVDurQx6ZVcHQTidkww+3DPaTCdY/kfhFuXaiKiVpL5+yUQ/t+jI1a0+l+cKM1loq9UiYm/difbfhN7W1gP+/3qbxU1MvvlXATZPsqqLAqMuBbnOqwo5rquB4YBxi8B+fqIXmeWdDEYJNzAo8O99WEIWN4pQrW/BEWStZinRb+grF2eTwXsYDOjPd2Qlf2euXK9iUjrWmwPpuLVcz8R4JAhVmR/MxRBeN1euiP7Hk0uqI0sraK113Z/nkpzTQFl1o3Z+l72L5SmsocxRnLzEoQ7wglEyNzcOFuTVnXC52DD+36duhw30TLmUPTZ9kkL3aAJuYAsqn2caLz42jymm6p8c5ZOk2AiMaoWjGssUYHbd8esiUTcJh4sXSud1adKmSS94lLdTRZKsAT5SGiXu8AXjQ456GDnbSNRwGElfP2rdQpIw62kMcEaJ3vyInXpAVDZvccNmv0hEJXSe95Zk5wnLu4PTB4HzGygNqx4rzO1UkqP+GeNfn9malnY9L0ly1Cs58LQn6QdRZ/bcG1p2VQfXhrg4c2PX+6t8mWlsXd1K5xvDnCWgiO1N6yrXdS5LvQU4yeJFj88eIeTrozHEPO4YSZcM+xgu84Idfp7Okt1Ppx2JKggo8Od3Sgr13rne1oo5pttbcHu8Fh/8rTLD+zycPnzwLwyI7iGS6xaCWoMGYwFcmPNulsxmsLQ9bgPDtzEKyw/7wcZHsioV9i6KcA71EjSPnoJMmDWv9/9v406JLrKhNGn7V35jnnHareGlSaZZVk2fIoZMsgSxfaBgMeaOLraId/0M1gBjUE0d207R7sBsJTB7JDBvdkxgDRBO1wNwHhPwT3guFC3w/bfAaPsmVZg1WSSioNVfXO55zM3HvfH2vPmee8c6kkneWQ6z3n5LBzZ+bee631PM/aMY870yRwooBAHohzDnYmykbg7EAk+JM7y40KwSZPn/Ac7XFr+1TPQSalkGJnm/dn+kUow1Pz/lEQBGgv4vfLdpupnjncMzuCBZSWA1pTjU1awxA8fqrcUeVvExEyIVIosrIip86B0iQwbM5j3KzxOZrN5F0BAEl99Oy8Ik2BObOAgXW456kPQYRNm5ly3FD/7uoxWHDLvo8+g2UDALrqhm/HlmUayWVDM+fM/+75zg5+rWCM8WJbw8agFFweDOBSRbUOUGUn+BUOaMcbHwzl8TDOMsa1yQHr3DkeOgGFKaNAgBOe7R5vnGJ4otyuG9RqhFpYwS+5iNqW1gIAAQllQoDZBRNKO7+VSqCATNrE4lwOacB1xXWUZZeR+jwZAa6MXdo+qiGpQEOOzsVjruOx9+kQBlF7tHUU46CCpHEICNkkRmOdwcaMIVBCQ6G0mXuWxjOorYM7pDFqqtC3z1xjK134kndGQkB44b8+BqhFoEcIYau22OBQqPEeqH/pjdE8j0UOd2NGqMmhDjRMlEpVpsBiKX22WcOgb/qYI0vvE+uo9LrlursAfE5zZEi3D5hBIqFYGA1Fo+R97TKnwi8MQ9yDUFw94TmMk1Fp4qdfHMKc5ASMgEBFfUgKArEF9f37M9TLGDdrqBv3u0BTVCioj006Zs+xgIEkzBUC1QE43HyOAzlsy06ePIlTp061vv/5n/95fOITn2h9//u///v4yZ/8yeS7fr+P0WjU2vZitJnDvY8WRLliARHL6TBhcd2yWPzAOxaxBQcAcFG7NLoblw5zIkjxgjrOSLkom48oi37KMYFAIeBhOi04V3YNxjvb3quZahMd6gnfe0fcRCVQjLJR87h8WsRTb3FMbaZUuD6SCQdrQIdxTB3FJaUV7OhJaAMMGp6gK9XHYilx7SK35eq5MRbnx8FnOrsOOvM06JLj/PmSE9M7AQCEgLn0Um7P6ipQCGwMuT2PjwQe2ljHQ/QljOplAEBfHsaKvByL5oi7YKzTMsguyuZ7J9AXi7jUnAQAvAgncM3hEtfYefyqQYMbDq3ghmueAQAs3TYHvPiqoDR+/jxodZUz1QCwtsGBhIHN3vT7QL8XnO6mYZG3J/h49VefwiP3HcE9K7y4fGi1xjN4JJT0Mg0k9aLyMdpyEkf2Mzt2sdCMWzypjH/sYG+cWcod8rAIapfAqi0iIxNbaN8cewBtOddtBAYA5OVcHL87gTvCBsV4g+j43QvIwANzmbnsnAYwEVff7eN5nX5h7QFyyftNdrEXI1yACLZq+zsELjRYczagDAQOZlLm3P9uVcpn9kK3Y2UfTW1RUBhbKK4r7cgZMmQBca0rn/Ei06BRwySzPFarHhZLEBjVy6gbpxrO37uMIxE7Jc4RqGmMeXPIV4ZYLAo0xmDU2IoC2PTIHj6fy8S5d9gG77yAaAd8O1NybpnRAAWnQxsBMnnpzhCQc8reqzW3qVIFejKMNcPGYLlqcMYsAwCeooex2ZwNx6J+CygUBxGcVkppnTkFzlrGzuUYmxgpDgQziiAej0JWvtv4Whs1RCX5Pq2DnVLPp5dAg6OhJBYMKGqBIOIScK4euhmzqKfXyRhbCDn/3qM5KNRJPezGjDGwVVUEJMZm3fezEDyfO7E93iY4u4oabNKad8JL08OQVv2z7ILV7vyVmwsIHlIuwZpCY5tVX8aTKKiP0vCaY5PWoKjxWXXn+DkoOoAEZVDSPCoRAvA+ux31ITchzK2GFBo7/4/rAmviSRR2jWW0RonSt0/qAldWxzC2a7oVrGNNnPdifs4kSsyBs95jbPJabiLaSrWy8FoPsVnxmuUZ8RAggFrxum3JzKEXJRVGWjESwl5SrYaps95KLtn+cCgB0UvaVtI8SppHY8V3ncK6E01Teoy62fSCg86GchmbBQf5VtRhLNQSxwZ0QHPe7ufgndoXvvAFKBXW8Pfccw9+4Ad+AO94xzsm7nP48GHcd999/jO1B5uL1mYO98xmNrOZzeyiMNOtKbnNnfe1KTN7DtqlLz+BeUux2RTz+NqDpzF8hssU1s06tKW1eMfVVGhA3nGZm5vHq1/5UhQFO0Ienu4CfVrjC//wALQLEjohMMftNQpj6qEHdiquu+4aXH30aiyBkU8DIVFrhXmwIziPIdYqgc/+HWd5QmUOa0ZkKBtPquHTwqFj4soUJqOTAKTHnnpkTA2tK1/qSJo+yGgPnd2gp/CkGWPxCDt7N15/IxbkIkoLtyalccis4xJih7hfzePs+Xl8634n3knWseQ233zzqyFlifU1dqxOP3IOfcyDbHbuFTefxEAe9sHzsdE4rhdRqSvsfVvCF/7+b5EKwYU+MVZEy/ed0TBk2LkaWcVtGNx883egX7KjM1cewxE6jCV9BADQowKN0Whs5rEn1nDYGCzYbPFxfQkaPY/P/d3fAACUqUCQkBYJB6PxXa+73WdCGzNGXfdw7z3f5j5GibFeT8p6CUP4jptfyecrBrY+tFVVNzVGCnjo64/zxg1hXT3jqQxMqWtQK/scyAove+VNICJIYRXyiTxcHAAOq0O476vfRg123MZYT7LoC70l3Pzq1yCIdWqM0MdjT/Kz+vijZ1CZTSgrgmZMZevRR9LvyIJChnDLa1/N7ZED9IujQZiWFkAkUWm+5jNPP46vPfowejYI0ZQVbrzpOoy1FdfTA2hzHI/efw71kK+hog0ovQFt0Zcmym77JiQOtwZQ41U3Xc9tKI9ivhzgkBU6XNSHMYe+1yVYqzfw5Xu+hHN4jPusXoYxVXSO1OEOpfHC+/ra13yHH0d6NAcB6e+zNg1qM/TivH/3938LbcbQKmRsGxBG9Tms0RkAwE033ojD80cxJwuIZgjbtP2zvczBO7QTJ9LE1Ec+8hG8+MUvxhve8IaJ+xARLr/88oNu2oHYzOHeR3Mq2N2K3N3mFY8TIZM4eusmyWSnpKyAg1J7Tib1bP1Qm+EWZYv3kpbxSrlcbrxMxTJEgIrnhCl3/Qe14vURrIiL439ybWQIvcvqT4r4+5IWaJJ6jUZqlCgwX/DxFkuCNoB011wKXDIQuGGR97/hyAqWrhiBBhb2dn4IPPQkaM5Gb7eT4QZ8tthcchw0V6KxzV2ugKfEU1gZPoqqWQUAjIs1qF6NWvKiwAnzLAiOzs6bw7jcnMB1i5xNeeWSwauWNvHSSzn7cPylI/RuPAK85OV8zuteBH38uO9fOneOs9uPc5kxrGxy3ZA5G4U/NAf0QqYDG0Pg6VXU31oGADzxtXl8+exR3LvCx3vYPImV6jGfDXLvQihpo6H0MFlIeRihg3DphrnfLpOEqD48OFuTZG8R+N/+c2QGkqPSU9RX0zIfwme5o0ZGH/J3OyuRB35vnAoqYnqJVUI1pDreJ+2zWtyOjvctg6enaI+g6u9VyB26w1JKYsRLrNnAwkzj6Hgupx2uUaM5EAd3L/yxmb89sxU1Qt1zAmc1RmbNw7+V3oTRdfIuOXSWm1e0aTgDWzAEtBAD1GYI3bBzybWIRx4mi2yBrTSLtLnxqzA9SEjPFx4qgwYKjbDwaghIEUqXuVaFQawtSZYgerKyU45ektOzGAFjnRJqQBTGCiFKC23l+WTeLKKP0vOX18Qyal2DrLhVRSOs03lsNrYcpc36OnqWJKZrKXu+Qs6jXy5A9GyNchzFkj6EEezvGECZMUbW6ajUmi0/5e5JDZgaruYwo/kCyo8mra+MDlxqo6D0CFXj4MoNVFFhUyzbHi0wwpqnP1XNukXEOcQcQWuFWq3ZQ9cAEYylCgyNwUb9DIwT6muGaNQYa+MnuE/suiQu9Sio9I5XA74+Zfuk0kPUegMjiyJQMBipFVRNKNFlPMUPGNUjDOtn7Fwa90e4Bq0ENpqnMZYWEu4h/nxfesaJtrlnkckVygmSobI1o9Oyo1uaW6OhhBSBYw4AyowxNtyezfo8zuuzHiVQ6B4ac5VXOa/VJkhIcDGxML8mCvZgOmVL6d/9bbhP3DpDihKSCv++VmKMxjT+2V8V57FCT2O94qCdc+wpQ+Hl5tahPbmAQ3QCwvHqjdMQCGuIMQ2gRLyubWs6adOgsu/HWIxxjpaxofqo1MFAqfdKFquqCqurq8l3/X4f/X5/wh68zx/+4R/i3e9+99Ss9fr6Oq699lporfHa174Wv/Irv4JXvvKVe2zxhbGZw73P1qliGBtRxLm2A4ToRc5yekuCWEjswMPOx4G3GnMypeihkHO+7qV3KiNYTc4zRyT05mC8OVw7DMRpaaWtrJuHbiZv03rZRAhMxPtQAReccBD6mF8aSoG5hUiA8Rqjk5LNTXEZJAnMF3zuxYLhOvYjCgFcNa/xsiWecK956Qr6r1gEyuBw66c2IAen+XzXXgOzkyic1jCNRtXwvRo2wDqeQdWsQjtBHSpQqw2MrdCKQIkFHMUxzYvDK3uLeMlSgZuP8ALodVc8jSteX0G+nqO55lUvg54WCBACGFXAhlWPHdaAiO6MIGA4BoZ24fb0OqqHNnHmW5w1+NJTx/HF8wW+ucYTwxP4JkbV2QB1hmAoeFT7PKYFBJhgzEduYKLybwDnNjAFUhg72V1cb34O8gxRMAcv5N8JMX95ErQ8QNA5YBbeY8eks88lhefP+MWgSdrRrWWQjSmGksCC4475tkQaDk4ULQ6wUbToYJXX8K5oPUZcU9UtcEMgMA167KdpsweV8v1tysxmNrOZzWxmLzjbq0r57/zO7+A3fuM3ku/e//734wMf+MDEfT796U9jeXkZ73znOyduc+ONN+L3fu/3cNNNN2FlZQUf+9jHcPvtt+PrX/86rr766r01+gLYzOG+AMYRNheNBS+UHX9S9H1Gmj8HpWAAXtDFRU61cf5oyIQ7Z9sdwznbkhwszkJynPCLCQ4pW1YTEBpaRxkv3TD0yPNVCIYkKIvuO4sdFtsByFXWp6uwp8YL/m6xrNix4WtzTkMFHdUqJZRJMIO3U0ENEhILssDRPrfrxMBAGWDD+hg9AbxorsZ1V3G2ePA9lwGvuB4Y24jnfY9APbLMmW4AdPrxbod7jR12ceYMjBA+w02nn0D98CqeHPKgsVxpjM16ltEPUVwA6GMex/RRnJxjuOLLlwS+89gGXnsDR9WXfvAozBvfAH3llRP7NjZTlqBeCfRtVN8JwdmsP+oG2Kygn7K1yR+qcfrbS/jqWXb4v3i+h3vOD/GQ+AYAYGXzUc9xBEJgyH+2zm/sCLrf/fOvx2iJBLWep7a1udvOebTn89llQmeJ2Yz/H9rszDm3ErEqKVGfeVsRPzoWFwuqyE3iQvOwMClQl3+fayqkv7vs9rQ62XFgMHDpbcbENK132mUO+BrL1nXNbGa7tYcffhgf/vCH8Vd/9Vc4c+YMrrzySvzoj/4ofvEXfxG9Xm/rA0TG8mac9VnXz2BYn0WjeLwKWelguXZKIfroF4dxTF4LgFXF1+UyVhx3VmWZ7RzqbYNXTtG6IVdJwIpxkUBhCJUVrhIkd8hBTGtq51oQ/E4HlA9ZTqkTJvXbGAPtxNJ0CSok+mAI+REs4FBZoNGcvV0wh7Fg5nyuXUKiETUaK0impcJcz2CxtFxcKiDRQ2V4rivlPHpyEQOw+FVPrOBYX+J8xdewhEtQmh42BG+/Rk9js34GygRtDx5rHPRu0rI1mkecKKrga9BoUBaLEFFfG6P8NfFqqkAhbT1121duPuJsfQzTNyADn+3letMbfkxVegija89fNkZDRJxvIoEGI1R2fpRGQIgB+pazPZCHsYFlFHTWtkNjRCILwkq4G03ogeDWgXb+FhLGmIAUQCjRxW2y99PWFldgde+e53D3UItxOF6UWXbXABPziF3fxm0UkPYe9IpFzBfHcRhcyeWQXoSCxjnJyIqn5VMw5mmM7fuqINHooe9jEiwwVhJAxiWotrcOIHIIg7De4/0L9GgBi5o54QPTh4bGBgXdGYXKPw/MF48RcAZAnpwKc2Uh+ijRR2nb2ze9BG1ao8FYDL2GRNBNCkhNdyyHfhhiDaAG0khfyu9iszvuuAMf+chHku+mZbcB4Hd/93fx1re+FVdOWa/edtttuO222/zn22+/HS9/+cvxW7/1W/jwhz+8t0ZfAJs53DOb2cxmNrOLxmYU7heWffOb34TWGr/1W7+FG264Affccw/uuOMObGxs4GMf+9iOj1eBnYpRs+x526mJyNEmS0eyfGbRR18cxqKteTtHPRhtsGkdn4I27AI4DuSlEHWtQ13tDX0OI7oci4Y5on0hoCHQaxxUWULrnHY22QHvKkPUKrkJkQYcbdWDWHyRxaO4XxoqmLYjeYNCCAykACw/+Sgt4ki/9Fe82fTQb3ogEYIVWs5jQTjosSvLFMF8Ib2jUQhCKUL5p4EZ4Iicx6J18AUVqIuhh0/ztSWSZmDV8yAsF/efIAlJJUo5j4WCEV0GGvPlJUkctS8WMW/Ft/pmDooU5q2atCqs0J4NeG/qcxjXq5AyqHYDCJBzwVQld3/YyZSQVjStkAMfbAXYUVem8kEFhT76Zs5zygtILJt5HDErdvsGtRhBSVvOyl6Te27n+0tYGFyOUgywKC6x/TqPBgrrYOj/+eGjCdLJocbiklqsWm5Rg0aib+ZQgq+5tNBnp/SuqWB6gnE0MBfUCc8oB3/591LOYUCHsaT43Voqeowfazgx8ZR8EqV4BsZyzN297Rd8j0It+/P+jVNo0Jixpy8E9GYQGM3Fc+NrNtCQpsACgqihCpIIWMccerSAueKIvw9ah6oEZFQ274gEoalMgwrD8OxDomcDIQAwNhXGWPe0l0ZtWqfbJQhYEC4Wf2xQQWARpSlbfPX9MIO9z6W9Xg+HDx/e9vanTp3CZz7zGfzJn/zJjs5TliVe85rX4IEHHthpE58Vmznc+2gM6bZ1/6IXHojgspF6If876IR/u8FQWbVNn33S8NnyuBYxc7ZtTUeb3S7sZ4LkLGFUUkJFZTaMUUm2ymW0g5p0VpKDChs5d1nCNMof1wNPP7vz5zDfkMEOG+noO7eA4BIP3CbtFWHdmZSKShnpIQyUh+pLMYeyWPSTtrJ1u+d6PMEeN1fhqvkSL17k4185qKAMYbm2JTPI4LrDazj8GgvPf+OtCU+bjixBFl8H1m1WfThiFe8iyuieOgX63Ff4/A+dg6kMqMd9o1YUHrvnEB7Y4Hv21HiEUbPMEVnHxRUFpOijZxVvD5mjuKxcwPWH+Pebj4zw2huewNJbrFL699+2fS45AMzPwxw7ArKKu3wNCnAqkmtD6JURRg/zs/nYQ0fw1XNH8aXz3CdfOz/Ct8S3cG78MABXU1b651LKQQtloCmqX5vUro6zUXFtbVYADzXfBbopHCkiIlX6luHZMgZxib2cvhDKimVc8EijgSlXgRLiINzp+cMEb0hD63jJrrhN7n1qTXc5lUKiW+V8Uht1wrnOa2gHaL9bgJmk7Y72EpcZFKJdR3c/TGMPkPKZx/2ctLe85S14y1ve4j9ff/31uO+++/Abv/EbO3a41+QyNjXzcBs17Mxq58bImlCCT2GMdcH8w8r0MRTr0Mq+E6LopEjFmW6lxxjV7ChtNs9gWZ/2r+a4WYIAYcUef6hXUGc6FqnlGhTGVk1w1TpSSkyO7CHrBBoqfF84nm9AEY0wrlexJliU6SmzCDU+hEHfZtR0jX4jfHa40sxDd7XJYRFzY2M5pmYDxig0NptaKc7I1eAgxEozRqUOYc06m0u0CqkkKis8N8KqLRHpxqEeZ/xa2cyInhONRRrWEYoGBIECknoeRaTBwnK1sPQpcJ1s52CTIZQYeL5xRQM0Ygin4K4ysSxijXGmXQEAShC4JBTAgZxGBeE6hyCsLT+51D2MiyEqWvB9CsCXJTOGIKkHQQ4J6UqB8pg8J49iQRyHIIE5zRSveYtK0FYvYKNYhkABbUXKHDfarZnGah1DLEPb+1rSABUFPQKCgIzmwjBPbs/p06ZBZTawbrPHouGgi4r0Dvq06B95WQjMiyOYxxFuj+ljQ6yAsILaZntHehXjZi1cix53vEtxgMyZsX0wxlCuYcMGXgrFwYWA5ijQM/NobGmySmygNptReThbLSees43xbRg3q1jVT2Is+L4a0pjT8377NbGMTb3s26/0GFpXISji6JtKoyKrcWDWUZk+NkUftTggDvce5tLd7Hr33Xfj0ksvxQ/90A/taD+lFL72ta/hbW972y7OeuFt5nDvowWYZSQolNWuBXjBWlgYTU8uoJQLfuB0JR0c/LvWHB2Llw252IWraenKKTGkJeW4Bi6442iHmpLutwAx163t24NqDFuDnXhCpDOFlGcOQ75Qz0p4OU55smjwPHS3lYaJFlPKOza1PwaBQLZfB73jWCwv4wEdQAMWhTpMHF19SXECrzxi8J2XsAroFSdWoRqBsyvz9niEa06eh/guFmfIudDmuuuAXgn69iP8xeIC17C2dbnp9GPAX34BT/2/eWD95hOXYagkBjL0xSObfS849jg9iVG9AmNU4syVYg6L4Aj2cRzC1QsFbjzE9+1Vlz+Nw7fOAbdYUbSdONsA0O/DXHcdzCV8fBoOgY0N0DIvHrFZQa9UWH6cn91vLS/hnpUS31jmRcv99BDOVg9gbBebRAKlXERho/ylnPOiPgCSoA/3MQd+VDThxKWv3OeWzkHmeOZ85dRExOO2wWiT1b1P9i8DDL3znKlj7ZztvI1hAuWxgeJa3UYC0On71GkTgggEdInABcXUOLuVtoePo+1YEAfVwhjiYPJ5mcGDcLhxARVSZ3bx2srKCo4dOzbx9/F4jPE4UIZygZ6ZzWxmM5vZ7uxCzsFaa9x99934iZ/4CRRFum768R//cVx11VW48847AQAf+tCH8PrXvx433HADlpeXcdddd+HUqVP4mZ/5mQvX4D3YzOHeR3NZH2V0yMhFWSW7VZqNlnMoxbzPWgrrcDcYu81hjIaMnGO3zA2L/AKurnR6LtcExXqTkaOjTYO47i5H6bod7MkL6whWRyKK9k5wGVqOdtzOECAgSqFAsZOlTODQcdbep+3tP3G0v0CvsPCl3tW4zFyPRb0QmgONY7YW6MuOSLz26Ape+p3scJevvgTQGscesZCuNYXyJUegX/eaCX0BmKuuhpnn49NwCGgNeobhXHTPfVj5P2v4y4dOAgC+cE5ivTZYLLmv5iRhozH49hpHLM/jUdRqHYi484Wcw7w4jks119G89tAcbjxscNNxbvOlN1egm18Bfd11E9u4pQkBLHG01ywtAU0D0lzaBPoJNGcVnlzhhfC3N3t4aFXhYcOZkXPqYZ/ZARi9URYL6EnuE1cD1WURpA/sBNRCoyvoiJfE1x5UfFscb8vFD9uX0X4h0JU75SGAU1soYPpber52ZqUlxuaDXVl920iYzLUrf5+C8z2Nj9bOsoc63SGT7bZtoVLy85k8IFen7y8JkNOAsM62jFA4RMLzzfbTcnDsTmzmpz8/7IEHHsB/+1fXnn4AAOuBSURBVG//bWp2+84778QHP/jB1vcr6nFohKxlEtiKzAfYjAs4uXJMFTaqZ1DJAGvVEQLMaI2txEKNaaA085uregVr1RMY2+Odk4sQIDSK2zhWa1GgO3BLu9qafI7KDrGl3Nq08ggXFhNeKVtw0N7VT9Y1aqxhdcR9UPeGOC+P47DgubOkBk+ZQ5A61IiuxNDD5hu9iWGziuWKg82NGtrxzmb56iug9BBrIw42P9o8iSeLI567vqSAVTnneaxjtYamGcGFHgu5gKJYDP1kFAxC6bMw1gUevQIwbuDPIUUPo+Y8YsGOmiTGljcOEmjU0GcaQQI9OY+ezUw2qNHosc/aGz3mNmRIppiPL0SJnrQBdxBnmj2KSVmO98heM+8/ssgHKXpQuvFIC03GcontXJms1RjDBAC1GeK8LR+1IeZQmB5qW+farcsqy5FmBJqG0E6oVWC9eSpRbtdoMNYW7mxGaMzWiJHc3NxX6yE21Tlfy3tFzEFS3/9eYYQSA4/2HNACjpur0LeccgJhbIaoMfZ8/1G1jLpZ81ox3XXoaw/zJluxwz1bfH2rOCtY7HYdjILUQtk+WU843EDIavP5qhalwwDQ9jmqAGxUT6GyCv5VMcRAHPLbjs0GlBohqKbPJddhbLCcqEHd8DNSqxGGegUVNtBsA8GzG7uQ6iyf+cxn8Mgjj+CnfuqnWr898sgjEFFd9PPnz+OOO+7AmTNncPToUdxyyy347Gc/i1e84hUXsMW7t5nDPbOZzWxmM7sozOxFpXzmcV9U9t73vhcf/ehHp25z77334mUve5n/fPr0abzlLW/BO97xDtxxxx0T93vf+96Hd7/73f7z6uoqrrnmGhDIcz0hWJTUC4xZRy1dHAvANNDW2avVJqpmFVVtBbugmX9subtEJYxpokoCwDRMioGB0hUqW3dbmZoX9HDUJ8UBeHt8rSskpZ26ygUmAQRLgckcihD0jrjPFL7REJ5Kw5DsxovLbY5rjMUqzJjpVqt1H315yAuQSQdz9rWEFSq1gaq2zqHeBCKUG9drNqhtabXN6gxGzXmU9pqH9SUYq2UPASciFLYuNcBw7FLOeyE6DcP30sR9FN9TBaM3YUyFzaiM02Z1AoUMlVyEnA8QbRA0VSHwotgRqgsrggoBpStoX56yCae2fUAkfL1lAkGT9uguMoSGxhHS0fWNO1+FkVnFmNb8+chIbOC8PR9xuTlfkssARnvHUJkaCg2UCWKxiir0aD4kfcACfZ677YMG1llv1jFqVoOoFwkQkQ8y1BhDR9Urui0LblEQdstNmRq1CRDwBmOU6GPBJkUWxBwOYw7K7l6bBg1VqDHCWPOzVquNtIJJB/WLEzPu+waCSo8oLcUcCBKV5uBRZWkPzipTY4g1T5eo9RDawr7d8bvcU/9u6BEj9qzTKPUQtSj8GCWpQF8e8g73fO8S1HqIutm0x689YtTVfC9EvzMwt5+2V5XyndgP/uAPJvSP2P76r/86+fzxj38cH//4xy9Aqw7GZg73PhrHkbuzWH4bEmkEGgICIlJNlNBGIa+b3X2+NKrtzA0yMciU6ydatUoLJ0842gg1rncFFTXtyL+f9D1/NY7KCw8tJ5FDykNmMFxnBqfV3eXXYjEcIRcwKDlbu4jjOI4lLJVuoOPtLrF1tK9fUHjx1WdR/iNWp9Wvfx3Q60E+9hhv/8w5mMsvBbZQWsRRXqSYXg+0sQE6/TgAQH3lNL7+7cvw2Wf4fJ9fexyr4hwu2bgCAHCpjYQ/hWUAwFAt+/vhop4L5SW4Sl+HlyzyhHTTEYNbLzmPF9/CC5ni9uuhX/GyhDfeso1oQllYmLyds6LwHG6zvIn1MyVOrfNC6ZEN4LHxBs4J7qNhfQ7aNElGvhRzXpfA14iN670TInQC15KO0ReOq+8z1Ulm21EINNJ6tG349+T3sQQchxrw52qrnDtL6RZuWx+RJh1RItJrc+137UnbFCM8co2DHEqu02tqRdiNfbfy985t4DQT4vO0+eBxrV8RC0s5lMEWCrG7sb0Itux0v5MnT+LUqVOt73/+538en/jEJ1rf//7v/z5+8id/Mvmu3+9jNDoYHt1z3d7znvdMLfECMF/b2eOPP47v/d7vxe23347f/u3fnrrfpJqux8SLMJK8GB/pFebtuucV2vKfs+fWqOCEWD2D4K5KJCUIDY81zknh48U6zQQSpX9XBPXQL5a88FNPzAEQaCx/mespA32LxBo3q9DR3NaeUyVghd7YhOdp8wYCuYPTqiZAvLoI/ZD/zmgaVzu4JxewKC/FInhuK0wJBYV1uQwAWMdTzC8WsRK0Dn1oy3cG9FGBWMlZihKlHPg63j2aR0EDr8qsTANJhSuiyNQjE1BGfF8l8moWxjRQatOeU4DLUzqHu49BcRSHiGlXPfTRiBprkhFpG80zVgOgTo4XxmkbUPCZSVZFl5YqKFCgocoLkPFYSwlyys0g3D4CEfk+F8T3OYiBERpT+Uw2sjnAOeKFmEdp66mXpg+BwvejcPfVVa0hCcpKvxIEC8DZazDQ/pxaV57/7fchEebCfAAmSlTTJfXQF4d8nxcoUWGMTbIoQhtc6FuUZ0kCGsA6+B6OxCYqswGNxrdJiBLSzCfzMfdX4MqHLDQAp3ngkQ999MSC5+or8Pvv+l2ZCiMd1T9XrkRpjObscH7t8YUYoJAD9O36bkGewByWvGq5IY2xGPo+mJNH0ReHsIFn/PkMDKToYaFkqt+iOIEFsYA+5g6Mwz2LXR+MPW8c7t2WFnnjG9+Iv/mbv0m++9mf/Vn85m/+5o7bwK9y12I6hX3GvyszRmMCT1WhZB6rXQA0egxl/wOCwBEQFryh1JBb9CsbuXft0hbq3vjPri3x79NssmhUuPZg7rjZgj6OYiV1fVMobiw6587tgxRW0EtTAcrEKuLIPos89fzkwi1Ih5FCEAb2DVgoFOYuUTA3nOQvLKxav+Ql/Pkl2JHRxgbo8SeArzMc+/yXgC8vL+CeVR5YH1KfR62GGA94IDf6xShNiQ3Bv7OQjgZRH/M9nqCuxMvwisUl3HoJX8ftl57F9bevovg+zhDpW14DzM2lDWka0BPs9NPZ81zaa8HCli6/DObY8enX8czTwIOPAgCqb6zikSdP4KENfp8e21B4SpzBZsMKvk7YLxYMMwiLWRcdjyHkAPxzqfQYjRqhUZsI5dA0gAImmdQCpCuI68Ul8sKwltdj737WYyGYuOROCBKk+0eLMKsiGkPdkzJg2WJW5/DH6Dy5Yz3NEiXWmFoBAFAwcamhvGyfK4UWr8ujgNkkSojPxmB6UPG5Yl/4whegVFhA3nPPPfiBH/gBvOMd75i4z+HDh3Hffff5zzsr6fTCshMnTuDEie3pSJw+fRrf+73fi1tuuQV33313AiPciS3oQ2gKm9W0aschUMW6I913LAhBscBmUMw2CJBzozUE9bzDbfJ5zR7LOZeFHKBfLOGw5FJI8/oQBARGxE7EujyLWo+9aBssVDqlieTBryAaKai92E/g1tAIC4EJTnwCP+c29+QCegXrnczJozhsLsG8mfN9NEaFwgRHqpBzPmigRB9BF4IDxkIUKCT3YVksWgEwez7r/M4JnnPnDTsnazgHABjrddRqCGVV1V12MSn5RBqBJhNlvqP3k0j6uUGKEgKxsyhQUIm+hfsqWWFotF93KV0DEChsn7j+dZnKophDIea840ZUoEGF2mabyZAVxHJzYWPvROrwljboUIg5NKoKtD/YpInf32b4hSv5VaMxIwzoEBaMpQKYHgdGbPm2RnP5Kb9Gipxl91lS4ZEHgko7ZxvfBxrRmosECOlcm67zBFMdhaNVBecdAHq6D0ESY3LUhBE2sI7COtxjU+MsLWMVT/rfuc97GFi6gykb1DKUOvPniSgijRpFGenKojlswEsM0aN5n9TomwVooTAyFq1hVtCokHFmBz6gTMiPU+l45Z/tYgH94rBXv18yJzBv5vw9GJsKjag94oWDCVHCwfa1pB56Vn+ojzkMzAIWzQIqnWrczOzitueNw72X0iJ33HEHPvShD/nP8/PzU7aebEq3+Rxt44nIDeQADxB1lgXU3uGurEq5nWzsgp2dz/DSG6N8XU1yi34Kx2/VMgYQFhm8EMl5punCP53YmWcdOT322uwJ7UZRP2RqqjwZuvNn7aHuLHvsDAnB9RKdWBRnFnqI1asBeJ7ZijyDgvrYrHlS76PEgArMF5wlGSrJhxpskcHewugM86foG9+CefAMhl/iRd/XHr0K31gmfFvcCwBYXX8URAIj60xviA300MMQ7HA7LllZLOGS/ksBAK/qXY7bLjH4vhdxne0r32Qg3nQr9BT+Cp0+DXqU+UlY2WAVVadCvjScuB8AiPvvB75yH8af4wnv/q8ex5fOH8b9q3wfH6mWsUyPY9y4yalJFota12gACD+ZpM6uE0lzSAulR1AWspW8Q0Yjrb2qwzNH/Ew4fjFFji//29h2TeMbT84uO35gnlEP2wNdGXXKv/Pt0f6YyWIny6q3Hdq4pEwDY8ZTESlxtqHLkQ5ZcPd7CrOlJHvSQGmErJ4NfsXc0/0ygz1AysGqpbmA1qRsaO4MfuQjH8GLX/xivOENb5h4DiLC5ZdfvrsGzqzTTp8+jTe+8Y249tpr8bGPfQxPP/20/23W1zOb2cxmdmHtQkLKX0j2vHG491JaZH5+fkcT+0whdWYzm9nM9t8MCGYKJ3a6Eb785S9jyaJTnL3//e/HBz7wgal7VlWFP/zDP8S73/3uqVnr9fV1XHvttdBa47WvfS1+5Vd+Ba985St32d6ZAcBf/MVf4IEHHsADDzyAq6++OvltErdvkq3Ic57f6aodBEGiCcFUCpQuopLFKS18sxQDNHqEsbLCUWggRQntoL5R1QzA5pIjAVIXRFM26FhRBQGBhqKAuh6hVi6D5jitLgDm0F2uHJSFLvuSnwLKRKJOltsLn9WPIcgm+q6N9HHBSk0lFNWepzzW6zgnnsAyOaEpW1ZUO+5tDQHps7OCuM6wg83zNZDP+klimHFAOKWllRrUaKjGUHHwedQso2rWvBCVgUnE8Pi40pcnDfW5c7574Z+nWg+hjcLIIsokDSAM+fuk9NgmLQLqiEiilI6GZTwE212TgUZj3LqwRt1sYLPhLD0ZQqXWvVaAR0y4TKzNwCqXMae+RSU6aLPNMJsUHeas0TUaPURFEiPLG68wxgir2GgYnrwxfhpVsx7QWhnaSukhGj3yImokCyhd2xJtjv4QnhtXDce4uty65mN7Vh/D6B1MXpka6/UZz4sfiCVIFBhqvgdjtY7V+nFsSu6zvhnguC4xapbt+QR6xSFIFBiYUGarkAOPkmMLwWxlajQ08Dz0Ro+YUmkTWKOaj+09IXEIAjKB6uvofQb4HUwpHNw6+L+Eh9H35SGUYt6PL5oUxqjQ2OdsQ6xgU5/HsGE4/Vp1BkpXVtCO7xERizFv2GOu4CloGqOmsS9rt5/GtK4Zcusg7HnjcHfZVqVFnP3P//k/8Yd/+Ie4/PLL8cM//MP45V/+5alZ7kkKqR62MjFzBQCcuVKR6EKc7Xbf6WhQ5My5zdB5OHnh5xIygW+1XWvxVA0Ar9wc8WyxXTh5gBLFCrDpNjuzGBbn1sAukxm2CZlLQZFADgBA+xJVSo8xLM6iLy3cSszjEE6g2GTO9pPjHjaeLjG3wos1c9WOmwvxjW/A/O09AIDRN9aw8lgf9z/JHO0vnJvHN9fWcb5+mFum1yHEokcyVDRERUNsWHh2ozZBVOLQ4Eq8VHOG+3XHCW+85glc9UP23vzgrdDXXjuxPXTuLOiZs8Dapu0OzRz0vu1DEszptpxvWlsFPfY48ABDyOuvPoVz9/bwzTPcGV9dmcc95w2+tcF9dFrcj/XqSb9Y5Ik8wNS0aWC0ZoGeyJLydKbx702jNmzmNn5/HAa0zUMEgLxkFdnzu0UFvztjJAr52fvoVPFd22J/y2XHwyLFoUAmawyIDkj6NAvvYgopTzjbWZbdmBxGPu34EyDi/p3soHsYgrGsSaUBQRrG1d3N+PT7aXsVTbv55ptbQitd2e3cPv3pT2N5eXkq5/jGG2/E7/3e7+Gmm27CysoKPvaxj+H222/H17/+9ZajOLPt2zvf+c4tud7bteXmUf96G6MhROmFq0zL6WQTEexVihJCxGX9Mj0FYoGy8E7ZOrkTNFeM0ajVOtbsAnuIEiDh539Wxq5QWyQWj4U6iCKRtKUGLfdY9rzD6q/DIMy9ne+krTrg51O3n1O8dk4ct7G2InLDivtgfXQGazjtxz7usx6KgvvViZsFBE56POZcR99DRyVUXZ8Kf/wR1jHSa6wqDliKUZUhdbrV5/l4EVIo57NH6CsD5R1agbHnOLtjlHLOo3gaIjD114mdmYT6Rgao1KZ3qAGgbsaoLfdX2z71zqpFZsXBPa0VlIWIN2YMbfvJba9EGSDGorRUJn5OSjEHIonGjDDUywA4yDNuVnzlEG243nu7Nr19LvQYjVrzbWS+svLoykaPrZaHDU45qLjjdQsCK+BbfjVJSCpRSPt8KC5H65xcLStI0Q90SV1h3Kx6xFyl+9isLo2oaix21piqA6+ZBY/ss1FQH7IoUZi+vaYxlBlD2ICVC5JUVpBPmhIE6QMvfN7Ci6w1aK/p82eMSEDY99M55+74awQYUp5qUDcbVpXfVjVoVq3uQFwlqILRY6zbZ3V5dAq1XERBfTTN/lcKAWYZ7oOy563DvZ3SIgDwz/7ZP8O1116LK6+8El/96lfxH/7Df8B9992HP/mTP5m4zySFVKVHfgBN6we36/g6C453yhGN4dotjqblYBoTTbqIf3ZCKpE6qYnFjjTPVXY3OwWBIk53frzYNJpk8rWNaDnVWzvZEcyWovP7KKpTQeWgQlwfWVABQ72kpTzQhfJMsTNXNauomlVsEMMVCznAuL+OJXBA5tz4EqyszOGSMxwNxjarDNBTT/G/f/132Pzrp3DqQebqPLp2DZ4el3h4kyele84rfFvch1F11vdX7IxtmvOo9SY2Kj6e0kOUxRKukK/Eq47wwua2S5Zx9fdUoO/5Lu6XSc62RV/QM2eB4QiYsw7H3AA4dgTm6BFuQn8AGm6CHmcYPL51CvWXnsKTX+dMxbeeuQrfWhvg2xv8TJ9aa/Bwcw5PiAcAACvVYxjXK8kEnov3xZ/dJOTMi/f5Z72jlBVJDxsPJqPnoGcd7gAl17oJ75Uepu+P9a5DhNqyG1z5LvACNgQFahgdyrHYK2m1xZ2/qwY3plAk4mPGi70WnBzhfZgohuaPG1SLU5vAi83eXTKAIePfR0DxO5jtnwvo7IftyeEGIKXE4cOHd7zv7/7u7+Ktb30rrrzyyonb3Hbbbbjtttv859tvvx0vf/nL8Vu/9Vv48Ic/vJsmz+wAzL3bUvbRkwsoJTuzytQ2CKiSoFsyV4LHpbHNrgoqbDko60gZdjC880cCsRwCUWGd/BDk0UYBNkuoqUreb2VqqEj52QXePHXK8rWdgy2o4KCiz8AZGKMsx9hdS0TSstkxAF7Xgad+3Z7D/ZhXQSnlVctdibPQJna2S8t75T4IfeSEnhwKQJsK0EVyvoLmQMKWxCyO4AhdgdI6RWMaYoQ176ADAoIGgEgpLEHzJQ02pCJy3WrOUvTRKw5hXhwBAPRoAcLIkBmlBsrUqCz/edScR6U3vXgWX0tcAqxAozb8NXO7oqSBUXwdbn1CEoUYoGfF9GTBSRPnnBbUB5HGnG0ftISQErVwWX4OyjgO+YI8gjmxBI3Gf0cQUHIOPeMExAxKuQBj7xuXu1OeF873gzyyQemgfA/wmsmpsLvjc9N4e9ICSgsYcjQ/CSn7kFbETRYDlFFSxHG1R1aZvZRzKOTA3wPv2EeCwkrX2DTn0dh+rTGG0qNkLmI0haMe9iAhUdiyu0UxByLCQu9S2wc1pBywmjvgE1eOi+8U8v2crrrm1dQIIqiQWxSAey4c6iMEmyRKMQdNTu+A+8pl4N3cbGA8wqNu1tE0EkYaNAdQmhOYOdwHZRe9w32QpUUA4F/8i3/h/371q1+NK664Am9605vw4IMP4sUvfnHnPpM4gewku+irHTREkUXM2XQEgdG6irJXlpuZZYuzE1kYXDphOmVzskJOFA1YscNQCECZaMFhRCTmBLSihRk8jbOIEkA6Ae7YfBChgTHCn5Ud7pgryxxSooId7+QQwSkAFT6b0SsWvOgHwLULYyRBIefQF4uY0648hIHSgnnO2zTxhX9A8//5GgDg23+7iL9/+nrcv873+ezIYK02ODfmgfbbeBzL9SlfL9IpP7s2bTZnMWqWMXYQJwCH+lfiJeIqfMcRvncvue4ZiFdfC33VlPT7+fMQTzDHGytrQFkClzFXVV99dVtUbWMD+DZzvIf/50nce88J/MM5XgTct0p4bKPBEzUvMp6ST2DFnMZGxUGJqlmzkKdMzVqExaLMPrtACACo6G9n+UKJqOTSPq3sb3BwY9SDC7IYG0GOoYqARV2Q9hkpMk7rICzuUvVXu38S8OLtQnvCgl1QwUIxlC7g/eeO13kSgqRL3M0ekZ3hkMrLD4jYud46w51t4zN4U941oGPBvne7kCrlzk6dOoXPfOYzU4OsXVaWJV7zmtfggQce2OWZZzazmc1sZjO7uGzmbx+MXfQO90GWFumyW2+9FQBnyCc53DOb2cxmNrP9tz2Jpu1yv7vvvhuXXnopfuiHfmhH+yml8LWvfQ1ve9vbdnfime27DeQRkLBZSpvtcwE5rdoBIlZOhodbKw1WNY4rEVhxR/4goPQopb2QSLO/cpBAgQVJXy6qsJByz/W1ApEum6fRS3jnBOlLRPn2QPtnnQVYozrEIJCQIXxmDEDSwultRhKcx/TxNONhPlHPCI/WEzYb3CtYwbtPCyAqPBy71hu2wsTQ9qHNznlOmY7+s1B/RGXHsIhFfRhFJF4pEWoVCynRKxb9dToV9oDEM0nwz5Xrirm02qgEMi6pxLw4guOWO7Zo5iAAjO1xNmmIIa2jsiWpDAy0HqOxtcSNSXnkWhdWMwBROwK0mIzkkpmO8y1KFGIOPcsJl1LYAHWgRhG5BI67lVEgmAwAhbh6B3OqNaSF+PfAiuO1WLS/FxiURz3SsfacbL5PZVlgrn+5H0hdtn2ux0HxxVJCQKCGC2YrGKNAxsLsSUOQbo3fLrvbF4ewRFf4OtsSAmOqcN7TBfvoF4f8NUsp0ZOLvtY4EQfo62YDY4tgq9UQjRqlHOsI9i5FH4X9DwAKGqDAAPOSq7No1ChoEDLSpoAh41XDC9FHj+bRCMdTV8m5uqqexGV/Ha2hsFn+Hs1hYBZRuFr2ZDAs17EiGGV4eHAljNHYrJnHrnRlleJVQO7Y8nOD8ggayukB+2N7ynDPvPWJdtE73Be6tMiXv/xlAMAVV1yx430dzChFc4oEZuomUmFfOKWrDE5tjzXlqSW4DFRaOziGtUoKtUAB5o0oWzaDjHDVRgAglJqwg0xcIsmfM4bBaVgV4212zBbGkN8cTpxD3sbQOipBYTQvfHSktC1CaZJj5XU4ri9D39jFljCoqfalwUpT4jgWcHKJf79+QeHI4U0A21OoF/ffD/03X8d9/4ezwX915ji+eFbj1JD5R2u0hooqjIizwyvqcYzqlTAQ2/qwDoZXqQ2M62V/PWV5HCfkDbjuUInrF/mYg6sAlCXo3DnbJSOgUaA1hmTh3DKwsg7YrDoW5oCrLw+lzTovRMCc4UXEEw8ewj+cW8IX7eEfXN/AGfGknwyGzXmMmzW/sOLdewG+ZeGUMoJDxvwnLxzi1LWhQDpwnjUVAAyc4jwfo2+5bnnZDQcZCzV2+dhOldzxBXf7kCZV7Hd5DDYiCae9wO9R+jtD72PUe3vccpUE2AQMhF/P8uIrFQhKM9bZ8Vqw/XTBzbV+4z6XLZg7c0APQqW8TU3Zyd47Na017r77bvzET/wEiqx+/Y//+I/jqquuwp133gkA+NCHPoTXv/71uOGGG7C8vIy77roLp06dws/8zM/ssr0zOwhz/EtjlC2r6aDNTSsq04IluzKGrpIDOYfEwXLJlmSK58rgIDNvNUXcGMQUFsE0MVfuyWtChDJfMUeUQMl4YDwiJ70G52AIUSZ6LprCvJo4pQnUmseWvDwYwY3BJUo5hz6xo8Q1shsPj63VEJXa9KVMYWs9B92VOV6LWaE4rWtfIx0AxmYNy8VZ77iNsY5Kb/r2FHIOpVyEsM4FU9oih9sYKF37Kgre4aLg6BhoSDkA2UGzMWOM9Do2hC0dZ+HTI1vXeEhrGOlVVNqK5Vk+c6jswuMtRYEV35dwqMIQSAEVIE0J/F0jUJ9IsyaPjipP1KrGWsMlPeu6sqXRnIPFRAbn0CuxibXmGAATgjvUh0QRvQ8aPL86NFYJKQpIe5/nykNYKq+Gm+8k9aFNgzULGZ+zFABtOeJNjrCkAkZokA7XqNQYI8vJVqIClQW0pQYIFKhoiE3Fx6vUJrRpPFWhED2UctGjMwkSjd6EENJfgw+GRc+2RlQWzz4nvrya5Hmu9oJ+rtSl61fWM/IaMEYlpcyICIhQlq0a9+57r5fA77+07R2YRRzWhzBw9E4YrOrCl0abo2MoUEKXVtyv2UBjBf6Cw80l9QQKiD2uTSbZ7ufgmU2zi97h3q5tp7TI6dOn8aY3vQl/8Ad/gO/6ru/Cgw8+iE9+8pN429vehuPHj+OrX/0q3vWud+Ef/aN/hJtuumlf2uWiXd7pyOHZNura2PHCkLYL4imQcisykjs6zsGWVFoOkJs4heX8OMda8LiiXLSUHYKcoxnzoX3bYKN6igWy2BQcr3ximzEZ2so8lToSihGZU8DOvdLDBIJs9DBw3UlCigGWChYverl5KW5Y6uEyi6Cel9wDyjZNEnCo0LhywAPvjcfP49grGuBEqnA80U6fweb9Ne5f4aj/fSvAvaNn8Dhxjd6RVcr1omjNho2AB34VkfC1JRu1Ca03fR/1yyVcqi/HpQNgobQT5tjAfPtJ0FPLsAeF2aigNyxfudKAIIijPOHSdT2YY0enX8d4BPUER/EfW70cD28IPLrBDvXj4nGsmjMYa8flG4NI+IyRRK/TwfaqwFQkPDOAJ8hJUwS/G6VdQLr3Ja2r7Y+DCROqFxjMRVS8dComcpl9G6N9u5zTloXFnzZN6+g64mgmTqvjcXVAt3OhRbI6DLyfZB/ZBcii7FX3BbV7PC8FlvSL04DISuy5NvF66mAm+gttn/nMZ/DII4/gp37qp1q/PfLII0nQ9vz587jjjjtw5swZHD16FLfccgs++9nP4hVTyvLN7MLaevUkROECRwKNHkdcYDffpoKgsdp0IQykGEBKN+aQ1ZrgcVwKwQKNJnJYSYaAupAgIp81NJqd/phfHJcFVbqyjk+kb5GNMXngWyCIbRmbqXbOK2clyTvXZIhVxa02RXJcT9uRfBY/jrOzKqUrtUho1BgjW7ISWIHWjc/SM2WGfP1mabP8bowoi8MQQqCIONijesWPyWvjJzBUZ1vX7NojqURPLqD2Y6JJxkgOvodaxu5+CiG986Zh0C8WA53J1Bg3KzhfcD+t0QBcnzncF9+/AEAC/WIJ84Mr/Dnj+2JMg0LOh34nSmI7rDmjouSGhlEmCI5SAagocKwVGjXG+sg53GPOclIWMFLchpEcYbN6CkQEqsOzy+M493PTNBg1Kz4IwZ0bONWSSpQYhMAFJFxdaO4zVsN3gZZ4XnP9XtDAc7r93GyveajWMapXsCzd3E4wWvm+HtfnmSNvm1+YwnK+hW9PBcJALEHIkPWu1IY/h783MaUrSuZoo6BF5ZXPFcb2fQoBrtgqNUal18L7nAfsbLAqD0Zr68g3eoyxWkVh38+RHKCgAsrqFSgobIg11FZUTZmKj6eDwCCvrdOSno0aYdM8c2CiaTM7GHveONzbKS1S1zXuu+8+bG7yw93r9fCZz3wG//k//2dsbGzgmmuuwdvf/nb80i/90p7bk6oYhog1R35DtohMLqjG02NYz3YIpkEmWT8iwVxZHyVn51sicnSgfGScM8rhkCEbGByAWEjGBQUCnElDU+EX5FwGRcDV3Z7oePuFhLveEKGGMUFswwCpqmrYvlVP2cPe5rHQvxQv0jcAAF59SR+3Hx/hFZdwuvbI8U3IvgZ0GLjknEF5zEK8rlkEXfdimGu3UBse2uzu+XVU6wU2Gl60bDQam7SOkWJH2ylxhgnURnUj9VsW+LJRe7XBfGi7QBgUR7AoehAELI95sH76W3PoPzKEanhRMBqVaJQE2Ql00K+xeKTC/El+vovLx8AWKA968BRW7+dtHt6YwxObGs+Ar2ET59GYcSRiYgM6MoZLll6llqLyOs4EgvqsBteXr20Wn3n1VTppk0Asiga0J7M4m+uDQD5j1CSZ1yAg1t0Prh51iFRPqG0dLYJ5Ug6iahoIjzJZAcBMAC0JECTns89IgujcGTKn5TzDvd4t1z/bMRKSQ7zYsPcgc7RzYaeDiIHvVTRtp/aDP/iDrUWUs1zt/OMf/zg+/vGP7+IsM5vZzGY2s5k9N2wvkPJZbnyyPW8c7u2UFjl58mSyuLrmmmvwN3/zNwfcspnNbGYzm9l27NkQTZvZ88cqtYHCLmuKYpDweIWRIaMdoXAKGnhYremNsdA7gb7l1mpo1GaIumHIp6ACi/3LfCbUlYYKMF0JKfoh0ykkYDSUCYKdDEl3wW2JQsxhUB6xv8Xw32AxzYtIelSRhoHUpb8el71UFr4NzQkHQlDuzhE1DpLu4MlcYkp4Dq8QnCRwQWO+buOh6yQE+kWBwz1W+JfooYd5VJZONSgOQ4oCIwuT7ZeHUamNUHtaj2CagHCTgktJeSQV9VDSnM/UanCt5/j8SlScqEBIIEgq0KfD/j71xGHPrlFRmTEAqM0QSo181p4gbL1zixwQffTFIVxS2vJt9r67+1RjE4PiiIegAwws8ll6UbbgxxT1OYkAReffCmjd+HrrRjoevlPHB5gfbO+7XEAhF5mbb809Z27Nq60Yb4BPW5SjjZGP9RrGWI+48hq13sB6zWjRdcUVVJpIfDYuTVbYbLnwz1kBSQXme8yX3hg/DcD4ut7G8vpNllAKyIaeD+66vpHUQ18cRs99JwSXxzIBah8HtLVWPkPvztmYcVALNw1Tsnzs2SJHbIa5bsao1Ea4L9H1ujYZIxL0Z/yv0jUqtYkK/C40ZoxNcd6/vwoNGjXE2Krfb+qzkFSicVUNIupKTFtxcH0VvZP7ZXuZg2c23Z43DvfFYSxHAsh2hiyymI8pbBba2IHVwV+0T+pWPvPr9oDNAsJP8oWFmgVBEBHB5MJ500yhirKCcabRwdYCJ4qP55LDTolaG5dlLEAuyw3bBdBJpjtWPfYlmPxbrVo8N6ICXqka0QIlLrdGAc486B3HpfKluGGOBUJuPlLh9TecxpHvtYIhL38FcHQpzfgSwQys2vzCAvTCItepnmL0OEO8sLKJupK+RyUR+mbgs72F6CdQwjbXx5WvsmU+/MDK11dQH8ponBsT7ltjqN7jwwFqTdi0MLJKA6UAjpT8fFw2qHD1aA2XlZyhXjixDPr2KZhjxzuvRXz5K6j+7Fv48inO6n99VeCx4QbOSeZsj9QqZ7j9Nagki03Ek51HVnhRHgffqtFEXHwHpXQZ7kaNEoX+pHdMgAeapExX90I0QLYdAiI8i61arFlGmPnQjf+b/9DRtqnxs4rovLU/moAbBdxx4u2AVpZ5W5bW4QaYQxo4mdrSMnK4W/q5/buDvLCwEqKsfC78wt+HazmIGtyuKRdaNG1mzx/rF4cgpOU6UomyWEBpocxMvCDvCABAgQEGWETPsKNai03MiaOYJ6YWGWiMaBUjy+8vaQ6H5BWohKXZWJit0wYRIJQ079/VUsyhkHOBY91RraQnDmNBMBKrwcgfM7Ywl1s0G4J2RS34mviaC+twOCErhlZD90DSvsetyhAi0dooxQIK6qFn58q+PMTzWl4e1I4/yozQCIXSllYiCEiTXicRobRz40AsoRRzHg1WygX0ivmJ3GMBwZ99VYYUeeMgwcKtZ8hdn/BQe6b6CM9DD9ofFv1oRhiDYFRaYiy0X6KgAeYNrycaKBtssQJj1MOgWGKBG7e/EB5mz/Nk4C8TBEoxh/nyEm6PJA7WwAVSNMZiHYcGy7y96qExQ9/nXLaR/HNwaHAUx/onYUiH4BEUlzazcOVRtYZBecRTvGo95NrfDtKtuSa0dtRD3aBWm76W+LheTdGZgh3gGPov0QsCYZjHgjmEo/JF3AeDvq0i4+bsBspUqA2vB9Z6GnOlwIAO+T4F4lJaBWozhjIVBGypMeqhxHyim+D48QDQUJVRurjfXBtJMCc6lAU1MKZBDadTw8GFWBchLyMYLy/ydYrXp7FBjDHWUEcaOBpcptCtxWs9hKYA4/f6TFSgLPjZ7ReHUZas49TQAUDK9zAHz2y6zRzug7BI2MRF2wIc29a07RBGAvgF1VQEWCyJzpVkuiAOkdGkGdGkrVD76C0LyYw9j6xRo8CF5law02AnNq1rIKuhzOeMB50AAedztyHmqeMjEOp+u538hl4sK1js0vAgKUUPPTsIHSmuxUlzDa4/xOe4cWkNS68tQN/zHbz3NOGwaWYh5LS2BnrmGeDBRwEA9UMrWFlbQqXd4g4YmDkMBEfUGzlmCLWKMxapo8LBlaC8CoTnQpsaqxjh1HoP5ysXoCBs1Aab9pgFEY72Ja6c537aVAK1JtQ2WnP5cBWHzn8V8ts2SHDpUaBRMA+yQ738/9vA5+5/Ef76aV4IfencBh4U38RqbXljVsU0tiSwI/pQCAM+X6H2fEdtag4q+MBOzaqbkYiRyXhgfI6Y5oCo/9xZghnT8R6RiJ60NqyaIeTpd8HRTmtdt94973Xa373YWRxYaXy72jW17T1uHTaK5HeMDXEd7uBsdwchwj7bnDWzMmBkufhxe9qw/h0cfwdmMHOcZ7Z7GxRLgAgBaonSO6MCrFOSB6PJ5n95+8JqT1inAFzv2P0uUKAwJZR1ahx/081l7Bz2QkDdOrKFE4KiASQKOCGoygzRwzx6mPPnyzmhQOZcRvxmVr5OxUR1MkZyxpCE8DxQgbYznGTQ4FAAIUCeCMGBRd+cU6B1g0aPUVmtDwBoaIRacza40SPv/DkraICCeP1Ryjl26m1JT4keb+8y4NRAofLziEINgaDHksVTwXSXBgR4JXWNBrUZeWe05OrMnmvLfR/EtpSdu6SdDwRJVLSJTZu112ClddfXXMGa/JqFoCGoCbx4FCBS4TmjAiXNe8dPEme1XW1qAwMtQh1uoUqMqYQSbm61dZvtfenRAh+LgL6Z8+fUpH0tcUgK24GFZIFRNJ43tr/ijLNI6FQ8V0RoC2Tr0JiKCAJfEZ+vLw5jDof8NWoyqGmEodUG6Ill9Gjsr8lAoTEVGhegJwGtK1RmHYZCP8QIE38zTHh2WcHIrW+1LSXqkAUSBfW9c2+MRo0xjK10IAW3xwUp3LlS/YNgPgjuBAOpQCF6qH3wKHv3wHpKpFIufDo+MUqnREjmlJKROQ0ORqV8NgcfjM0c7n01hqYwRMVxQW25CJeNhuboepT50lEWcLeZI4OgpqhMbV/4oNaqoVFrHngbPUSlNjxshcucxLWCJaTwwVqftgtRwC3aaJ3vkPFOF+gTpNPSQ0TiXG7BT5FQXK9YwEAexRKxIN4V+iqcPDTANXPcj5ceXYO46gj0lVdu42wT2vDoI6DHbE3rM+dgHl9G9RD34ZMPLOD+lcM4M+Jr3GgUFDUeseBQAc5y7m6oNR6dD2Eyq/UQz5RnoKoGbkxdpzVs4Lx3chdwFFetX42x4ih6pSVW6zk8PuSB+cjyEo49XOHI/5cP0JNnMKwLPLpxDABw79rl+No5ja/XjwEATuMbWB8+4Z8L365oQo3rbGv7LCuK4JKZg611kwia8d/xsx742KGvsox3EsjJs7S6lXlBsgjoCkTVUwT+Jjja27R88m+/z91OdR5AS47pnh13LLO3NkYn5X8gQaKHoJRcwNVU920g7SGlXUGE/bI2G31n+87shW2SetAUC165McaF1axDGkE162j8AhRqM+KsMHhB35iRz7ZKNGioTqDfOnti4/nRObJuQV9ah9s5ehoKSASR2o52vADPF+PBdPL/aUiRrNOcooEmGfdPBe1KFxnlS0Hx7w20rjz0WOkxqmaIzeac34Yh1FY4Sg3Z4XXaHRijNP1ofElXBAoNjGnQ2HmONLHj5WHACoZEEBDruB5Wqq4AW9YL0JyJ164cU2OdY9630SMoNYrE7Oz6TQRqABFh05y3bTDJ/C5EkQQU2u1xQVIT2hc9mwoa0gANhT04g277kAyUqXwAwY39jd2/MhtozAgGCOgN63Q6tAE7jr1oLUrQ1h3lQ2rEo6gQBQqEklpS9hlx5hIpCIJsrsXKNCD7/tUkMMIQNVyGWtm3Lx+pA1ITCEESY1iYL4awK1NxFl6PsyNM1itpl/DLqgDEzi0JCBMJCIrSXn9ArMXCiyJfzVLuUBe2apAr99auOuCED/l4gfbCp+t+Vzkw2IslifbVDmZ2n9nM4Z7ZzGY2s5ldFDaDlM9sL1brIYQXB9W45rrLcQ0uT7YhT8tqmzENNtXTGOqz9rNOMp9a1njJzVd2Onl88MCV5v0d7NoFEUdQIO9wN6YCocHLXnvSbpdmrJgTG8pPBdN+/5yPnF4rQaLo2D9dzGujvHPnYLXO6azUBgzWgsK25m0DpUWhPw+8/NXX2DYTCKUPWhg0ULrB3CK34VU33QBpeeEAO7cjtQpjlaP56kLQVVIPL7/pZHKNBGpVUUHiyDTQuvH7GKNR6yFqtRF1TuowGq2iMm2pw2O0xkiv4CWvvMzvKylcgyCJSq/5oKSBAcjgVd/x0qhNqeMHACPDQQpdu/3S5+ral8V0sKP+d20UkIlvnh9/27cLYE41i+faALkwePVrbvQOrzIjLqeWoZekL8nZQynncN1VXIXhuqteYYMhQWR3KnXSXuPZ5iE+n66xSk/49yOg+fh4h4/1ceT4yfCuGYWqWYsCAgYwGi992YtDjXbBz3Y3bQytPgq/uzJ/NXQHLNu1Ya6/gNfd8vrUsc4EkbssQZeaKq1CEO/nS+fx79/5ult5n0wln0D+vgDMBdfaoNEHk+GeQcoPxmYO976aeylDBF2bMUgLP30I00B1RLDcQM0w25AFbJ+hW3GZOZ48cDSA/xuw8KioFEmth2jUCMpCvoxp7MDkieNQGhAUDcSUluHgySyC+bZUnWOV8S7rGgTt4JJxRF1mm0iitDyvgTyKI3QlLtdcpuNFcwt40QJw5Rxf4/xSDfTLLVW6J9r586D7H4b+GkPIR/eN8MzpBTxyns/30Po87l+XOLXG9+n0eB0r4pzn/mhTW+RC6KOuCSqpzxrd11oPsaJOY4OeQWU5Tpvjp1E1636hsdC7lN/gIXOwh2qA01KgEC5zWaIUPRQuOGuAjcbgmRE/G4/iMTyhv4nV8WkAQNWsJpHjTsVwKmAQ4Fc6zoJCJxlt42tix8rf2bMyYeGbP0+TFpROZbx9vLRGffzsGhIwxinsu/fEZY1trVSatJAQrcm91SajE8XxHHLn/8aE7zNlc+XLnLgxwyJIvMaB3tLbzBeriKL0RH3LY3MCP70WTy1RVVeNXeSoWVZ5ZheVNXoIGfExuywvzcfj8uRsaYxaUaZOnDRncQZaZDBTIQrUti1xlhYI0ODW8VwGznCGnKIyZMZqngAONaRa80qoy10w39c0nnaWZ+U4pKCSNmmjQqZRKzu2O0crlL5iK5gb26pCkllc5cQoIDuf8fNGpj0hjHXiQx8bACbzCpJAhw2SOMfdOdNxLXKAfFDABWEKBzXOxm23flJR+SlNte9nEjKBC/vnSnc7fqHMVHzfup7X1DkN2xv73IagB5tEY9tUqzIRw+u6Jue424vgf2I6I4rkeSFIRnC6azI68Mqhk+O59yrWgEmvTPjrc9eYvzv5+oCEDOeBewbqyQ430ucizK1OSK79/iVVheA0ASJXKQpO5edqmXGl0UIgJs5y56g2t0aM2+fXAar27QMAQRUaNSsL9lyymcO9j0aQLfg0MkEyHZXzchagtc5xDnUDJ0bSEQZfbSyM127qInaBj9RA6zpRBVV6COOjaApAxMEmAUAhzBWa60QmUNngSPGgovzvZCzM10PUM6Co+33SdUFBmzGUDo+nEFz2rBAMn14Qx3FCXYqrBvz55CGB6xdrXLXIQYTeJQYYlEBlI4BzcxPP12Xi1CPQX38M5/+OB8r7Tl+Bb67O48F1nhAeXVd4bLyKZwQreK6Kp1DpdQ+bU54nn/KVY4gzkfBBDi6FFq5X6TFGzTI0NKqGlU+r+jyMGSOuj3xezEFL7tunmwWggYf/KWoSjhoLqKxj09Y8HVbnUav1zMku0YI8RWJ6ucVBBG2zCtpDwmofzOFt3XMQ4FyxhUUFsudDhy2jySi0wbSO5Y/pJ087SQkWFQqb91pQfyTQwMmOd97upE2+z3jbVGU4118InDB3vDiAZqABHQNXJUDRQimCJiZtSBYdMUeMIeSu1rmweghOEVda2oBboBsoaN2k0XzFC2GjU/rBXm0vHO6Z8z+zqlmPyhRa4bD4gbIOQloKMzjcZEsIhmfdDRRuHE2hwKmjyRDSliOno/fGL8Dz4yFsn5zXRO1E0ta0XfH4EekxOIhq5LC6zG7OJ80Vlv3xhIRAiVKGOt1C9Pz+DE02SYbcXas7Xpxt7jIiQuDd+hP788XG8GwD6HD8GLWQO+e2kRAaMMm9En7MK2QfkgZe2I2FZwvvsFdmiEqtcZ1owGaGFZQTx4IOjqjrT9NEjrVKnptuS5+7uIa2v5Y4U5rMW06dO2h7KL3Bd0S5wGqarGk/a7x2VNr2t3WA4/KQebAqdrDde5UkYux1pRYSMTn9KgSX+b7Hz6l7DrQJyuNGqdb7FF+TENLSo2wfGOGDIdyFKg242X5ywWdBEsIov74wMCFQAZtU0FkgIQmed1QGiO5r/px2vYMusx/er/DbQTncM7TYwdjM4d5H4xrKVRpFNRoG4+D4mKbl0KTmotXbYVFEDrcee24NH1cF7qyDV0VZd6OrEKUzLqMn/XETISgDGKoRK4SzI+aidq5WuBOMCLWmAZ5Ap77AJBHXTjZGw+gK2jmWhoVoSjGHeSsicom6Atf0D+GGw3yOVxyu8Mpjy7jmpcsAgN6NR4ArLgWWlqb2YMtWWMADDz6GzXuGuPcxzmj//flF3HPe4MFNPv6j4iGsmscxHrMzrE3dyghyoMVF7evkvuYK0Lm/yArenCFu1Jo9hlMMd9y4TaxXT6Iq1u0hJCuDeyc/bRN/F3OqGwjqoSg5aOHU7nMHOp44OfAR6nDHlgvDsfOq0+cMiLLHrLQf8615kZH2RXzNBtoq4rsHyk1QcbAH0fa5AJBArhRs8jZbJzz+vd2ebnPOfL6Q6BKWCRlmK+QU9ycByqSLI6+kbgNyoQa5Zgc8ax8l7Y4X5H3rYFtVYDmHQvSC2jwVHrLnTFEdIJwqLMK0Xp3YF7uxGYd7ZnuyDiclHk8MEYQRMCY44zEipeWc2mNOytp5HnGWRfPnyxA9vK9qjSltaGpwtHk9ofz+6RuSXW82ePrMtUHLmfPVT3JotUkzyk5kSvgKGuyYShfYsNfu5xVwoNeLnJkaJNJqFPEYKqnk8Tzyq6dlJd3Y551nkzrmPqiZZbwh40Ao/+6V0VEm4liS+ry/vb0SBQcZIoc30evInhu+v4Ufg00+qWVGEMwTj7n60TV47vEUCLPLNnuhOF1bZzDn87cdQn8cY6LscQ3d5fTnAeYoG4w4OJIFdqZplPhryIJPInaW7XMg4n3IYHpSyrTWE8ncTMYmO7qCXi6okGbBtVGeXtH1LtuMgf/TBd/ydvHJutueOOIEft49Ci+MR5Oeh73YXubgmU23mcM9s5nNbGYzuyjMmD1E12ce9wvekoycdVqSklwkWpldAG2IaOQExJY7HHHmC+BgmNJ1FOx2JfxSMarYUcuziHyc1AnJYdbOCXIlsbrg1K39J1B5uiDyubFYW6hd7tTa3W8G2vezggTMOEBhXbsSpFN8bGJOt+8D5zgHhE3XPUmcXT6K7RMbAIiy7u3rkUm/G2goU/kSWq1svM0me4dclsiDqDEajGlJ4flwCD3Hp8YE51lkz4FHZtnrCw64TO65gIQGJ1mc2FxjbIk5k/VBJBgWX6t7dp1ImcvepmWyA/EwlAUL75eIPhfUg0QIYghIr5QPsLOrEBIArr2u/ZP41+5c4d9+Sxt1K2FA1/eSRCvZkTv+7SCAhJBtJ7rr+ABa72d6rpRa4LZN9AlaiQ2HJFQwu6VMbmGzDPfB2Mzh3keTog8DaSG6KSQ84fK2HuatBodUsMFnIaPSY0pXiIVGAhc8wJlDtpX5U7EgQ7fFkfkUWuyyl/bHbIIySVbRGAsTa/G8QwmNODPKRwiLBkEF+sVhHC6uxJX6egDADXNLeNVRgdccYQj3q696CsduMZA38+/mVTfC7EKhXHz7YQCAuu9pPH7qiK+Bfd+Kwb2b53CK7gEALA8fRtWswsHqBfUh5cBPBMxzr6BtKTYH30fUJ23T0fZceESbJuzrd3KQsSHGNTzMLf4NAIgKFHKQQIWTa7Xln+JsZmPGaGydyNrXCA/3XWTq1UC0ACIBY9L7zgsll61xHH3XQBe5zzlmeQQ9gq1jzNnsfBERZbopaXN2KJulzy3OcG+V0c4pIN2iLO7dcAiP9N1JlN+9Y9AuvWc3sBlu169FSjuhlIbCC1bVesZcP7vstqvrWYo5SNH3z4dEmUDcjVEQVCY8Umd1NwV11zbLcM9sL5Yvlhm3Gp5bAR7v8rEwjC+M3FKulrMfq4KTIqnnHScv/BWVrGLiJ++mjbKL5shJjLKGAoWFaEd1frMMW/Jdl3MZL8iNbsG7PSImm1/ja285GVp5iLSBhlEagkKJrQIjjInpW672t44dYqO9sjuXg0yDELEREYRSSSaTHY90u9zxcWOURNFyYLkNCl3OfdxXISjAKEHnbLoMJkXUABGJc/mgiafN8Xos0Z6JHCdBJZeEs2rVzoH24l0dI1+MvEhnN4CM4wK7IEcT2oZQ4o7/nBBoccEG9+wSJVOvNhKUUJfQenYJQdGbSCa1xAXSoIC/LuoeqfNymZ7PPCFQw9dAKWrNolFiiliscdAVQGtl3s2EQBvgn4GJgn35WiF7f/M63X6tkgVBnm2bZbgPxmYO9z5aWcwzDJeKSDiqm2MRO+BTrQXD6YKjh7q/7piOC+7bEMNws4mozYHNByC3sHfnteeYuMR1Dol1pJwDkDiDAiEsaTnkUcCARA9lsQgAWOhfiuPF9TipT+LGJeZi33RE49YTZ/GSW84BAHpvPAn9+tdB7xRCHtvKCvCtRwAAa980eHDlMB7e4Dae3hzhaXka6+MnAQB1w9xn7yxaR7SVocj5y54rmJ3bQeY8VKoBIOx9647Ssz7ABlI+cYlCcpCgVxxCvziEnuB+LKjPzh3iRURYjFZmE9BA44TfdOPFPvwpoTPqQofAHVzpFW3bn5nHb/IzEDIbRZr9scbPsVsAy/B3YumEFXOmEw71JFhefD+SiH5HBDyb0E3s/KI9WbvtAF6sChQsQmjvg4aGzCLifEWRAx4t4g1pQAclX+PgolEALj4Om4xKnfQ8jBzgQGFBfR94IYRa6wCgSQCm9k6KIZdR2H8XlyG3uzvuzOGemdY1lApPAhH5ck2SSkjRQIsevGiiHQ9i9WdX9sp9BtJMpf2C/zHZQjoXhiKCycbZpMwXCXaPnYMH8g4nAJ8VjWtU5wFPbRrv3HL9aQ2T4LM54Oq5trB1iWPqUERBM3au1p4fmvLWUQM5d50tRhbIFkw9F/yKrgDJHAbB/58JmuVZfdenDI0PWUQXZOASlZEOhj9229EKomhOf0QxRFy7jHY/md+9s53x1OPzMX/fXpPg2uCBaSxs8Ng6/DYTHatTx4JZueXc37g/WiUn42CNme7QcZB+gltg15SBv8zOrLYBeiLh1w7uc1cSpRVEik8RXW+uxB7rLHgHWJSs2eDnzMI/DwCg9ajFuY6fXadw3lkfOzL3zrG6foFYvyB+DjSl79a0QJf/Lvpdu8CXGke/d8xsxiVdDoLDvfs5eGbTbeZw76OVYgANAxU53I4D216Qu89tZ2oaHKbFD+M/ouO5yGCW0Y6cvamqisl54sVEu01hgmtdQOKk8GBVJJzT2PEhCqrX/LlAWcxjocclOC4TL8VL6Cq86pIevvMYizTdevIJXPLmBZgffCsA7Knetm/nPfei/gqLoJ06fRwPbfTwxCa36axex1iETLKUA+Z0uZqXcg6SCsTSVirpsqz/XFTTT9guI+F+j3UAsvvmPpNTeg1QPEEFSutwD4olzMmj6BF/lighTDqRaGjUVjm3MWOM1SrGVqStVuuIhd4E8T1UeyhFkarsOwEx5wimQQu+VF64TB7/7ULLO9QFyGbu3ee4dnguUOY4WHmGO0T/dbIA5mOGhQQHIESyP3Uuktzv1lGO1pgCpT2O47qjdT5hhFclb48PuQJ+qlqc1x5lVEPhM+rSlR6KnewJkDo+HosoFWJCIGgPZoDdlySZrRFmlhkvHB2XmFWrha6hsmxq2L6tvByPB1opCGqgbO3uLuc3XmQLn2WEP1/avnZ2GQZ+QS+FhhEGjqcM4mx6gE0zV9pnKZGKk/kAZJRNdYHduJZ4bOyMFJAWrsqOD/mjOk55LhYV5iHmLjtETKcisyG4FzZ/bX3wwzmrFsbswMyaGsAA2omsuXrdUbC7SzGbtw3Op4iCva7d0iUqrVMW0GFlKxgcB1p9JjV2Dik8R9AVjNA+QOPm/jjrr0wFo5X/zMfORfO6zYnjCZLRXEit4E6L154cI80WM2w9mitJ2fsaoUEQ0R102tf8VeCQG6TUii6BwlwoLg3UuO/4meAPInU6KQSR+F83v3cr4PM6THYGZEI72+rpLtit+cKi46cZ9RAU76aE5BYE0sJz1H4/w/3d6rnYre2lLNhsGp5sM4d7ZjOb2cxmdlGYwe4n7NlEP7OeXERRcCCpU1FYKVuaq1tISpD0MGJnvOgNmcu2EJVDcTkF7zJwfUUPFMFsASS1jJWuoExwWjwNzPFw9dAGEVNV43RB3kxc0OfZ4S5zcOnCOpeuBGcXJ5r/ZQffOTpxH8fnj50XVpwOAb8cBp9Wu2gH8mJH0aEQlId/t+9Hl/PWyZl2sHQxl16zvWdx/XJlKl/WrVHDxMHOz0FWONP93pgGphklAYD4nsXOtf9uS2cqDooCMCZR+e665znSIGm7hYQ7Ybg8yBALs8XXkDuzbSRDHPxNkZQOzcC/tdX0hei+hvBBQ5mxRxZMum4XOAHazjR/l4r0pQi56HTQUGrkz6d1nZXlmzQLpcf3Dnz+jFpETAxNj8cmt4+wyRWagKDdq83m0oOxmcO9jyYE1ywkEkHFUOgElpvzUvLsN4AWZHeSBQ5n+/uEY72FpbWgAVcayg+0nkMbKyHH501lyH1t5Cyyny8W8symi6z2igUsFJfiUnMSAHBDcRlefVTi/3XJBl73Sq4ZvfB/XQv91u/f1vVtx8Q9X4f+fx7AE/dwNvjelUN4eINwZsgT7Io4h8aMPKy2VxwCEHjRwiuq831XGCO1bGDvpAropB+BbjRCnOnm7K67z6VdnLnMAkdu3SJBoU4gjxoaWte+zveoWca4Xgmq6J53XtjtFRDViM+hy5RxkD2dwi3+DP8d2le2YXodlr4vKcTT1S8X0WIxRh7w5xK5GI07nsqOrzN4uFcUN+n++e9+cURIFo/xueLPPssNhIS/z+jopC+0bjibEEH5Wln56P2cPGZM7l9NGsgmb5flNjZj7j/7BfF04ZiZzexCWykXIGWow506htIrDKdlwRBWmM5xjmsqU4T3sI6RyWkt2f5hTGRhqfh9lhBQ4LFVih6gI0eaZCszq6MMXu6YTXL4nAo7kQEsPDo33ybn32RtLizyTKKHnFuq0UAJO9eZKgki5OMfEJx4Pl5hYbn2fEJk40uDmIcei6cBjhMuPBeYyGT9Qr4cVGdZpqhNHvmUOdiM+gkOOCMHQqlGZeokMOAdoLyf3FQNDVeSjq9xctbSf+dg636btqJ4l+UZ4vBB27VCPAdmXH6ixKEWSrXmvLh9RECskTBNfT8XBsytiwqV16xuIVAoRTLwcZBk+Z1OAoCp+i3xuVvcbL8xP5kxBSVWKncBhPx+5lUP4u9TvSNeNxtqz61hTSND0KpDV2VmF6/NHO59NiJhS0hY+BM0BJUTJ6NczCHn/jrBqETQZJuOdEfj+J/cQwcsJCk4KdQB7Q1lwDhqGfjpAoRUOI1LhTmHq10mLDZBBQo5h0FxBICtsW2uwcniGADgxqUCrzkywk0nz2Dh+xlmrr/ve3bTA6mtrUF85WsAAPXZB3DmcwW+eOYSAMA3VkucWqvxJM4BANZxFo0e+z6RXh02LLC0qb14DpdhiwZyD3vOHD+7cCOjObIZl4mwDvU0CkDKv7eCPzbyP2qW0YjN6HDthZCG9tvXzSaU3giONgAn0heOUQMmcP1gjC95Qqg6MheuZJyzdh+Ea9F+/k8g2xGXLbwXOYScF4dOJM4LgIl+Euxxx3QLJ45xqOz4cT+lQnD5BNkJl0T6HhvS2XvNwokebqZdmRu7wIyyQf4YMcfS38fusaMtvmO/iygdyjQgV39dcKAoh9p39pfdn9t3AJBys3s42ywqPzMekaNlDZW+9JPjVipd+QW77oC5dvE5XaLN2Bq9bi6MtwHgSzuFAJ517ic4GSCBQs4lX7lAAcAcTWWqqAxRtjukdy6BVKWdjzVBpdye229nosSAgIed8zVrX5eaz1Hwee3nggbW4Q6w9lgd20PmHfKYJETMqbHjqRt9WBpMA8hFJC1ygXqQpHy/dYnCTSqjNWmdpVFDo4bRWR9F/aNM7e+De36k7Pk+iZXW3TrPAfHJcIDAt8ZCvyepzbvM8qQghef6+vZzgCJXc8/rn2+1dozpDUYrqHiMp1RRvxD9JJDCz0RE74LwgQt3jTEFwyFQXPCpMVVSY5uPEd5n94x7ugAAbSo0epwK8iXrLm6ztHolRDl6RSXHy8VYc00FvqdhjCCZOuhOANG11Qkc5nSOuL/T82XjRPRM5IgTd4SDsL1Aymc22WYO9z6a1k0nBCblLmWf3USeOdRxxg0IvFBt63hvNXCyGIsdDIxGZzaK0glPWHEVIXoWCpdl2bxKso32ImS6TJ6djbhz2jRWnbO7zUQiqbF9zFyBK8QRXLnAj+c18wrXLG5g8UUauJydcPT7nceaahsbEA8+xH8/+BjU/U9j9Zt8DQ8/chRfX17CN1b5nPct13hYPYOn6REAwKY6yzCy6BqYD+0ggHzPnPPKi7poUWad7dCnLCQX0gvt+8QRfO1VvSc63p7jraD0EKaxMDZlS5xMiKbnqVXHzSY/OTkudNguri0Op07v73sCewi/u/O6/01wTl0/xM+/MU6B2zl8dpERHSPOVDh+sowy3pLKlgOrouwOmSaoy5NbpITzO+E1/mxVzk3oy1hd3EfhoZLjpd2SOvXGCOtwhwh/F680FWprv0uxOnz7XQuwNxXVaQcAoSu+jkkcbhOy6+FcEgcx2eu9ONyzRcJz3sbjMW699VZ85StfwZe+9CXcfPPNO9q/MeP0QUgWrARDAlL0rMMHaC2jeYxtGpTXc7InVBRwDoty75pqQz7jgF2SrUIYI+EDhuBYmXCBPZWMf27/pPRZdA3O4YrFLidVVYjbTBBo4nOIHlpCUckBtIecu9rfE1XJUUGIAo0PvGZOUOaUuHsYB1LYleZ7oInvSau0W+siO647grFPE9fi71I+bexgO0c0V8fWSZICrcxrDGlOM7vCXncEI46eG2mDOk5NX5j8Ke52rmNof2cgWoc+0AKtQE2sZ7BTM3lSxiiPnuIvNGLBMQAwJPxzJey5WdTT3jcbBAjZcQ2TX5NRgJ3z3Doh595P41qTiXjtLiASofQSHRqbTY+fVX4zrECqUVaDIA+Kh3czuS+GNWL4t5g3btd4Zvd6OpNsTzoqM5tqM4d7ZjOb2cxmdtHYdkQdJ+05s+e2/ft//+9x5ZVX4itf+cqu9t+ozqJQjqrEmd/YGXVOkocOC168x45XDFkFeNHtyjlJUbbqKcNodvQBNJrLKga16QY5x5qduED74fKM9rOQLUeMM3ShgoA/L0IGzVme5Qzn7Mj2xiiiCLrOTnrIWAdzbXZOqGtDmmV3PNxEQCyDU0+svBG32bfX1ukWrg54zwcBuBX2HpvANTemailqxw6tczwToTWjARP3QRB+E4K5zZPKuRGEVToPkPMuFER8DbmTbUyo/MLl5IgrRIDvXxNDzI32DjLgUBEd5d1iRW640mZRezJhNCGVD666/stRmF4wTDe7dr5jy1XI82BL/P52ZZz5t6BorxHdd61CXW8Appk+R3RzsYNiPuszRLXHbSDKZ51tu5R1hJ2IoYmQj4m4nkOfTDB37+LAR+DOazRqn+tyWtv9HDyzaTZzuPfRaj2CaE1S02EjMRwnbK8Cj1s72FvgiGypWElO1TmOqgYIV5pldVm6iL8kegksFwAUNVH2FgAakIN4GXfsOBIayR+ZGtq0J/x0AixQWjXtRb2AQ0WBxYIHm3nJx1HrBuVjz/D23/oW9A03ACIb8CubpT19GvTo48DDXMareWQVo1May08zDO3ptQWc2bwCT4z4Gp4YCTy2YfDoBvOZH6UzOItT2KxYtbzWw4mZRb5emxnNap8Hy+s9uoksnVyS/gEAQwFqbLoHwlDf2pVzCZFQX47MtSHKngjRT+4JPwO95DmIIWBuEdn46zKgLHsxFXlB7cwIL4TC36G8XUB0tBX3k4RxCxGijEw418rUkC14om0SJKvLU1C6JSqA7D1u89UjiHmUHWZkifYLJa1r3jbm8rk2R/eeIYfunB21QZH3rU7GBEQyCpRKKvh9tdUVIGgY1YB0inCZhjzIF6xdC/j9MI0ZpPyFan/2Z3+GP//zP8cf//Ef48/+7M92eRQFY0cHrQ2IWC0aAIzQgLYZ22jpE5fUI4toERHyLOaAEhUJ11dA2CxjcIB5jItHKFvPOGsnwO+phg6K21rAiVcBsPoTBWSCistKYEXO8aTMaqxWzdcRve9+3HAOs7Hvu8sGGtve0GZ3XbbR0Dqeigsg1qGwWUfyY589bYc4WmgfRW0voHTts5qGDCSVYXwSPeh4LLK0AWXqxJmLocAGlCALBFGKFMgCHHmtdP9b7AC7DC1ChtyZUw/3UOQupBfSDDoLoTmaUXtsTuDfXc6qP064Dzqb1wQwtS62APz7ZCCSectoxTD8llhacgXRpw5UFsK70sntNsrPixo1HAw/L++WiJ5F76/LBqeK+gGJ1xZqk51OcKpOr0OfGNZX8VdmwloQCAJ/8f3ZibJ4eFcmCezNIOXPJZs53PtodbNp+RvpyxmbW6j6wdsg4WzyRBdDZKwoU+SIdMJJW9wPIBVJiifb0g9U7jeyUDsA3tlOJhgN7/gZYvhZIoJhgjMVIEJukCNufwbDCxNwexDSMKjsW3++Fji9MY/efQqXr50FAMzd839DHv07oLDnHDZonm6wcYbbfPbcAp7cmMfT4yUAwNPj43hmTFixvuJqZbBWa6zXPDCu6CGWxQpW6AwAYK15kjnQamTb2C6hMq0UUxd8vh3I1O0JMod7U7Qj6YlON7fH2N8nRD1JA1SConrLMVffPWvuOXBiY0E8S0ObwguUaQ2AZBR5Ndbpz2FZ7vhO1C0+fz7J5vDp2i5elP8dSPtSh6/hIOgxXFpSAeUzETKhZARhHrewKgABBCpfCFbEfRRqWpeQmd4BHziDjGVwcH52osg7AERldMh0LD4iaznIEZqfy6ZMdtD5WZ4c/JpsUfsOwNkGwAuWGaT8BWdPPvkk7rjjDnz605/G/Pz8ltuPx2OMx0GYcnV1FQBQykXIwpXPyxb8xkChgjGhRGXgytogoyxsifnuQChxuArw4piBGw7A0qMGKG3d7K5AVgzbdZnQ4BAYGKM8vNtAwwgNo9JMXsiENl4pma8xzR47hybneSOitnG2N9BuunikiPok5wZPoiwlWjExpUZ2lzrKlaL99hH6ALCCbaYO2iN6lDhNkzjrxjBM2l2jgYYEz3Ul9UGi8DEEB2L3dASS0KbxAqS1GUObKqnXriMnm0uMyQSK3PUsxNcYl7d03wVxrqZ1TUnA1kHQI4X9PMiS96vSNRTq1u/hmh3VMOJOR9o0DAefXLYqN8pojWT1EOLsdHxdjo8d+No1goPpuO78XLuqALHKuu8no6FFKpLY4lxnyJE0m5yX9TXh/VV1whsPImr5GiwOEISAWh5A2I4lSRK0KSv7YRdqLv3ABz6AD37wg8l3N954I775zW9O3OeP/uiP8Mu//Mt4+OGH8ZKXvAQf/ehH8ba3ve2gm7ovNnO499GU5kF4GsfaDWDahCwi1zacPBDv3twAl6oKB0fHDjp+0bHbRbSt/egmG+QCam6imOyEaFOjNsw53qAhlqs+ShumVEZgtR7g1GYfh585CgAYfF1DEFDZGXS1FjhbCZy1a7BzY4Nz4wZrlkO3hmWs0xo2sQIAqDFErTc9FFDpMZq6QqOG9vPIZnS7o/CBhxv/nt8r0V50RM5p9/axdTjkO7Ec+kcOYhlESPJFgMvQAnyNKrpGN9n6yUr02SF2k5hpYEgirUMtvIMtrMhKSzjOX2PbGQ3OtveobUMjxzvisgECpMuIf1jYxU8v+twWJUu6LQ6IZebU9EPplFDPGnCLkMi5bU3Y4frakE0X1MmRKAAQce/8hJtnnF0bGpvVcH3o+i5+9qI+jc45yZL6rX7smFxqaLfm8ja7sZm//dw0Ywze+c534ud+7ufwute9Dg8//PCW+9x5552thRoAlHIOUoR69Tl8MyzqI2eR4HUyfPY4UV4Ojg5rdQRHv8vZcKXAAERq3yFgrkTISDdmzNlYEYlxdTj77hpUtLDn8ztnPdbKSLOGrtRZnhWM2zxVRZyKZGbSUMk1OIXymDce93HubObq2x6SO8Hhd+JgDhqs1AgNxlH2r+EgY+40TVnPaNMk3Hhl3cG4DBi5LLi9FgMF5XVpUrGuUHklQM6ZfhBRD5KLaicZyNIT4r6bZq1+tDzySbxwwDnx4di5aCBMCOrwfUEyzFOUpWdgiIyCDNPrfifX6X7PlN1z+LUyNRBpB4Vn3b2/hkNgXp9AQMQBYXsumQUq4t9zfYCACLX0BIqSCC6g7/rPNElQIARJ4iBdEL9z72IQIJStezSxv+L3wn+3/xxuALueg3djr3zlK/GZz3zGfy6KyW7pZz/7WfzIj/wI7rzzTvzjf/yP8clPfhL/5J/8E3zxi1/Eq171qgvR3D3ZzOGe2cxmNrOZzWxm+2rvfe978dGPfnTqNvfeey/+/M//HGtra3jf+9637WO/733vw7vf/W7/eXV1Fddcc03LyWBIbAjsBdXukDkEkDgJsTmYsNGubrYrrRhnFwMaTIo+SilQgFFABQ1QIHK8ABgyIYiJBlqaxHmNRZy0VVTPVZjjTJ2UIUvoaydHIk7OYrhznKF2PF0vKEUGwhhfmkgaFiZzTreABCgK4xugIQOtLG/VuNrEoW9jJ4Md0R4KS/MhK3QZOymt0DMZkOXFkuST+yCFrlvOa1eZrrRfazRmhJo2fBtjvrBTt44Dr1o3PkCvdQ2tQ8ms3JGSokyE5lz/x85kl3p4wr+Ooc1dgXfqLi8VZ6jjfx0tKK2Yk9bRNkZHvHHy9w5oK6mXci5xJr1Kedy+5J7mSR1hiQauHzQrlTvYvaggUETILM5Ud0G04zK8RmmvecBtliCrweDugw9smAbaaF/G1dG/wniQlgk0pNihd8FyzecU0fsIxKJqoiWKmNzCSMGc78kEeH+M/og59zuAp1+sVhQFLr/88m1t+1/+y3/BW97yFvy7f/fvAAAf/vCH8Rd/8Rf47//9v+M3f/M3D7KZ+2Izh3sfjSPfNLG0BAAYYjibiMo/xRDNPOsXFgcdMPJJ8CS/z4TsrI3wTYusaWirmh0+x5mC+F+2iKNFhDYtKG5PCi3SpkGtRtgUywCAc6KEQoO14SIA4Myoj4GQKElACsedEWiMwdBmsNfNGKtiFWtgyPnQnEelNlBrzlg7IZsAAcs51hrIor+xebhXnqH2CuE554cAKF8yCxBAlg2PsyO+nrZxZMLOZmzPOjLb4XNc+omhxTp6XnWEfAhIjcnQf8682uNBhPJmiDPqQf0+rrvtzxktQnyWO8nKhn6OGm+v1U7XcRkrM/bXoG0blHaQsyLL8rezPV3vBSXXJLy+ASMCotrmuoGKSrNpt7htcfvbyt/hXBls3kfcXVQ+pYR42onfT0DrCsbf666sSdanNGXijkq/uc8ULwb30/YAKZ/ZxWXvec978M53vnPqNtdffz3+6q/+Cp/73OfQzypPvO51r8M//+f/HP/jf/yP1n79fr+1PQA0uoJRaUm72Fqfu8plZdtrrfw7biJHErAZTQK0dk6OgtK1z5xCA4oKW9Fi0pwdIO0FBGdR/bvfQSGL1guCJCSVHsFTUD9yYPnYbkxVdm5pCCCKnBSXPbdzae64echtQmFJqyYkQQFdIxbbAhQEdOAKazuXCOeQF2hMRyY0LoOUzb0J7YYErzciR9EfIw++ZFQiXwoN6bMRQ/Hd59gBds6rd3gzsTvepknoWLEYXz6XtnjDE7i+rSx+vH+GlKSOZy6ha01YUwYHFyAyIO3WdQYCASZvjAaJAt3lqhwKI7yLik8atmutA5wzndL34nlOoEgqC2hqkqWx0QqKjF+DC1KtLHKixI42VD8XavOVBDpMkIQBeRSfm4snBSFiJX93/vxdmoaOza3RBwAp34c5uKoqT/NxNmnMvv/++3HllVdiMBjgtttuw5133okXvehFncf93Oc+lwRaAeDNb34zPv3pT++twRfIZg73PhovoD3OKf0xKEMBiEQWdIDW8O8dA+QWHJmc++Kc7fZ+7q/UkbIHCVF/1BAoPXwKsFFkEx8/PrbKPotkAT8NVsTHa1CrDe+ANMUm1sXTKKyjJqn0KCK3KOCa1zUa61DX1qH26rBR7eZwnnANMA3iGtFdjjY7wUEwJHeYkZW9Ss7l4HTRwiltS74QTGtuU7S4yIMtsWBO13nTc4aINsGVrMkCDS3bHsSpdS1ugkRw+IlKryYrvcM9od4zaXs7wvNkukb/vJB7LCgSRcv5CwVDtYdeaWIOuolg9XEQoDVhugxGBt+Or52zYHbRqsfsdEfPIS9EAwTU1yfP2++fNWfCXwPfOzdcWxhsApuPeekMsQvQfv4tfW5EK6iTQ/vCD/kzwkGVg1Ay7SJa7GTfmV08duLECZw4cWLL7f7rf/2v+E//6T/5z48//jje/OY343/9r/+FW2+9dUfnHDdrKOHKGlLbUXSWBLRDxnmSwKl3KkTpBbDcOZLDGoNGDT01qVOAyXJXAZuRjtWu/TogZOB5brDQWEqdYR5Te57DGjLFIYOX18V2gmJ5CazUGd06oEYUU9RSXqoUsrW9O57SYzTQXjE6d4L98aMgp+/36HtnkkqeO1rzfVhnuT72mUrRT+DVHJAIdbbdWs5x64kMH0MGqoAUpb9fBIIxKgiL6nGS5e98BqYGMQJM219zloHnPsueY6jk2e6yBE5N5APincfUESXDZoO11fIRJCGMCvcdaKExcn2Crta0voneLacKDsD3t9dZsG1SVKfBHl1DedpHJG7izUR9kz/nCpxEcP1e+OfPtWkabN7dJ/9cwfZZLmoYlyHL7nsqigafIOvqt4NSKd9r3vx3fud38Bu/8RvJd+9///vxgQ98IPnu1ltvxe///u/jxhtvxBNPPIEPfvCD+J7v+R7cc889OHToUOu4Z86cwWWXXZZ8d9lll+HMmTN7bPGFsZnDva+mOTrU5bzFTpAhGMtH0rATVhTN25pPOf13oMsp7tpHJ7/FTqBGnURLYxieF34yEUe0FZWLBiKfNe0WXTJGQ+sKlZ2sqma9lWkMgYfubKuPOEcDoaBQS7wlyGHrZGubiaTMAe/uq6wPo0z+fjsfE4/XJUE9aV8b3AmOFpI5rzMrT4SUf5Qri3c4257H5twl1+fRNvZfQ9q3Ia+/ysfQ2fOUZ3fS9vF3HRnw6BrJIFF61xqe8yUFn8FN6szfLhGrjnfy4Gz7lBVoc5kSV6c8TLCczZ6EjAjfoQMVovw1xyq/uYUggc3qo0kyQJzp1v6GhOVBHkSIBXfi+qhN9qy49/AgHG6z68z5gWTcZ3bglmczFhcZ2fTiF78YV1999Y6OJSKnpEuQKNRDttsYC2rNHOfYKXFZZICzvYJK5FVFnEij0jU7W5HjZjKeLEVjmLYOtys/1SV0lbTLLvBjqLOBgrKHd8HUHD7eWYrIB0g5QO7GY04OxkHz1Al0Tkm8fiCiVtmufP4OQnGVXSpFQnF+TAnnCYKW2i6UbHstHz0VbU3neH+f/fzseOQu0JHyxl0dcWVF0VSUYOjqd1caziu5Q0OhShCKbWeTkvVIzKsHwM+gy0pD++eXe7gAxQ43pTpB7v6a+FnWqdOd1xV3tcA9/DrL4mrTQJPyJa66RNuUqbzCvu977UTOnICYu6+uLzJNoQyRF+ZGyQ6/COsH3y9+MisgBUAWYeJiTa6VrtZ3brEOCp8+qXuSfYYPnMAIGDIg9yza6jsUBW6S/WBh+u59tAGMycru7vzur3bwhNveFUjYP9vrXHrHHXfgIx/5SPJdV3b7rW99q//7pptuwq233oprr70W//t//2/89E//9J7acDHazOGe2cxmNrOZXRRmDGZlwWa2a5vvXYKymPOffV1quExuBlPNILqurrVzbArqQaKHklh1vDBlxsvVqGgTleGM9phWQSA0Dq2iFQyK1gI2DkIqo6K4onMMHUQ9hbQ6jnosTBbXm2YkWlBJDvB3mcJcRcjadQl85ai0RDjO9mMuiuYrNziHOwmqNx4xJ00JRZk6duSMun7p4jj77XOucpZljfn6/J11jpxfRhI9mkPh72sPwsH5AajCKqF7lJ+G6kLNecc+ba8AV7tIRNii4I/jl08S5/Sq4+4aHTQ5R2t4VKJBXoPdyBTGHo4dUQWo8Fx66RMU7hz2mp3AH2poXfla4w6O7al57h2ygZcCeTUc2+QskJH/ljuZwVnVINQtRIigEkKW9pxzCdIgDjS5fo33lzTggFr0TjsdBd6fUZMxBJ3bGQeFVDr5dGaso/6PAymi7wMf/rcOpJlLKPE1BBHCptl/SLnB7udgt3+v18Phw4d3vO+RI0fw0pe+FA888EDn75dffjmefPLJ5Lsnn3xy2xzwZ9tmDve+2vaiTgwRcy+hgolg5PxiAZNgvVMVDX0014lj5Pt0q5T7AYHax3H7pxnlVHgmz4CFrGicJSzTiHTcbAu5STLmrWvOS0qkWT0SRTbpFyhEPwzMWTkopcdo9BiNthFtNYI24wgCNolf6zpJ8jX7rGEbYh5D0uNJzP3W2naidWR0gaklwmLbdvadeLEZ4JIFutWx2TiLGy00XJ9R9FyYSNZD27JiHZF0IF4Qx8fcftuBrfuEM96Nv8bwvrnDyER5vM03r+0i2WW0x5k2gH2GtpHR7mpb56UZIOX3d0TsKc5Oaz8h2wNnCykgv6+Uva8uQ8PX1AAuUw+AEToGkzQi9mLu7u/GZg7388NOnjy56wxLQQOfjQYAYyhymphGEUN5vYONyMFNONXsiJJJx3EdOQOxsjIfQ/hyU0bo7vkuWrinmdCtLeZHh7JizgFvkNeAZgg7JfMvX2e3wJdzQIjy5WFA9cQOdD5uccYfiMcHrt4Q+MtxBlwQw4ZlthwNiuBtbm/sgLhr8sEUt/4waWZQGwU4yHfut5Jpnd+Bh/maeTx0SuldHOsY+eSegZxykLc5nKs78OPM84CztVE+VqYK+xxgEBnEPFfoDsfSkMkcwVolvo63yfpNM4c6aLZwj+VoEN+vHWvXHH3CkHQrvucEA6PnAODPwuo0SKETmhpZQT8pOZAi7X1KECsIZcRK6kNSP+0H06CxASFlSnBJOCfQJ/n9o7RP/TUYA68pAHjkSAvGH71rschhft/5HF0Zeh6zulCC+2EXUqU8tvX1dTz44IP4sR/7sc7fb7vtNvzlX/4l/s2/+Tf+u7/4i7/AbbfddoFauDebOdz7aruDeLQH4a0g4+nvbrJxx5Fu8sk5mv487eMnTi45+E4Ej+qAlHeLMcEOKqHesisFNSnS2a4Z7NprI6+iB8e1DQ4088Zijm3sZDgHW0Tctngg06JGrYcQVkyroQKNKvxgz1DzDqh81IeZRAi6YLYprB7eaTKkMid7QlYhPoY3GY6xTae7u00MbQx8ZRY48wq3HeXi4sAL6QpKq+iaLNzdRX9JwegxyJbs0rCBl8zRixWDjanRVUIutY7f4gVm13uYiKyJ4BBn/GT3DE2iIvDZdQQhraD0yFMTtqMNsFMLsHgXhJgsrNJlcfDNfU5F19rvlqu5ClhooaVgcHtqXJSiaTvc74VWA/SFYLXeCO8iXDC3vWCNobkE5ZWZXX1t/yy1IKJhDoyPn5cec0HFQvYT5xYAcrVqbRS06OZiOui0jhz6nBvMbbEZM+qDxAAxRzyGIsf9EpvWlQ+UsuaygnCq4FGJsNAw4WHwxmYBPZzdtq+Lt+yOJ6lEIa1GCwqPJOBrKGCMQmFvgqIKjal82MSYijP7kWBUm0sbnG6A74vSNUKW3nGzUyTBpKSAq3euvNCc0xkJ6w0OXNhMq+i3VMpj6gHrfLSzk5MSKnnGflLf5sJtMXLAJ3WirLw2Go1xegN8zOTdyJTe4+MIknadOYHqBJEE8F15PB/ciuqcA/xeaFJoEnh4Fd41OE64gYLjyrOTLyJYfBzM4WdXJk4/QfjnWUMBUZk/9114vxt/HACQQkCgPf+2klZRXyUUytZzOl3Zna9bwlEeXD9oyw1vzMGUBbtQ7Kx/+2//LX74h38Y1157LR5//HG8//3vh5QSP/IjPwIA+PEf/3FcddVVuPPOOwEAv/ALv4A3vOEN+NVf/VX80A/9ED71qU/h7//+7/Hbv/3bF6bBe7SZw72vJgAyLScoz3TyCxeyzhRF1Lud0i5nNHWEYuGnNo+kvX+8jc8q+kHGHpvS7f0kD42u7FZLLMvyYnPHzWTOjtYNT7J+UGJF68JGKUsxh0LOWQXW1PlzxvVRVee1xuYGWmOz4YUV9NK6ZodDh7YZU3cMoO6VaddPDM5ivH0+eNoB3D4jLYc8yoR7lfgJk7BzwvK6163tsgE9ZDLdYsw52D1fZ5o/l8lCS9tnxCtwowGiCZWhGnn/6+xZq7NrzkLmGTTP7+eCDxMmgu2U08jblWw3BTnSFWzyGaUoK8/bsrN9EJz+cJ87AmBxNmmCQz4psEfEdcelcCKFfP+VCEI0iooIpif4PpK5YBPzQdkLqQboC8FGzRoKMyXrYzNM2nNl8/HZvVsxDD2GhZpsjglOFx+PHS8/lWmCFILVlAGfGW2huKYE2VuOVravEDJxsF3GGuAMvVvEp6XHYt0JV1YrOB5d9ZwnBcy1YZ6vc4C55FV73ULGrXGMpYg7dJoANKBsHwkjEFdFcVlOHcGEdQ7vjjjck5xmty//W9uMe7yOCWJZsM5iut5o85HJz3ciu092LiOV7B+CmO3yVrYzomvKocnT1zddyuokZFAr7wiexyXv8sDUpJrRwQHOaRIO1m6REKqGoLF34OvMgZcoEgfTZ7gRrzPj+6kBI6zjHQceKAmguOAJEMrkhfkxeyayikJ5QG2STVsvtJALWQAkOT2a9I6adJu8/rdrm+unRh2ASvkFtMceeww/8iM/grNnz+LEiRP47u/+bnz+85/3YpuPPPIIhAj9d/vtt+OTn/wkfumXfgn/8T/+R7zkJS/Bpz/96efM/DtzuGc2s5nNbGYXhbk8zm7MwEApte1yJMALqwboC8Gq+iko3Yu+yekc3ZYsgyNRs1xIskuVPA0qajRNcEXa+sgHY+32O8sCmoANCG5n/xD8dfs567qOyW1wO7kMXbZflxBmfN5s/0nn77LpbYrFtwiO3pe2NT/TlOC2Sp+1adb1fOysT/P+itrlddq6+7t17FYyKG6TQX7/p13h9OdoK9Odz2Z87KnPbYdNeg+nXTPv5/bc3vixU5vY7qSa0eRzuv2V2n9aF3DhIOWf+tSnpv7+13/9163v3vGOd+Ad73jHAbXoYG3mcO+jMQS6AUinmbjWBB7g1g4uHUNYuyLgudhLbMJDQp0ISsoz44yXSqJ3HF2NMmbJ+Thqn6qlxxE25o1NgltzdrvwtUF9HU93LRQyhOGahI9kCSrQkwvoFYcBAH2xiBJzCTdPmRqaajQWDtSYMVQc+YQCQUIjRACF7xEHC465b9uB6KYZwlbEGKIFUY4tQStQPujm0Wd0jMrZAgj8PJnk2UqzxjH/fRKUOEDEipZCd2i3a5dKnpu4XZyBjdV7TbYd/+1fDaMwdeHQYbvOHMfqrGgv8HLER/zcAAjZIKtKnpfgm1bLd9/MhP5MOdZt+OOkLHeXMQS29JltKfogCEiXqaBxQt9QWsCYAsYIGLO5DxeW2m6z5gbAl7/8ZSwtLSXfd5UjcfZCqgE6swtnB6cfvFNLM/AXpXlkVEdw4Dls0xR9ur7vcijbtn/3cnuKQ7uwrmDLfh0aW/TRhCouEx3vmXXanpBrz3HU20Ha88rhPnnyJE6dOpV8d+edd+K9733vxH1GoxHe85734FOf+hTG4zHe/OY349d//ddbtd62Y+xEO5hlKOmTRlLZ2XbOaM6fZChSDG1pEgc8lMOIoL9WIMxBQrtEqZQee6EnV/JrouImf0A86XXXEs55s8FRy4MIyXkmwOpcu52zPS+OAAD6dAil6QMGUNYRUqjZaXawM58b667l6bfxkDLuk9rW8XbCV4ErZzlaLW57OFpX8KOL87sTS5zZVkDDbRQ5hzEsPW+LdbZjh5oDG4Grm6ukal0nLY/vkwtQxGJaJhbTymDxMTsrHFCnjnjOr8wn622UQeuynKeefN/B0fYt1TVv7jXbOoJVUaDm2bMYVhdEmAAXHMjgjdG/ueaDs1TEqPDbC1lC0BjKvp8NFWj0CNLoHJG3Z9tbhhu4+eabW1HxSdntF1oN0JlNtnxB3ta5eA4t1VmFNfpimy9p6xrjcXsH2e1d24R25grvuz18q19CAJeFKSm7zmnZ7YOxLTOfE60jWGGvxy8b9tSyrY9B7pxZmyatT1oB+QnZ7XzvzqPt+v1kTZfporX7bxMDAPv1rO/Rni3RtOe7Pa8cbgD40Ic+hDvuuMN/7lo4xfaud70Lf/qnf4o/+qM/wtLSEv7lv/yX+Kf/9J/ib//2b3d8bkHSZptUO6PmOdpW9TRylmOnh0hYRyZSCI5r4kIAVIKiBbXbzwu1iD4I0jufynHa/LimEiEHzmRPdr7Z6QiOFTutGbQqc2LiDFtQZA2OW85NTxx0EonImYZCTWP+12bUKjNEY8ZehMTxWCnK5sZZOwIrTTqVUedsN4pVyp2z7UtceOX1dNHheLQGTnBjuyqR9h5G4jcph9sFW2J+VA6pcxnh/F45s/XcI1RSvIbQsFz5SOAjdxzbfOUmCUK0FbiDBfRGxBGPkQ3u2P6e1eiuoZ0FMnLESMe5J5uIMv/2MwmPMMm5iSyuM06dWROjQYAYEdL+bQ9Z+K1swqKincnuym7HXEJYhEXGsbTvjrD8RYco0dC2xM1kVMB+GZcF26XDbQyklNsuR/JCqwH6QrftP1UHlxHeXhZzHyyUKGl/t9tD7nrP9pzVPnjkDF8wYYgsq97pbF+cmXa3btjPuWb/nM7JnOULYtsJ0ne9H8+CHRjKYA9msPs5eGbT7XnncB86dGjbnLyVlRX87u/+Lj75yU/i+77v+wAAd999N17+8pfj85//PF7/+td37jcejzEeB4ERxxlkQYgaXDYnGsijRb1ztieJfzlBphi+nTppwv8b4NPthTPDgp1yC6CpDm0QJaCROMgshJRCzMNxlf3OLtpbWcn0owE7lsqnvwRyJyUXKxGkoSOxploPfT3H2mxCQzNs3Ap2xRl7gK+lED1/TaXgGpuxw9cAcIqUyjRo1AhKO4d7jLS8meMTxVn8pDIofJkmAJOEzcLmLrM7iQ9F2feTBrzJCwCCsUrhkUCIiZ3NAspoONXwSQrkoTybQzK4+94tBuYnf5LWiYtFWvJnvYHyl6Ct85cGb9o8MhE9YzZLa+Lft5gcMoEwJ1Tozpecy4miZaXK2mIqocRN8twc0ESVwOAjmkC3dS9w06oAYdzoQmOw6r8VnvGBL3t+e2onorafZrD/gnPbted7DdAXjl1gJ2mrdz5zALbLO514uB1su53xaMsF/xbHmMyPnbx95xEvxCJ/gqO1u2x27LBPCoLv0jr7sD03djveUZt2iRBLbBvc7S5LUSLt423vGNvYf8rzlj9r3Q6uTtfr9rsLZReja/tszcHPd7vIyT07t4985CM4fvw4XvOa1+Cuu+5C00yGfv7DP/wD6rrG93//9/vvXvayl+FFL3oRPve5z03c784778TS0pL/75prrtnXa5jZzGY2sxeiuZDUbv7b6xLB1QC94oorOn93NUBjey7VAH1B2rOdqTngDBph5xmyC9cjqZ4IiLbdHyb6r+vzdmx7Z0qRdu3PO91/jzaxj3azVM8oQ1ts3a6n3oGy6zD/DEb0yYnOdrLfs5PbTa5yu5nwi8guRK/tdg6+OPEgF489rzLc//pf/2u89rWvxbFjx/DZz34W73vf+/DEE0/g137t1zq3P3PmDHq9Ho4cOZJ8vxUv733ve18inrO6uho53WnmKQwqE+pgUvQ3YLPbla8lmnBk+QvAinPFsFdlGi9yxBnJGNYjuR61S5AZARNBi7XQzN1NuLlBlEkZDSCHHscRe52MS54fGvFgY05yC4pMEhoKypYJQcP7NDT023BGehhl1Ti67OD4hRjAJLxxrr3oYLImzkiCM+Rad/TzlAxz8p1pkA59WwyDW2Wu92Fgd/WaQ5MsEycuPUJBDC++R7wZ3yePZOiEJk8z9xxYFAZpnoyT7H8BYTPayth6zh0c69goRoCEejtRm6e3ibP87nOa1e8Uhstg9al+QQd8O16YEAU+YGxd93cHi/G4nilRP6WliK5hPHr/8uyL0fbU3cM/w+xjFAASwUIBDSHqVm3f/TAuurRbDvfO9nuh1QB9QZhB613b+dOkMR1BAoQ6yNscH3cAl54GO9/OiDGJB5t/v9eFe7L/tjjG8Rg5uR/yX7Z7/3Z0PVvx3HczXu91Du9QUd/KtjXmHSBUf2qPdKqU6z20R6T7T7JtHDfhUHeWM+3e/tmwZyMssTcO98UXpLhY7KJ3uN/73vfiox/96NRt7r33XrzsZS9LnOCbbroJvV4PP/uzP4s777xzonDObmxamZlua8NVvA/knZwA79R6HHGJFVKub7S9q2NtGnaYheUnmxJEgYMpDFBQH4acMFvftsI5FQpaNAGu7UTW7BgkSEOZGl21t901pHBrlY15bXh23h8wOqpmoTO4Kn9O4PXkapfP8RZUtIIOCo3nqWrDiuaJSJpp9/NOLHXAL8wg04oKT518OibshOOdLzLasHeK7ux2ota8n4NjCz5ScppUe2B3prfs71gMx5DygQhXBx323YgDX37fSF9gUs352IgkEnqB6Oh3r1zjzjdlMdWh/k9RZQPWfeh5zQanx5AKuwlft5Y1FeJryLiLW5iAcOEt//n5YC+0GqAz2705B5uIImc7tpQqtO1g2j47Q5OOst+z0+6cgG749bNmHSJqU+9D1/b7Zbtwtg/CdrIG8i3e8Rpke+eIs+bp8Q7g+cnf24sks32x8btntje76B3u97znPXjnO985dZvrr7++8/tbb70VTdPg4Ycfxo033tj6/fLLL0dVVVheXk6y3Hvm5ZEIWblMlAloL+h9aSH3m2mi39PMKIGs05SpFEfKyZpq61y7BXppwV0RpzsatAw0GjNC4xbweghjlC9xZaixi/3ICWllEQwo53ZHv00zY51A47LN1CAZWB2PODmnBCIeuws6NBj6YyoRKTebGo0aom42AABKj2B0Bcd3PmjOynZETkLNV2DShNvOAG9nYt7pBBVnkYUf9LvKjiXHb5Wys0gJyr/Phemm2H4IcxkDQ3yfyXOX3bPMz5oxXe+os5DtoklZXR+LCGKE/lgT+r9TUA4AKFPat0KLwpXZowJS9IMgYJalV6ZhFIvjbBsNA4n8Odgq8BE71s7pPmgzBhMcmm3su8PtX2g1QF9otrccTRxkDAGqVmZ7aiB5uyfba0Z+57abhfzOuNqThbNYk2MbGeW9tG27NuG83Vzfydvvn02fz7en7bJbZ9095ymicioyIlMlT9cw09rYfS17FhXc4bvUus8XiUr4luiRA34OdzsHA7P89jS76B3uEydO+IzDTu3LX/4yhBC49NJLO3+/5ZZbUJYl/vIv/xJvf/vbAQD33XcfHnnkkRkvb2Yzm9nMLrBdSEj5zGa2pfms5v46iPt+nB3Yjp3TvZZm7Pg+FanSuz4HH2/ajwl0Kfw5Yb/9uht7dhwvpCXZ3Zy+mFq3I7iVgz/tmO0w7kFndXPRwYnBlYvZ9kMMb6Ltfg6e2XS76B3u7drnPvc5/N3f/R2+93u/F4cOHcLnPvc5vOtd78KP/uiP4ujRowCA06dP401vehP+4A/+AN/1Xd+FpaUl/PRP/zTe/e5349ixYzh8+DD+1b/6V7jtttsmKpRPs8CJjVWVY3XkkFWK1Y6TElmd2dyOKHGUOeRMYuFVu4WpGTZOrgUCAuVEzqUyNWeYHUSdGhDFkG7O0ocsoLBA43aWe1qbp5mDpfNxpixu7CBPJCFE3/NYAc5y11YZvdHjJBtpjEKjR1C+DNgQBjuHke/UArrBcct9a7MtXXS4yPaLTbYyqK6msrMuvm5aEqorTxmhMfLMbpfC9wQ4tDE14rJh8HSJSfx/d67seFOy2n6xRi5727XR5OeO6RmhT7ThTPO0rHSrXjVy1EpUEi+7P1315ruOqXWDnJceqgr0fFYbAArRgxR91mVAyEQrixwQZoxGC494MeRQM9H1eR73pGcCXv+Ar0OF9+kAXxn7xOxq39kSYWax7YfT09LFiL4PtjXF5WKwPTkVO4UNb7FvWmUizarum7O6Bfx7mqM1MZu/3/d5D/Wjd/Nb3rdJH+R1RLNt2xByt6aJrmGLWu6t92a/bJuZ6bx1rj9M9vtFw9luQeqBWAvhICIFTCq8+Mez56I9bxzufr+PT33qU/jABz6A8XiM6667Du9617sSXndd17jvvvuwubnpv/v4xz8OIQTe/va3Yzwe481vfjN+/dd/fVdtcLWwLbDTfjvJQYlFmDSCoFjb2c7NOQ2J8FokdsUiajUKB/GmAiISQRJgkbJJkFIDxZByHxxIaw0TyJaf2h48eqLjnQmJxaWVugZxFo5yQlF9COolfatNA6PzgIY7ZGPF4IJI2k7rCMdt2o6jTomzKoE8qp9YVh86C9Sk24hkmziIk9AVHFTfN3USFzlWko0XP3kbckHAvIa1gIaEwZRa5tyw6CDbmDEyGDs5ITT+EG3TYZ6X7ja30P4JJbI6Tx850e6exg62SMT62o57XJPeHy8rx0ZCRPXOtT8uABRyDoXooRCsV1CIflLyjuHe2tekr4y0lApbZk9XNjCTdU1SjlDBTOGqs/PdRP0hJ8Pr92R74fjPFgkz2y8LY2CsodJZPmofnbAdQ2CfM7Z/Ge29WH7G3NHaGWx+++fZvrXXBZN/y0+6DxzzCc72JJvubE+ynaJF4vXI1nNDZwx+i32eW+/UAfHYI9u7zs7Muux543C/9rWvxec///mp25w8ebLFTRgMBvjEJz6BT3ziE3tug7QcSzJiojPXmfHKnILYpmeJg4Md1w5WpoEwDRrrXBJJkKm9iJqCho4c6gZj5nDb7RtdsaiYFV1iRzVTS4fYMkPWioDGTpHRMDbMTRmPOjjr0f5WOEpQ315TkTguTlU91E/mLGZaW1whcUanWGe/RxMKob1gaDt2oZYxZ+JjB6Xt3BCVEx1tgNWoc+cufp400sBIcPasA9yRUe4OBk3KWCgAMgn0wCB1PlH4sxgAZJoIPqg7FgXt2qJ8sAhN0VV3OtulWycBMKjtpt0ctwRd0mHeUY1C34nKOSY72u74Xc52sr2PHaROvMtol3KAnlhEKeYBAAX6KKgPEfWJQo0GjN5ozDhtY6ZGzxay/CEYFTjgEirw3u15XPskSkjRR2HHu/20vWW4Zw73C94ISTZyu9nSFo/Tj1FuHJlgHTWKd7J4368ndqvj7Nyh2MrRm57V7tIjIXLBzlRtmnU1Il73TkS1trQ9CLVtI0O+VXtyh34nx2eb0O5JfdRVo3qKE71jWH1rXZbO5Z3tTRIrWweokj7reBf3O1DT1Qd5pjuHoR/0+bd+NkT27/7aLMN9MPa8cbhnNrOZzWxmz23bG4d7ZjNr24HARHeYCWztvpdT4wAyci3HCdnnvWW8qOVAHHyWrnU+2gEaYReZ4gMff3bjaF4QFMEUFfEdnr8T7h5zzLfprO+XPbcy3/tnM4f7YGzmcO+j9eQ8GipsyanAmWzBfKN/w+CUqp5uCdUxAXrpanL7DLdV+3amoaGohkSAKxtoz/l0JbMaNfT7K5vl5iaNYSYokPPx0qJg3RuJ1mfHF3bw9LTsVMgO899lC4oLwPNUk9JhQBvOvFUdbOwCHjVBkGV7JpFmuaWHgPPhLOQZKYScs5/2Plr+trYQbjKpWrXPbrusv0cQuAy1e/1jFe5pat0KKR9cAVT6Wc7dH2GHFQ03abp7QB7VwO3oQD54S3njgibXjM4t7segLty5OyYprIPCfsk8T7D96a6x4fcpKuvn24D43Y9QCihaMzlrGKQZbpdBLsQ8SjGP0pbAK2kAaQqf4dZQ0KTgKg9oaGjdZM9Be3GbKPybxmtASFNDUahhL8FZbjd+gIACGkoMJnXqzGb2LFmMHNkebLlzpN/meP5sLUt3ct429xYTrm/32bKt9FqMMR1O906Ov9MduveYlrns3mcPGfJpNqkv9ugg7wRpsd3sdvr8iI51WkS162h/otmyw0BVi2O+H7ZHOsN2UTMzm1luM4d7H62QCxCiRK2k51Nq3bSgvomjNQVODiCB8EwyYzQMGmidfqc1t6EQfSjRj+r2yoSjrU0NrRs0rg63rixvu7bHqtMBKp8siDIRFMfFtpDV3KFumUhG/xhCzhZ48ClEPHZwattm5c+9s5qS8SQStWtLS7nt4cNW010ewHDw3vR7AwmZCe7lcGHnaDuHN6+B3hYpy7jYE/jH7rfQx4jg6c5qGHs8gRTmL1DAxKJupNqO7QSLeeMtePQUMzYQlfKT83PGmgl539i+iJ+zJGovYCCjIEJ3G/L767j50Jof96gMWc6XIhtscoEVSQUIoiV66CrXK2L6iKOEKD1GY4Nm3J4G+ZhjT+Tbq3XjA3WKCggqQS6QQwJFJLooUaJAHyT3P/7PGe7dLW5nkPKZTbOuhfILNYO1nxzq7Yqj7rbU0PPqHk1bF+wwe5sfaRI//VmzKSXXdnQY+28XUmVX6JVp/Xwx1OE+qFrvO7DdzsEzm24zh3sfrS8WUZsRjNFofFJP2wxY2K6TNxptkE5gwjuguaWCVdpnMpVqoCOHVekKUowhRKpqrL0jpRMHvMuSxaxznilzMJPoZb69YcfbtiC+znamM4iHuevMM4hbqbtvS9SsTQSOPmzNUeNz2O064GqOxx2sCyWQ8rq7kA/aOdSmSLKVgTvtHF5+3uKgvDK1dw5d69JMd+jn+JiJJTOegMnQG36OAnOFQ7sKUCSCZSLev9s3NWWP15G13ib3vou7n27g2uICM/lzogCi6OkRgFFRHwoATXu3xIHPtA5A/p5o/385RzJCb3Rkvw1FiBQoKJLQNjjTmDFqs4lKcY35cbOKWm1C6ZHdv+4ec+JrjoIUyjSQpoaJUAWCJErDnHKJkp39vJbuPpihdt32be/77C8xZ/asW0CTAGjNSxOXslMW2lOzfs8V61rEX7CFfa4dsjUXd39atoOM/cQSV91j0UGPNNvN/u7G9ty3UV9thVqYVGubf9uZdW2/b/ehI4nU3Qi3dtrn80+1bEy7ALbbOXhm023mcM9sZjOb2cwuCuNwxW453DOHe2a7sKmB4y123cY2rXNdwOzZbpyrNkVsvxbf3dzezkoWF8DafbOFs/0cKP02s+erTXo/DuK9mdXhPiibOdz7aAV60NRAUQmiUEInTfbaTNPEjJi1uKQWFUnGNy455s+BLAtolP9dWF65sGWCJvFh/alhFcGtSrLWGkCAlcflu+K2hv3bnO6kninlWQhXailwk/Naxny6SCndQ/FT7vtWNhX+lilobwWVa11nsq+0sPjuklq8ec6XBlqcYgowbmMK+5/y+wsIjwzQLrOcwZUd1YDVYDsyuruNnrZQBzXIqsgHiznoaZbawdVzFe80y62m6ge0mpS9X63f3TMzBQmR1N11tbHDCbKtc16ai4A71IZGouyOGqoji8/PexFdQ8jCK1MDehOKApzfmJDFd/oLLsPdqE1LC0m1HMLJuu93zvn2n20fOA63RAGJAsrsP4ebAeWzDPfM9sm6nKQ9ZnbzvZ9z2e5O2+kcsI2s2xQHtfNdnbR9fr+24/ga015nREro1Fq7bO9app1559ze/ROOu6C8Yt93292h+xovZJa4k7PvG/L8eIP3y3iFNMtwH4TNHO59NmOhzZMWr4BCytvudradYJFztlMnuX3bYsclOKauTFgNohLGWKGnjlrCsTiXc8w9NxgCSg/98TCpVvhW/JcEihTqUrv603lJrDbvPdQa3ylHm6+Ddjd5b8vc5OlgwQJEMW9+6/JbBo1/GrhVqYOqTQPYmsruZyH6/rOEgBACyki/n6Ged5gMNCh+9rzwXuzct5/HiTBuEtaxjfnNGl1c8HC9esL9Tc+V/jZt8J/Qr0l/x5mVtARdlyXl3VrEuelZj7YWQNoWFxBIJREEQGXghYMdaicIaEhDUSaEqIPImdJjNGoUQcidyGG4LyktJX3PONCV11RXrUnXccalCwwcwFLJWBb3bvee2XPT/vRP/xQf+tCH8NWvfhWDwQBveMMb8OlPf3rnBzLYvuMGYLsQYn+IqcdCK2j7bNnOWxDmrs61yRQNl5bI6xY2de0T2wXux/gaWkkFbO1sx/9uPRLlZZ327uDsyuneE+JCw5gOWHleBmyL8+30Lu9llDdd59vDO/usCqixiNHBHHoPz+NsFp5sM4d7H21sNlDrIWo18gJErr7tlg/wBP6wr9tL+QAdm05GEmOQZfi0Hfqcsyo7xLYKSLJ1xCEgRS+oTVMBosIv6GHGNvs2+Zq6+NFpECHKaNuMetspZYfCKS4bo7blME1sD+VZ5u0PKvn52gsMy8N1vHTimtnC1WbPay8jdcJZZT7cE6VDZjvexqDxYlgkBTQ0ZO5ARYrY2jSRg5tG1Bl10EQIAie6toPB1gZvtmfb42F3ZahbNc5bQmpbKa3H6uqAY2lv9Ry1FpP55DxBlyB3bpNr8xZdA9J+NNBexDAXOTRGe2FDAD6bnQej8ix7cLTL5HlkNEtU390/LyHD3mDkxxdl38uxWe/osb2ZIQO9Ww73s+/nzGwX9sd//Me444478Cu/8iv4vu/7PjRNg3vuueeAz3pAnMiLwNk+cOtwULbjdE+Eqe/U4dsvWP4+3KtJR3g+KVn77DBPnO3fzYT7Osn2KEz2fOrbXdsB0xt2OwezveDvzkSbOdwzm9nMZjazi8L0DFL+grKmafALv/ALuOuuu/DTP/3T/vtXvOIVuz5mwgjp3GKas21hx9te0O4sQ+7swooubddicbPtOMSpGForKJqZ+64re9zeuCsBsY8w14mBUhswN4CJIOhdbU2ucAsnsjOz2tWmHTpSXfSGHR1hx46bq9ph0V8diK8dIRi2Q/nYZ+dyYpa769zbaEO8x05b2jrbVIqDTrc7QKd7Bik/GJs53Ptoo+YcGl2h0aMMGhtgtgaSM7xe6TnNuvpIcQS7TRNqk6DJGk6lnKjkFz9Sk45hrPyuqoiXKiEFgho2CUgRuLiCSq4frK3quSqgzdhn2FL4aoeRBIFANtvr6ipTBLfOM8A6aTvs8bcooYZsos8m7TwzauIJw2SLAAoTSzh/sO5WuEyiO73wkHIpeiBqZ2HDc6JARkDZ07j7ERSmOeOtgSgLXoEsjDxcU7vmchviHWe5wzUTXKm1fMKMFiVZRjt9HmV2rqzPWpxvdPzO2e3Joj1tlECbjpDBo432z6qGBFAHtIPnh28z49K1UIv6JM+2d6np84YRhYP6EKLnnxVH6fBl+yzCw70TWlcWOTO2zVXoolgkfPLkfA5hEjQdhCh8GTLhx6rAETdQvuwYkYQyNSq9MbmfZjazbdgXv/hFnD59GkIIvOY1r8GZM2dw880346677sKrXvWqifuNx2OMx4Fmsbq6uus2dGmOhN+26Sx563BWL/asd6uN21hsJ/tsg8+9K8uPeQCOd2xb3KfYmZ1ILdhB9nbac3eQNpXPvKPjWF2evTzfseM46TgdfXpgAaut2rJPdpGPCDM7IJs53Ptow3oZxqQ1t3MHQJKwjpQTQVPp9g5Om4hmxZB0nUHMY7MLaNgpaQJXtl0iSFtHLyzIjdEonINMgDFFcGogoLTw0GYWVGtgOsteuQsLjkhY8Kcc8txy3vv0KKPjZ0+G9uZOWBokzGC4TnRlQqmzPILv9jWO9Nvh+MoO2Ly7rwp8753TxY615gBNdO+NqdMyYdCey8vl5wLP3XGAfZupqzxdDK9OLThs9neSIMROtsygyV3w8khboGOhtC14OW9oLyINajgnf1LwxkHkjX03SDcwtt/C+dMyYi26RGtBGl1jh+Bf+7oyiLzVZZCCRcek6LUCMtMg5C7YFcT0turDvI3OwY4d7tI72u4adHT8BptR2/iZa9Rwi/Pu3GZ1uF9Y9tBDDwEAPvCBD+DXfu3XcPLkSfzqr/4q3vjGN+Jb3/oWjh071rnfnXfeiQ9+8INbHj9xlv17vA0HMVrk73lxvA+ZqLwNez5i3qYujvZW7U6ckzQomlJa9gYhBnbpnHrhNG7Tjhx1opDlBvxaoDOr7Y8f/6ZBZict3mH7ngUzgIWVx5otJtE52dUY/CwEpLYMOuyxZvx+zUSprkCWzCA6MM99luE+GJs53PtodbMBsg5BEMsqvIMJ8GJVJAJnKcfbmBoGTVigGw2DOss1CgCp8yao8C+fQQERZfUS0S0A3sFInIyQ4VbacXlDxo1IoIzqPsfOldLWmfKDVJptI8PupIFdwHvl9tgJCX+6jGTscHTXcyQ/WDtV8FwQajK3V1uHJQ0SJFnBKcrnXd/zIsPdtwbaRHxrYjEzJzjlHMFQCz1th8tAuqxzu90cBNG6AYmUc+vus+u/wO0uWUDNH9A5wjudHvLAyWRhOHb6o4z6FOcwBATaMLX4b0PxwkfBQIR+bwmCpbx0EgIGRQuBopN3pfboEAPHW8sc6AkL9iRIBH6/c2dbijlIOUApFwAApRz47DLAjq7WDWo9tG1ot5Gz2ttDexCohbaQogchQka7K4gXVNAraF2HDLtpoHUVBdz2z/YimjZzuC8ee+9734uPfvSjU7e59957bQUM4Bd/8Rfx9re/HQBw99134+qrr8Yf/dEf4Wd/9mc7933f+96Hd7/73f7z6uoqrrnmml21NXYO+Rl69p2frRbuO4axxkHD7TrS27XODHnH+LgPjvfubbv3c0oWvTMbe0BaANu0/cpWx8faesM4+C06xt3njrO2M/TKBKNk8br15ltu0f1MXbgxai/CpTObZjOHe2Yzm9nMZnZRGOe3t18GLt93ZheHvec978E73/nOqdtcf/31eOKJJwCknO1+v4/rr78ejzzyyMR9+/0++v28BCEAajuriVOSZLmBLv5xerytuJITnMs9ZXN3vv22ne6ur7dx3nzPiRxYYBvQbLpwobHcQd52O4XNUtvdnIMzifudnxYCgLpIufpsu3E224iRHY65Oy3Tt8Uzux/WdaxJLdqyz/aJW92udgJ/3ID26EIU7t0MsOs5eGbTbeZw76MZPQSEjDLAnAWMs0nOtLZZSmgu8WOzRQYCGuAsNx8UcVZTQ4FQ2tcsQELjDJZvT5Qh1qYBaZehqhJYuVerthlurQU0FVCulrgREFR6yGth3/EYhqu0QqjLnPULDADlJy+tYXm32h8fSOG43C+Wo2dCljTlaMtoYGqXT+vKuPo223ExRA272jzdOsuMxfubsedkO2i1diXXMp6s1iGjn7QzOWgKzXb9lO8XoOKCF6BWfR5a2BJhLgu/89JqbQX9Iil9lsP2IeDrgMM0U2crtxY2CBoHYdGcIiYmxdTJaM64OySgEZ0K3HEbjdHhfKaxlA/3kGswXWJC5sNo+yzFivI6ulecPXP6BVLMoSwWMSiOoGcz3AUNQBAewt2YMSqsQ1jaiYLLJMd88+kLnUSVnwrPE+c29FCIfij/B9m6b3FpQ61rNHoEbccor4qu939Szp/nne49s4vDTpw4gRMnTmy53S233IJ+v4/77rsP3/3d3w0AqOsaDz/8MK699tp9bZNfLCdO1zbh5ZMg2C049cHYfmYy82NudY6ut2qqA24dsVRcK/Th9uecFLq8E2s5Rtt2gqbdyx06N1s4X5N1Si6c7WbE7A5gxRvsAiGxw3MftMXX2PWebPUe7jrQMunducA2C14fjM0c7n00XlwHRwRgOHa+uAUAYxe/WjdQFEo3KV0BOgy/oU6yc7IAWIi58F4FO92FFToTogBBwnhYa8q5VNo51pnjFQlLqajec+DFSv9ZUuGvwVi4qyEHWW9PNMZoOD41l6KK1yzOuYm2TZyWaGE/sZZ32RJiC8dy1nZop5b7mlK3tUtArGvSdMJWSito00DoUCYsbZ91/Fo1m7c272BnDm/uXDonX1lHyZCyzutOFiPMeQ7n1X52CfXc7TMOlfCp2ZHtvh+8vZto6uDgGoMu2D/58nD8nLhnz8HwnZdPVAA6qi0ftc+10VB44QwJDky4NqOxE2z8/sXBKgEWXovbmPIZGUbOznW/XMJceQzz4gj6dAgAIC2nvBb8rIzNOpfiosq3ORHD2+K5cM6242wLwc62tO9rIfqQIq4R7+q2K3cAGBPqcDOEPAoKmrGlvuz/8sdgD2XBZg73c84OHz6Mn/u5n8P73/9+XHPNNbj22mtx1113AQDe8Y537PyAZvoit/WbMaBtZHMmHtNnnbax7TZtR+3f43b7te+0fjUcRU1+2+o8lKwfVMt53U4bt9wmbiOfNfpxe5DdrZ6L5LIRX1f7mbvQ92yv5s/5rFAELoxNurL4e+q4/r28wxSteVrvTssOxjHeU1mwmSLcRJs53BfANLR3y/JayX5cF+F3RQKkgwPO2bPUMQMQZd1YcMk5FYWYh4wyvcZoNGbsHfQKNpPnnUfLkfbHrZkf7FWRa2gICBGOFxuBs+vGndNn/aKsNBHaQmYRjzY6prGgltZA3hJFC0JQztnuFpML/RZ4sI6nG87b5oTHx0uzgORF2NoiYQCsinSsMG65+lT746WmModb+u/TPmiLzeXPVPhdJs+BQyx4bj9xNjHA5ibBt+xyxKTBD/fYquhxcMEe/rvkaxJOeaBInp1cTM05lQ7lEc7ffhbS0jJRzNk638Y7kyWMy/Db9uWXyUrvhW8DCREJ0wmvFh9Ongv4THpW+R4UcgH9cgkAsFBegkV5KRbMEfT1wPYLoUaNoQuIoIakIGIW+igKQCWKrR2CgVRA2ACcFAMUcoBSzAEACjmHgvrRc8PBOXdnJwd6XJ3vEA7cb9sbpPz5u/B7Pttdd92FoijwYz/2YxgOh7j11lvxV3/1Vzh69OiOj7UvzuKzdIznm+UO2U6yfl0O/EHYZMdpb+id7ThqM3t+2H7f0+R4kVBfJ6rkQB4os0dI+ewpn2Qzh3tmM5vZzGY2s5k9K1aWJT72sY/hYx/72LPdlJkdoF3sy/CLvX0ze2HatODN7Jl9btnM4d5Xs/BWU0PbDHWDkLkDgELoBJ4NWIh2fCuypKnSAZoc83gNhb+Zw83Z3oL6KKjvM2SatOeDAoCy6tkuO2xsptckGW/ty0ppaqCMDBB0e02pGnbINkMAME2GyBYTs7c+4+ozaG118JY4CXUpK+eK3vGxtc04B258cg5yGXMHm+9b7j1nR8WE7HlczoxIIlYKV7ryvFfuqzhq2K7PzCiDmJOelxpxXP2+PV9aP5lIJFnRVv9oQIsCpCPYvZEwxO3iDPYWQ3hUrgpGQ5EO992ZPaWkPmfYqXuY0RZ1EPcZw5czrVjDqgZAd/u434z/xPAK+26QTnjrBr0EFeCsRZ8wDvJfWH2DwreR0RGuDyy/O67nThRl+R1nmzPc8+I4FswRzOsF9OBKwBloMpCw9zFTDTdZP7n3IyBGZIteET+7hRiglHOQNuNdijlIBE2G/397bx8lV1Wl/z/n3FtV3Z3OC2BCyAwkwZdgEAiCZMIXnbBgkRFEmXGxRAUDahwzAQeCDuDwrhhEBxlGR2ZcavCFNTrzUxxRUYhBxkkGFFcUUFiAYNTQAYNJTNJdde855/fHeb/3Vnf6LdWd7A+rSNfbvfuee6rq7rP3frbeJPdJAhWK+ZpiVsbYQyrlBEEQBNE59lUN95o1a/CNb3wDTzzxBLq7u3HyySfj4x//OBYsWND2PWvXrsVFF10UPdZoNDAwMDDe5o4acrjHFCM2JJuuplSqJqRsQHAjOMTrru8tgMhBAqzTVPPONJNgzAuawaR/szC1vKLnM4d36hMkUEwiNY6a4E3kYasyxuOULbNoYB1wndZeri8OBb8AGaQ0N6ACJ6yYfu16Iwc14wqZt8Gog7ZtBWaO0NphnjA+WZiaHo6RScsv1W7HDjNjcRpumpg0XF6PFklCUSl7TKH4lO6d3HStnbTznUcLAKEYXrFFl20Hph2vWrAP7h2ppAsJb7i08aqFnBDFZJyCzmp6nhbGfUhsfRqzqc3SHKPtX91ljoGDm3rh0H4/htKNEwAIlehTFAoZKdvuzSyE7E3NuVJuEcHaZ+vWpcpN7XLsYPOgXRtntcj55Ejd4hN3C1H2mFuA8m3EtIgDd/MoTXpQT6agwXsBAA30oKbq4Lo5oLbJJFIP/iPne4M7Z9stDtUiwUBbr+0c7KQLCWs4jYeUdSGBT/1XEJCMQzotgARMJX7BrrgAwGzd+jillKuRppST0MuBijLfSUKQui5BEAcG9vtOjXHJxUh/g4HhVX/86Ec/wqpVq/C6170OeZ7jwx/+MM444wz88pe/xJQpU9q+b9q0aXjyySfdfdYBYbmRQA73GGOjltaxYiyDQgtSWZE0I2CkvHhW2IO3qKZcdlJsfTMDmBevUso7gLoeM0caOl+Ia385T8GljbBxX8cdHIe0SunOCQopO/nhMTCkpWOwdbJu+3aMZAtSca9m7epVK6LcbWq0wwWAmHINtN6WJXS8aoVexQ1X9xo6toDOEpBBjbY9j9ZJYZxD8AYSaRY5ZNO9B9CLFLafMaAXZpzTZuzSjlSoPs9dD2WgLH7VjuK4hDXfLHyvYlCsYsxL27OvsXPdPhFX/jDGIVFzszBljWiuKwgIlbvMhjCDIsb32VaMRYsxpfYZ1pioJ7yPDjOWOwdS3zfn3EXljZK3HRfzr+TB4hb3UX5ushjCHtn6nPnocqjwn7MMTQxE50QyiQwtNNkefT+YV8Vx0MesUBRFS3hXNHc5r0Vz12a96K2YxaHge4XDL/5xcMhIKyABZ2mgRDFMtd5hMJoINyXYHbhs27YNAPDYY5s6awhBEMQ+Ztu2bZg+ffqYbW9fLV7fe++90f21a9di1qxZeOSRR/CGN7yh7fsYY5g9e/Z4mzfmkMNNEARBTAiUyRIa2XvJ4T5QOfjggwEAmzdvHtMLzwOFnTt34vDDD8dvf/tbTJs2rdPmTCpo7EYHjd/I2bFjB4444gj3/TcW6Nrw0WUKtVot7Ny5M3qs0Wig0WgM+r4dO3YAwJDHs2vXLsydOxdSSrz2ta/Fxz72MRx99NGjsnlfQA73OKNs/alZMJKFbhM2uub6MisRvC+M3BZbKAWq4qZe2KYvM8Z1EMoG/8CjFSvOaibqFig5Q4IVWjb53t9wEcbQtjh6nBQipz5CZuuf43rnIErG9cBYNWupcoAJr85YEe3z7x/siyGBS0VmvqWZ20aFPawQLR7si8f2K9Z/639dfTUSpCxBkujtCd6AVFkU4Y5btaVBf/RQgTx1mQG6p3sDqeun3Iii6hYZnStRGj+f+p/G5xV5PAdMGUCxRpuFtfdBLbxtLefU7Y0yewgP24YpPS9darNVKQ+2Edti6syZCuzmhVZu1g7b7kXXdzNno0lXN7XyWtG8EbzdzMNCb/GkUL/so/I5MtkftMwyke+g5ZaCQCb3WHORo4mE+zGVSkAigzDHLFQGEWZPuGwHWz6RgLEUiYlgF1PIEztPbFo7i+cJa1OL7T4j0DXsXhsgR9jqkLGaqV0f+1VwnVxPNdzE8ODmt2P69Ol00T4Kpk2bRuM3QmjsRgeN38ix339jgxrxb7Dlc5/7HD772c9Gj1133XW4/vrr275HSolLL70U/+///T+85jWvafu6BQsW4Atf+AKOPfZY7NixA5/85Cdx8skn4/HHH8ef//mfj8ru8YYc7jElBasogrUtpgBbb1morTVttML7PkW1INJkWxBFQlDSiHTpWtjc9O0VXG8jYaYvd6Hm2KYma4cdUYp6bF+GMI007B3tkYARfZLIzatTd5+pOKW9NEaMu9frdN7YwQ9bR8W0c4iTUjp2uAjACk6qF6YytbmqVdpi6JjpMfdOklISCcudrlQKvbBhnZuUJVAshVImxZxlSFTuUpe10+Zrhe2Y8MDhtmnt1rGyTlR1z3HjbCNcFJCmTt0shPAUkPFXa+hEFdPsC1JmJiW+6Z1fFZ8jKXNI7hcZhDILL2Hpg8p8DbdsQsiWcV6Lc9Hsm8G83wy0WxTwY8BUrvtXwgvBxW3E9BKS2yDC1mbxvEh5o7B4VNM96O08YRk4T5Ebh1vIZqR3oJRALluQcjsAoMV3mXnR/gcy1B4A9HlKVFdUOhD21a7x7kg8z9roRNiMI+3PdPWPaSiWxljizmvCUkiWRt8XktfBJPMihGPGvkspP9AEWwiCIAhiKEa7mL5ixQrcfPPN0WNDRbdXrVqFxx57DD/+8Y8Hfd2SJUuwZMkSd//kk0/Gq1/9avzbv/0bPvKRj4zc6H0AOdxjSJJMKUVyARSiQ7xS8boY0XYK4UoLbYUOgxNNCgTBpNT9uy0ycLRk4QJfKQkOHtR8dsE3BEdUj+oZJJLs+m5bATAOobTYG1AtmlZFFH0u9BF3tfHBIkNVT+eoTp2lkcq4HvdQmdnX4rqaauUjlUIOQEh9IZ0LLaBmHWQJqfuTB2MleeqcGskzo9LtBc/Cf1PW0CJhBvs+l+kAL3DmHKkKde3w2KWpVY76WqMc4bbnXTuGaeAAJ6h2xmKBMRfFNf+z5738Ja3ncc784oU9Lvu3kE3kzuFuQcgBKNVE2JcdwdzR6SDFzIRQKA5QSKMa7ipiB9z32BYVh58C4Ik/Bwm8qBpnNTCVeFVy8LhWX+UQeatcR1/QVNAaB/F5ts/XeHdJ5yHldSRW4M9krMQK9XGNtoKEcOepoEIeiKfpISnX/HNec8eQoAtMcjDUkIs95QEbBZEa+wjeOxwONMEWgiAIghiKkf4Gm3ejXq8PK1vh4osvxj333IMHH3xw2FHqWq2G448/Hk8//fRwDd3nkMNNEARB7BcIIfa6duxAE2zZn2k0GrjuuuuGjKIQ1dD4jRwau9FB4zdyJvvYKaVwySWX4Jvf/CYeeOABzJ8/f9jbEELg0UcfxZlnnjkOFo4t5HCPIY3aDBdFdSmohahkMf1XqBxSZlHkVkdbjXq1NNG+sCZVb8ArShtFcSaDSGKQHp24Gky/7zCRmPM0ilwmiCPIXnndKkXbntb2oGAikvb1ABiHbWWm+z3bLZeV1/24FBTagzRiu10XTFLVEVXX4YoBur43jM6Wa1dddNhkEkgTbbVtxJTU7xG8H0IOuIi522OQAq5TrE1mAs+Q8hzSpX/reVGst7boNlHBXHHHwf1qo0lldlF5ZHE6uIoFp4rt4op1OZynUFKW9hmdR0goq1aPdMgoYjECH5Y6KKVVyX27KZ0lkJssAhvd9pkSemRYVHJRNX4CcY/oYaZDKRWMW9NEuf3nEYBL65csTtPmSqdc2zZkigtT9aHvC9WCEANBJkTmovKchXXivmsA5+Va/Rq4y5bgrIaUNaK+3ZwlUSuPsJRAIEeuBlwmgTTK8GyQz2MprR3ct/rjej4rLpGLPwxrqIdCjaJ+TEFh06ZNJdGsoWrHLPu7YMv+TKPR2KtzTFRD4zdyaOxGB43fyBmvsRttDffesmrVKtx111341re+halTp6Kvrw+A1uLo7tYaNe9617vwZ3/2Z1izZg0A4MYbb8Rf/MVf4BWveAW2b9+OT3ziE/jNb36D9773vfvE5tFADvcY0kh7df/moP1RKBIF6AtxCYlc6YtfLpvIACjbEsk6OUGd9NCKgRIIUoelzMMMcUiWDVozatNZw0UCvVXvcIetimw7Ky8UZZzTsF2UUnDpvMq287JORzE1Wqe/ehttS6b2R6wKxxz1QTY2KXBI279cImptVkzd10JdQWsu0+/ZOlxMCiiVg0nfU9pux40j41A2Xdukv9sa78TUYvOCAJlL+29bV+udTaFyLYBXSJ8vOtVxqv3gom9lZKQXEKYiF8XTUJGuXt5+7tK0JdOLQqHdChJCDJj7mbZX+ZprBgXFEi/mxqr2Adi55UTcigtUsG9vN6nsPGJQyKLUcgGOXHpnV7AUSaFc2DngqEHCC4rpz07TLeTYRSGGBCLQdeBMRvMzrP+v8W7UWA/qrEffVw2kaESLN1JJCJMaL1iOTA24+7kaQEvsdqKKdoHPft441zXf4WeyWK4RLdC5PuXj04d75AqpCosWLcIDDzwQPbo3q/8HgmALQRAEQQyOGsVv8PCwwmpLly6NHv/iF7+ICy+8EIDuPBGKwv3xj3/EihUr0NfXh4MOOggnnHACNmzYgIULF+4Tm0cDOdxjCGd11Hmv7nmLoL4SCWyHYsUkcjXgLpabTCBhKQRsFNA6TNZZLTs0uh91VX9k73zKgoMZRrDtfXvBnfB6QYwrjsZKIxBm61Jz2XLq2gAgpHDRUHMQ2n5jozKP+Z7JQYktTN2tgnemVA6AB6/xqwdRrbuSzkF2XxDKj5vkfiHDRo99r/KiwJh16OwHOwEzTrc9JgUvXqdKtdQ6Qi8KjgpnNuKdIkEDHLY/u36/dchtPXjJvmCcJDIwxaHsAo5CVLMdjYu9H4qgVUQxpQyVxAVC1XHtfAvYqHIceS7DwKCQuqmpwI2NreiY4yh1LBAYL9zor36mhBNB85OijaMfOOuRbcG+2zvdQLHPu1+YMTXZPINQtcjxr6p5jt4fZX/4hYNijTUrbMNGwGusB91sOnrUVABAQzWQKP/VLSEhmECT2YULvQ+7qJfJfrTE7kARPz4fiapDBvoGxe8Kvc2CoBrT3bzHmuL8HdZ7oZAkyYiUbg8EwRaCIAiCGIqR/gYPfz9DL9oXF9A/9alP4VOf+tQ4WTS+jKWWfEd54IEHwBirvP3kJz9p+76lS5eWXv/+979/H1pOEARBAD6lfCT/jbQtmBVsWb9+/X4t2EIQBEEQQzHS3+B9lYo+WdlvItwnn3wynn/++eixa665BuvWrcOJJ5446HtXrFiBG2+80d3v6ekZkQ32go+bmkr9d4JEpT5azCQkhFfwDnrf7g2DRefCVGPdTiy0LY4cxS2zdFppahW0eQMp60KCOIrmImZsj67pDKLNgskg+mijzFFBdflYgmhydByojnLFaa42jb1aWRlQUFKBwdS1t1VGD9N6fX22b80W9JwGfMZBcX8mSm8PVao8zOr36f2uptz2nhbu9ZXtzxhclNu1mwpaMRXfE49DeRyr1PGrVendC/wYtHFo7JxULlUhKKEA/H3VTqF+8PQlHeWOXzuUc+WzKdiQbfmA+LOj32/PU1pS+JcVkX57HttGaIdYyS1+/sNSlAQ11FU3GqalXEPVo77gGeKe2JJJZKrf9f5u5n9CJna71H2pchOhNj3iJbT4eyGrot0qN4MERw2o0EQYLfqzP8J0tmGuyh9ogi0EQRAEMRgKg5ciEiNnv4lw1+t1zJ49290OOeQQfOtb38JFF100ZNuWnp6e6L0jSUkEtMBZJvegpfq1SJEaQKYGkLGmdrSNsz2sHndWTIyx+GZSY7VjUWjbZGpvdZurHEL2Q8p+qOAmZT+Eu7UgZDNKG0+QImVdSFkXaqwHDdbrb7wXtWQK0qQbadINzuvakbH2VIy3fpzD902uBbfY+Qes45JBtzzTNbD6fhNKNQF7Ya5UpTNj63gVzM1sy9+EGTNtQ8K7UEt70VU/BF31QzClcSimdB2GrvpMdNVnolGbiTSZjiSZiiSZCsa7wXhdO3Is1Y5ZcEz6eKrTk20Pb33LnbPtnO7AaQvf48dFutfbenp3Uy3XzkzIfkjVDM5z+SZV042JXRWI5tVetDyyfa6VEfdT9iZbsH3k9fbb1Xwn8E569VeSKvxXxNps26gx3gXGu8B5L5JkKlJzq6W9qCW9qKfTKm+1RD+fJj3m1qVr73nNte2yTnd8s23lMldHH6aS+89tSCwUGN70s/o/Zv9V5uZKPfTMyCGQIUML/WihH031JzTlLjTFn9AU2tnOxR53zpXRYyjOMynz+Kaqb/b7IpflXvWjR7n5Pvzb8CLcq1atwle+8hXcddddTrClr68P/f2+XOZd73oXrrrqKnf/xhtvxA9+8AP8+te/xs9+9jOcf/75k0awZX/lpptuwsknn4yenh7MmDGj8jWbN2/GWWedhZ6eHsyaNQsf+tCHkOdj3UN+/2DevHmlrL9iT13C85nPfAbz5s1DV1cXFi9ejIcffrjTJk0Krr/++tI8O+qoozpt1oTkwQcfxNlnn405c+aAMYa77747el4phWuvvRaHHXYYuru7cfrpp+Opp54a8f5G/htMEe7B2G8i3EX++7//G9u2bcNFF1005Gu/+tWv4itf+Qpmz56Ns88+G9dcc82gUe5ms4lms+nu2zY0LbFHTzmVQ3LtuKasAY6aUxRW0KJpriduYSXJXXC7Hs0SDFLXw9rXWOfVXayXo3YqEDmDUSWOHVPfx1sgARO+33PCGtrRMLbVWA1c8ahuVXIJmdhe4RmUyiFs9FE2ARZ+8LSj7XtrJ4Ve5Daq6EXZtHMWR5XLF9TD/XAX+hSzhulBDtTTqWikU1Hj3cbCmhkbW7fehFBNZMIqajejmmu/3WKEtI0DqeJIdjGyXezRHD5WrGMPxexip1aWarKVKtQwR/YVelxDAkhcFgGDbFsj7Y7L1FwDOuLNwtr8ojJ94bi01eZztbcrrHbxydUfN6L+6wmvm/t2bqdtzwlQPi/OcqNSzpBUfNZ81Fs7rlmbhYUibSLIxe1DIkMTmc3AUKbft8mSabImBrAb/dAq2wNyJ1r5TmT5bgCAEANR/b1d4GKBSnpxf1XZErHl+aDjOBk40ARb9ldarRbOPfdcLFmyBJ///OdLzwshcNZZZ2H27NnYsGEDnn/+ebzrXe9CrVbDxz72sQ5YPPG58cYbsWLFCnd/6tSpHbRm4vK1r30Nq1evxh133IHFixfjtttuw7Jly/Dkk09i1qxZnTZvwnP00Ufj/vvvd/fTdL91SUbF7t27cdxxx+Hd7343/uZv/qb0/C233ILbb78dd955J+bPn49rrrkGy5Ytwy9/+Ut0dXV1wGKiiv12dn/+85/HsmXLhqzJe8c73oG5c+dizpw5+MUvfoErrrgCTz75JL7xjW+0fc+aNWtwww03jLXJBEEQBzRKqVEItgwvwn2gCbbsr9jf4rVr11Y+/4Mf/AC//OUvcf/99+PQQw/FokWL8JGPfARXXHEFrr/+etTr9cr3HchMnTqVes3vBbfeeitWrFjhAjt33HEHvvOd7+ALX/gCrrzyyg5bN/FJ05Tm2V7wxje+EW984xsrn1NK4bbbbsPVV1+Nt7zlLQCAL33pSzj00ENx991347zzzhvm3kbzG0wMxoR3uK+88kp8/OMfH/Q1v/rVr6JUlN/97nf4/ve/j69//etDbv9973uf+/uYY47BYYcdhtNOOw3PPPMMXv7yl1e+56qrrsLq1avd/Z07d+Lwww9Hlu/RES6eQSYmMsobpTZhVvUbQJsUjLBtVg1RkBA2IhX38QXiaGlJwbsYmVQK7gJV9kMwjkwY1WKrWGxNUHA9gAEdmUxYzama13g3JA+ivdzUPAfK3zblGoBLt7ZRQ2+StG83at/WfoWhotml2naWBJkAFh5EjnXNdi3RmQz1ZArqXKfMAzYzwavLC5YhRxN5oiOwuYpT8MuqzlYdPYxGVyiKt4ls279ZZHM5Qhxuw0W3VWHcjGOhoHSbLb8DE4GO9xnX1HuVcqgciqmg1tdvNzp2c58pmNcHNgflA4zF/d+ZSiEVN9kZhTZfBXyNtp5biclM4LyOlHchNXMz4Q2nug/4lndDRbn9eStH2hlLfM220RGwxyCC1Gu7rTY7gW+9kUEq7myz+3eaCWqPttccsv0s2uyLFutHS+3BgNSZNpnYhUz2extMpoKN8tvotj0PnKeliHaVsnrpu2ocfpNH0xZspKJpxP7Nxo0bccwxx+DQQw91jy1btgwrV67E448/juOPP76D1k1Mbr75ZnzkIx/BEUccgXe84x247LLLKPpYoNVq4ZFHHolKTjjnOP3007Fx48YOWjZ5eOqppzBnzhx0dXVhyZIlWLNmDY444ohOmzWpePbZZ9HX14fTTz/dPTZ9+nQsXrwYGzduHIHDjRH/Btt3E9VM+G/Qyy+/3KX3tePII4+M7n/xi1/EIYccgje/+c3D3t/ixYsBAE8//XRbh7vRaFT2dhVS1xZL5UWMakpCcYmEW2dSO1Dt1Pw4S6GYBIdedVfIneATYB2FuNaTQddt+57S5drKqotR/5jQNd22VZm98E+MU8EFUjRcX2C7z5TpMZBJN0RwzExy3XrI6VbxqKaZ87TkSIaOozDiYMr20K788JvU6Mibi2tiEaT/FtOXtZNWd06IFpHy6dtW7K5m2rvp2vse5KZUQKgMEhmE6y2uSwXsF5Ww9bzGIZcy189YQaqhFhACZ7tY3+7GVcXHVvk1V1hoCf9mxv22Q1g1ZipsyQadVu4Cg0yPDFPlbXu0s6dJSunexdZYSnVBmNpvAEHafiyOV1w4SROdNpUm3ajxbrcYlLA0mrdh72r9/rJooWJeuEsye+zxHLQlHkJlZvt+HkS10W3Osx73oORDcb9N06s8N/cHoEs4ctY0o1iDgnBzL5cDyGS/+f4BMtEftXvjLIWWVkjd/WJPeF3q0n6RIBQQ9K8Z+7ZgGEVbsKGE6YgDk76+vsjZBuDu9/X1dcKkCc0HPvABvPa1r8XBBx+MDRs24KqrrsLzzz+PW2+9tdOmTSj+8Ic/QAhRObeeeOKJDlk1eVi8eDHWrl2LBQsW4Pnnn8cNN9yA17/+9XjssceohGEY2O+wqnk40u+30US46Ve4PRPe4Z45cyZmzpy5169XSuGLX/yiq9EaLps2bQIAHHbYYcN+r1IZpGJgijvnN0dTO0/WeWQ18MKMZIw7xw9GMdhP+Lp7jf2XIXg9fA2pjxTantPBPgoXx/qC3/c2VhAQsj96jesRneSo8e6gxjseV85qqCW+TiRHWK8d2mzrYGOlbm2Pv+DnPIUSYY1o4o4ljGzGmQBJwTkNnwvGIXAmi/2SbURR2yN1FN/UcnNz/lJlo4QJJGpIbd05ROSAM2TIEZ7HMNoYiKEN8cU2vDrZBID0EWslfBQbQeQ5OO9aBd07h4wlkfNpF3OsLUpJr0oOBV33beddldNdFAbTCx2AzowIHT89JgK5EeUCtPOpnUczfnZfiBdOIgeb8ZJjbSkudHEVz0O7bT9P7PmKtycDjQI9bkOdJ7s9vwASK9Hn3jKJaO4IlUMmmRsnJ5qmvIaCULkbM+doB5+38LPBeS0aHzmEMnhJOd9pA4xDH+5RpLNRhHv/YSSZbUR7hjOeYfbesccei3q9jr/927/FmjVrKgMNBDESwhTpY489FosXL8bcuXPx9a9/He95z3s6aBlBKeXjw4R3uIfLD3/4Qzz77LOVqrG///3vcdppp+FLX/oSTjrpJDzzzDO46667cOaZZ+KQQw7BL37xC1x22WV4wxvegGOPPbYD1hMEQRy42D7cI30vsX8wksy2dsyePbukHL1161b33IHAaMZz8eLFyPMczz33HBYsWDAO1k1OXvaylyFJEjeXLFu3bj1g5tVYMmPGDLzqVa/C008/3WlTJhV2rm3dujUKFG7duhWLFi0a0Tapn/b4sN853J///Odx8sknV658Z1mGJ598Env26P609Xod999/P2677Tbs3r0bhx9+ON761rfi6quvHrUdeyuPz8DBkCCxkVkOMBWkbttUZ9sqyCiSh5FHqTJkAuDMRgFzQCUu0mlraT2yFPHWb7QRsyZygWj7gjeRJt3OhiSIYOu+4zWkPKwB9REzxpKSOnS4gmY/3Dx4Tvc/lsF9vze9zVpJ6dymqodjV7W/8DEpdcp3Zo4zN5HSFutHwlIX4WYsQQKfnmwzFlz0z6T4WvX5XDUhZNNFHXPZ1NHaqLa3aFMhSsp0KnqVKjZgI5xxK4Yws4ExAIq5c8+GnJM6dVvBZwEMNo99pNtmb5h51ab/OoOOsrpMCd6IMif0tgQS1oQwUfBMDEAwPXb62ONWPl6/IO6DnTu18zhdvHQMLAFX/vMVnu/i60KSKE1dQrKs8nXORuV7ldtMgPAzGH5eJAAlY/V5qbIoMyTUA6jq/R3VyhsV94R5zYdoTskciiVufvgMmXCbQQS8XS/6MaBtH/O9e/PYGkN0jOFmtg3GkiVLcNNNN+GFF15wytH33Xcfpk2bdsCoy49mPDdt2gTOOaluF6jX6zjhhBOwbt06nHPOOQAAKSXWrVuHiy++uLPGTUJ27dqFZ555BhdccEGnTZlUzJ8/H7Nnz8a6deucg71z50489NBDWLly5fA3OCrhUmIw9juH+6677mr73Lx58yJl2sMPPxw/+tGPxnT/rsa6Im06xKZ0SqbdLOdQK12f6Rw701fYvj7824omZRJgLK7b1tvTp1eZ1mKepHSxHNX5mvRWJcwFv8whee5SzFNeh+SNyFFi8G3FUut/ubTcJGpvZVOpbX0zV3ocfG9sHqXha7E1gWJ9MTO1qP5+OU18MLQ4lXGApYREhkz0m30mUWqyOw/OaUkK29IOkD2mXLYgZeYcRVuXXE7P9WMVO4ZhDXuRdmnpfvzsNsKUcZsK7Gy2qc1O5Ex3dfbPl1tguW2GtrttJnpbLt2aVy7sROURjLsSBefg+7JxKK7TnaVdTFLBk3YMmHQLGbnUKdiDpXiH6dRurpnPG2cpElbzn18kZsEr1i8It5WwFNKVW+QQob6C4lBInA6DF5KrmJtR3XcWpJjLQRdewmOxxxDaWVzwssergvnCEH7ezIJeoBGgZ0jBZsbHvGDLiguO6L20Kn9AsnnzZrz00kvYvHkzhBCuLOwVr3gFent7ccYZZ2DhwoW44IILcMstt6Cvrw9XX301Vq1aRSnSBTZu3IiHHnoIp556KqZOnYqNGzfisssuw/nnn4+DDjqo0+ZNOFavXo3ly5fjxBNPxEknneSCN3vTjvZA54Mf/CDOPvtszJ07F1u2bMF1112HJEnw9re/vdOmTTh27doVRf6fffZZbNq0CQcffDCOOOIIXHrppfjoRz+KV77yla4t2Jw5c9xC0HAZ6W8wMTj7ncPdSUKhq6FqOn1ETYsluRSOgn9io8fWKUnMKRPIgUAhO1arNhfbziEuXogK6ECkd8RYePGuJJRquXpZ/a/fvlQZakqCGwGxUJQKgFdkNxf+SaFPuFA5JHzErp1j7BwIpFHUVT+XVjipflvt+lsXt+1EzArjV9yOd8yqVa6Lzq8yStUqVDEvRGf1huwY2DlTIeLVLtJYeK6oMO4cJ1ZwUJU9b7YWN1Axj5xuCSCs10+M41kUEAvnnfTRXOeN+XMhVe6yCiTPoFTDC5IFiy7FWvf2x6wXhOwxSpYPEdEunz8WONQJSyGCunKbzWE/H0X9AsYSIIg+l7ZtnFIXiYfN3KhaiGuTyYDcOMDm8Yraf/1ZMwtsTMa190ggIZFEAnVhBgrX3yv2abvYYT//FDgmJjDXXnst7rzzTnffqo6vX78eS5cuRZIkuOeee7By5UosWbIEU6ZMwfLly3HjjTd2yuQJS6PRwH/8x3/g+uuvR7PZxPz583HZZZdFdd2E521vextefPFFXHvttejr68OiRYtw7733lgSsiDK/+93v8Pa3vx3btm3DzJkzccopp+D//u//xiyzZX/ipz/9KU499VR3334ely9fjrVr1+If/uEfsHv3brzvfe/D9u3bccopp+Dee++lHtwTDKb2phkpMSg7d+7E9OnTwfk0JLwLnNedMFTCG0gDUScboS5GYtuqlg/icOdqAADQErvREruRid0AAClbkaNSdmhF4fE48ulw0dyaUfT26tJW8AooO9zO9sEc7oKCt4RP75Yqj5Sqw2MpOty8IM5m2VuHu6iSXXr/PnK4vcibz2wo2qIZgcNdjAgbm1BwuPV4sIJNVcKD7W0I55JLm7YLJ7wbCe/yrdhS3YrNqt3bVGmBDJkR8MtFv1Hg1pkCoYCaP+ZY8X60Djevcrhhn6+V5kyuBpA7hfDdyGQ/cjFgxiY3toefuXaR2KLT7udCWc297HA7FXKeRuUWtgwlTCkvopT0Iokyc59Bfb8FqZoIW7VpOxiU2oMdO3Zg2rRpbY5p7znllFPw04efRpqOTKG2lW3D8gvfis997nOjtoUgCIIgDiR++tOf4qTX/QV6uuePeBu7+3+Nxx77BY4++ugxtGz/gCLcY0q14xm3CRJA4YJXR5iqo73Fi2PrmAuVuYt8WytcHfVCIZod1Ny6C3+ho5qBowQAzPXxBYSMnVClJBJlHaW4ztymX7uWS0HKLqBrXlWbaF543C6llcFFokvjETqxqrCIgCqH1O7P2BtkFOjj8k5+6DD7bQ6e5h2PYZg1oCocW1/vzNyY+HTsov3tF0aCFHIEKcVVdfNMQin9vG5flwXn2djoUvsBm8qv71vHz39taIVuu/1MH44dUyVc1BwApGyCgUMY+zKhtyuC+mebmu9UylUetbgK0/KNAQjPSzHl3FMdgXbPGpskT8FVoEdgXpq4YyzOmTgiX0TrDxTq6hEvjLSzKSRsy1Wc4/oTFQSozR3XGYEDHLXIRl5YBIvs54CSe5OePbjNI2FUKuW0dkwQBEEQI0ahfdbp3m+BqIIc7jFFAKg5Zw3wF72sUI/rxZnKznfkHNvIaaEHbq6ayE29cUvsLkWEbeTP7yNO+9XOl90ezPPtPmQ6KuprShEdoxbCSl2rMq44GE9K6fHRMQyz3rLskMiSwFKxnrmyTjpqrZSWXhMKVSnVDGpZZMlpHg3aEYWrd1bg2jGNzomlypk3x1QYZNt6Cyj3O7fH5/ul55CKw/pWTOWFeWa36dPcS/MVwXxlNQAZiosErm238oJ8+jh0zTtnA852a2OU/aCCtmDFhRRWsGGQOWz3Ufx8McYhwowIDojgc+v7ket2YGFbPwG98GXtLS4QFGEmQl7+fHpsFDzO0gjmczFTgiH6fHJop9ttVwKMc5exYrUIim35wv2HOQzuc1XMXBgHRiWaRj/0BEEQBDEKSDRtvCCHe4yRKncXvJawhtYLihmRJlW+4A774joHI9igVBly2XIOt5ADUaRXO5Oxc1R0NGxtabgPr2oe3/eRLGH2b2p1pa8x5TxFYm1lNe2oBIsMPHCEiunzXqRJlB4H/IJAtXMdRP1UXqob9nBoxW7reNXAINum6iqVGQejXVr+8NgrB6WNE+acb7Nwwiocb7+fsIc0R8LrJYE36Wq4uV5HcE6/1NFt52lLPWZGnE+5CHc4X4vp6mEUXkYrB4oJKNmM9idlq80x+21U1ddXLVQNRrvafre9IEIeZaQoGdfAQ0AE7mgumxCqicykkAvZrFwg4CxUCLcLVLG4mUWacgQp7QKaFUSsPu96oYbDfRbATZ14kGbPEre/hDciBX5d0JK1rZV3CwCl+TYeP8pqxOJn1BaMIAiCIEYHCZCOD+RwEwRBEBMCNZqWJJRSThAEQRCjgiLc4wM53GOIjrwJHwEGABlHyKz4lovmBq2zAB1B4+Auiqaje8JFu6SpbxWyBWGialrQKIj6mehtGIlUFdHadv2bUVJZLypTCy1uFQiKRSF9k5LLgrZCsthKCkFdN9Np6MqlLkv9XpdeHUe29WOZi2rbcRs85VvqHbmwfg7F2kdrq8TE9iaCFkWyGcNQda7u9QXxr1g8LUyThxsX1uZL0YllcZ02HPZMD5+3gmq2plv3EPeRUigFxUQQ/c3MKLYT8BKIIqHF86GUqce34lwSspSmPnRtc1m8LtYPKBLP/bwkOjZUdBwIesWbwxEuhVxHt13NuWxp0cJA5C9MH9fig6mLMgNe1T9MS7fbAwAl8sqZF88dIFa494KCnKWoJV1IuRGrY92F9oKmlED5Yw3F6YrZHoCOoo+HgzuqGm6KcBMEQRDEqCCHe3wgh3tMkVCKGS0sk34NfanthKpkBsVS7zQxjgS1IO3X9OE2F9FCJZAq82m4UqeaauVge0GcGwcx9XZABqmoRceoigSxyFnsCOk02SDd2h0d4BLGpXfkGDikdYq4loRzafTGAU/MfakyMJ44Bz03g2YXGfxiQJzqi7DmeC/qq7UgmE29Z9rBYHtZi8qYrrmueDwmVL8OFb+BoQTP2ipTB3XdjA3+ZRg5o+Cl/ssAAJuqzBJwlkKG6tWKuVG0deYKBVXywj7j9G/tdFfahrhdGHO1yuFY5AgdaF9H7o9J3/c2F9Ozrfia3peeM1ZxG+Cl8atKUS8+b8dAoKLfuvL91q2TGi4IVHYtYA3ff9x91o0mgnG2nZ4AS828tQtc1rLwvCaRWF4S7LOeTkHKe1Bn3QCAGuspqNfnUd28XdDzZSpV6eQEQRAEQRDE3kAO91iiJMCYuVB2msFG9MxeLCemRY++2E5ZF1IEF9/gxhfUr8/VAHLVRBO73G70BX4YiYzFlLQ+diyCFClcV0RT46hh6gS39PYkIDkkQqclD7Zvj9NELk10W5oaUQ4BIHURNcY40qC/s2IphMqjmu88GEIli1FUuz/lPLLhOgRhFLYKpyIeRqiHoRPloo+DLXKUaraTkmMZ/j10XU2C8LxyXnOK8dFubR17KfpuMw607aUFBhX26PaPuT/NzCuOqY/EDhHxD4TQiqrixYi2dbCtYF/KvWJ+tFAkc+fIAkAuivMIAJJIjE3fjIMdZlAEuIwTmZVE0ooR7TTpRmqc35R3o8Z7kLKGq6G228+hHe0Wh8lq0Q6wPtY6pPKicn4/dpEhbAOmHe5a0m32qZ1t137NzSd7jBnyqA5dCzD6lnbtF1HGnjiSPjxoUYAgCIIgRgdFuMcDcrgJgiCIiQHVcBMEQRBEx6CU8vGBHO6xJorSAYAAY3UXVUpYipT3oGbqKRusF12qFzVlUk5N6rmNuLVYCwN8l4tS9kNH3XI2AB+RLCh8237IjmLU0UYabfoyAMTtpBJeL0dWTZ22UFVRKOFaJ/koYVmNHNBR/WJ0NUGG3D7GEUUZQzt8a6TRRML2AhONLSp8t6VQ8+0josUa8SBy69SlgWKWwfAI1ah97W7CUtcCytsVKLMP5Z8MlW7vjjmsfW8T3R7iMf+knYem/MBFbgPFe576YzQp2vbzYdtdubnDE0AC0vX65kCpX7sETLRZQbdKc53YjIJ3WG4Rzk2nQm4i7krJKMW9xruRJt2ocZ/OXWc9SFCLPus5y5ymgYSEZJmL2vvPny8xKEbyeRThriHldaRmnylr6L7bTidC6nZmykTU5S60xG7X9UCXqzRRaj8WNxMflzRzRSrlBEEQBNEhRv4bTAwOOdxjSNyfNhQy4q6eMk260eC96GLTAAA9ahp65BQ0YJ4HB2PMCZJlKsceVscubi7GeaaFmph3OoSyAkvWSxgizdqIV8W+UQIEqcYsdDaVvqC3deScWQmpoCVXhK0h94sAjCXugp/B1K2HDj3zrcM4JDjPwAoX/JH4FUaWSt7O2XPnjvnzp48/rn9uu/LHAISpt66m3I6p3a4Vy2MIBcLKdfNlMTeOVAvy2Wx1SIS9xTmrG1Eue7+i33Kxx3u0cFG1SIDCokMo4hY+ZuwsT6z2jnupbpq74wnrkaP2duY1iXFGU15HwhvO4bZCZCo4BsmyioWMeOHG/sBICF3CYD9bkkflFUVH1z4WLmrYRQBtn07nrrNeAEADPUhVA2nw1SuNSKD9fCWo6XPHTUq5qgEc0T6KizOhOJ5ekKi5MhVu6rWFae8mbQq51A52JvuR5bshZJhSHp7jqjlvRQjHFurDTRAEQRCdgyLc4wM53GNIqBpsL8p1DWcX6ukUAEDdONu9agYAoFf2oovV0TBOTc0JKOmLx37FkSvhomHWcY0v+oN6bv0i7VQHIlVl9ewAJaGQOWE3W3PuHGSWgEH6C34OXaMdiCoB/kNa1c9XKeGuz7lx6LkTTdOP8ZJTBG+PyvUxFqPmGDza1k41vOh4l1wH5+jFkc1yxNsuOgAMsu0lvxMKK+436pUeC5wVnUQFCa7sWCB6H+DrmRPnrFZH6L3wnBhUTM+LvhUVwP1CCQu0AZyTy/yiQ/QvY9DOrdmei/Db7ddMdLjuFbYDZ9cfb+IcWr2oUPPHHERxi2Nnjz3sFR6K7ul/dVcB5SLiNSiRB+clLUSTU1crD2iHP+GNUkS7rvT9BrqRKK1n4PrRsxy8oM7OAxV/pzIfTeX48xIuBNgxsfelOUf2WIu9w3PRDyEHAuG3DLGTbRZZou8NjvFxcCVQqJffe8jhJgiCIIjRMdLfYIB+h9tDDvdYwjjAkihCl/Au1JMpqHMd4erhB6FXzUCv1Pd7WQN1nqDB7QU9g1IKUloHANoBgHeSpG2JZXdrHUMVXBDzsuhWOUobR/msA81kS0cSnU2JvoA39zlSSJm7CLQyCuZeGI4b1WP9vFA5uMq9CrKJvKnA6RHIowiclP71+u+85Gianel/hlQQ55FqeJi27ImFtEpOb+jwuMeN+jx06yZrh2JiCJu4sSVOnU6cI5k6gb2QUE26SjzLRrcB32YqRKosEAKrcLYZL7UbK7Yq84/rdnG+BZZ17ALHO1CPd+Nhh8AJoDXcMSe8Sy9SBSJoRUIhOOtss8A2BRG17cply4mcWTGwsmieWTgxavRheQRjNfCgA4BN6bZjYJ1sewwpb6DGbAsu7WzXoJ+3znaINNFnadPUlfDOeHDMITzIhvDnP2wL5ueHQG6+N8znUerotnWwpWyZv6t/ZPUiUUVpRdhKcMwYRVswquEmCGIf8eKLL+KYY47BBz7wAXz4wx8GAGzYsAFLly7F9773PZx22mkdtpAgRgZFuMcHcrgJgiCICUJBE2DY7yUIghh/Zs6ciS984Qs455xzcMYZZ2DBggW44IILcPHFF5OzTUxyyOEeD8jhHkM47zKRyRRp0gUAOrqdTkM3PwgA0G1qtntMi546T1Bjum4b0FGaTCq0pI42DagW+nk/BkxbsKbcZXr/htHeRAdOA2EnHWG37aHitFwFaaLGPoVUr2j5mmwZtOlKbH1oUEMquXRti6TKC3WfMo5wy2YU1ZOQpaikrSu1r89NL2D9XEunuQbCZKWoG4vTyovp0HZc2otxDV07ykrb8vcTxqFUqsWmAIR9k6s3pt8fpmnblHAASHkDCW+UouxhD2ilJISKW1IpJSFs6y4F8EIbL4ngvMmKjAFnm6W6PZe3x9d0K5Xp6K/LXEDhtYXSBhPd5szqG3TpdOykC4ltYWXmdCQKGDxeFd22KdOA7pMtork0xHlpg2+1lkYRdivY5oXqaroGGz7rIB4DCWFb/jE9/i30I2NNZErXVOeqqbM8bIZHYW5WRv0LZSZKCQh4YTepMuRmDHQKeQsyGhNRznxh1T8Pbj+KQ6k97YaMIAhiv+bMM8/EihUr8M53vhMnnngipkyZgjVr1nTaLIIgJiDkcI8hadKDxIg41azDzXvRxadhCrTDPUVNQQM1JIHjIZSCENo9yZREUwnsMQ7DTr4Du7ANu+U2AEBT/Am56NepsUEKN0NaUhkPhaXKTknLqRLnYiDaXhHGuBNiAuD+dSnisolM9EdOjVIySOPVDkNiHPBENs0iQOxw21RnKTPkcgDC1JhqZzuPHbaCmBZQrMNOolrbMlagK+7ZHNajh+NRTMu3vaCLCup2kUIWHOHqdN2kJMZlU8IT43CnrFFarHDjrjIw1XTjnMumtkMO7lCGtfaxjYkp/49T1RGkKhe1A1ggOKYYN+napjRBmdT6gpPtRN6Ms20Xp2y/6pT3lGuyWbAYBBmNiQqcRaGyqD5ZyCZyMRAtLkUK92GKP/xCjf0shXXlANznygmUFYTporppYw+CMc2Yny+5qRPXAmZ73IKTTvdu+tR/U2sfOu+SAbzYeKCQsh+WbNiFBwCuPMPPbzt+cd12eP6reqEDQEv+EWPKqLoPUISbIIh9yyc/+Um85jWvwX/+53/ikUceQaPR6LRJBDEKVEkriRgbyOEeQ+ppL2pJNxLWiNt+oRcNpZ2KmqqBg0FYlW2p412ZieA1kWGA9WMP/xMAYBe2oV/8Ec1c38/EbtO2x0cnmWnplQROQS3p9g63aQtknRSBDAlrBk5DilwOlKJoLqoHHh1TwrTCuL1gz/kAOKuhJXY7G5XKvY1K185ah9y2TSo6q3b/1iGQytfd6uNkLuqmnbV4+up622pxK/t8lRNtbbC156FNwYtLEe7QAeUsNVF9KwiWVjgxVXgnhjNf38sY17XBrIakoGidB38zlUCi5WwWhXrlkGJUPn4cpk7Zq9EXx4gZR7Sqrtz+q8ChXI1+VnKg9PvtooKp105M+ypeR8IaUdQ6EheDjfb66K1rpaV8/X8mBtxikpAtSNWClD7zoCywx0sLOdxF2O3ilVUdb0SLT5GaP7RegYJ0ivsSGThqyCrqtm2mQa6ayFXTOcRC5RCyGY2rHX+9T6tqbqL88IKKg+EcZpjsCvf5q0KiODdDcT49/xlaZZmAUaGC/4/03QRBEPuKZ555Blu2bIGUEs899xyOOeaYTptEEKOCWmyOD+RwEwRBEBOE0bQbo4sEgiD2Ha1WC+effz7e9ra3YcGCBXjve9+LRx99FLNmzeq0aQQxCijCPR6Qwz2G1JNe1JMpSFkXUqNKbFWKbRQqd22I4O5nLEOT6xTYAbYLTbULA3InAKCV70RL7EZuUmRdPTMAV8PMhr5E5UEkLlEA44Ue0CKJaoNtuyPA9hVuoM5MJJJ1IUEN0rYZQgNIfJRRp7xLH1U0ScA+slmHkG36IiOMRBfSsFkaKFrXS1HykMTU1sYp41nUHir81/7to+rx/pVCFOV2KeDMRxcVRKQEP1hNuFf2DreXBFHMdqnwxe34dGop80BxGsE8gduHTbX39+O2X+1Uwa1N4WuKY2+P2fW0Nin65cwJq+Afp2e79HEl3YQW5viE8noBKpwXKh5rW/sf95TOTE094vdamyL1eh3djm30qulJZWaFiMZA76G/NH7xe8q1+KEqf5WCvJsrSpcz2O8QyTiSYuu0IPtCgSPlgCy8Rtisekgoqz0A6KyEINtDZ937uW7HgzGG3aUjGwNIbZwgiEnAP/7jP2LHjh24/fbb0dvbi+9+97t497vfjXvuuafTphHEyKHf4HGBHO4xpMZ7UGM9SFBDynwbIAmJjGknKGNAHrTAarF+tNQetKQWRctkv3GwbUrsgHEabEqsvUr2YlWwCay2y5epq7U105JnyFnT12A7B8vUnSrd11gof0HOwV1Keo13o8Z6kDJTl666kcC3n2qxBAIZWsxffkvTfsncg+vlC32hH6ZvawTKrYfsfWnqamtOYCvh2uG2WKcrdAaTYvozh0vbde+JnEVfd21tj/pkh86pcz7j2l3fB1pAsbjudsiUXxY6SdZ5FRA22xlct4SDbx8VOm5S5fG4F9OnlU7J97MmdfX58THFDnV4jFXtqKLxNDXW9n7Yws6en7BfdPEcKUhdy6zi7fq6ddOCLhBRsyKAbgyCkgulmua1vjd4rAVQqOFmtVJ5hk0jD485mjcQfv9BqnjxdeHxhM+FYwezlWp8P+5wrlu7oj7chXp7oWquDp7zFJkI5qI0vcdLTndgb9AKjfOaEfQbfD6PDIWRp7PRRQJBEPuGBx54ALfddhvWr1+PadOmAQC+/OUv47jjjsNnP/tZrFy5ssMWEsTIoJTy8YEc7jEkZXUkqCFh3hkVLIdAjgHjJAmVIUM/MqnVfVtyF1r5bheV9A526DSJeMVJK1vpvsfQTykmoZh1vGoQYiASUSsqYEfOnbnQj+qHjWAXoBcSUjRQU2YRATWkSJ1jxVXB8YI0UUWrkqy0X2P7hDPhnEVN/C8rRKYZ8z2OreNj62iV8krM4bEoZsS1Co5bXG8c3rciUsUIqFc157weRYeLUWgWRQWTSDk6cXWvsZPinefYydKRUn0+ebC4ER1jIQrqj88+H7dYUkbkrGizPQ69iFGLBMGKCw6MJdE8YUiiqHHocFul7dAZrVLbjhxQhegxwDrZwmwzj/QLisdsFxyU8oJjgHSfH/tDEqvdx1F8ztPAwS6qf0tIZCUH29ok3YJAIBhYcKz3lvJiT3guvUMcv0bPtQQ1t8AGADUWZKCoWkFEUUZZBIA0ixLVcKeoP7YO9//+7waMPJ1cM3Xq1LExhiAIYhCWLl2KLIuzyObNm4cdO3Z0yCKCGB3+99OkdA4bfV3V29s7VibtV+xd3ipBEARBjCM//OE66EWFkayuKwAKf//3fz+2RhEEQRDEAcCCBQugHe2R1nBrDZa5c+eOnVH7ERThHkNs5E8qAbj65iYEsqDlzx5kYrdTHdcR7f4hI3IhTAGAgGLBCpRSLgjOjGq166csbDq2Pt2ZidTGqcQ+kpcyHT22Ee4UDa1MHkSyc/i2QhmzfbNN2yGZV6tBl2pZbXTZKE4Hh1NuQQWEysr2fWFkO4p8Sulq0QGfdhzXaMu20WJWSGG3rdaKadbelnLkOEzr1bW/tSg6XBUNdtuDgLQ1ujaTwM4vFOws1GDvbfmNb0VmswZqTikcML3Ag0gph45oh+eAs8TMd2Mry6JjylUTOWu6+1LmJdVxXjjOMGW8WsE+iOK7TAVfDx3PPenSyKNjR5BKHiinM6Z1AVjhPLn9oxVFtHU2SnFeFbI3wiyEwA6f1h6rx/vIf2RwkJ1QrVvgNBpMSYvNjEhYzfT/ztzrJCTSoK2fjDJerLG2fCVIyYfXG0gKmRej5dRTT4X/sR9O9NxmctAPPUEQBEGMlF/96pd49atfjeFHufWi969//evxMWw/gBzucUAigzDNm4TKdI9dqWuym/mfkIs9yE0LLZt63c4hYKW8UYZiYkLpNQZf5yoAlUMY51OqHAmvQyqfHh2mnKtACExv37QTY+aYkENCuBTUTPWjJXchM8coVatSnGrohIrQcUxRTDkGirWz3hGyjk8oRiYjR0YWnHJrX3xhz4J2T5z7HtEJj/th6y2GKekVx8t41Ls8ZQ1XbsDAoZhP481RFtJiTNcHu5Ry47Bz53Dp7XOuj4nLFIql7vzBtOkq9lcumYnq9m911o2UdblSAqZfEb0eKug5jcyUUNhjGnDjZI8JKPYnB0SUci2qU+WjbZTF7VwLNCXjVPp2qw/Mf77CxSgrkmYFA10rPZcynum+3q7nfNPU/hfPf5sVYrcqxsy5AZhdQCg4vH4BKimkpufavVTlz4d+ny5nsHMtVXYxwb5eIGEp8qgWXwvq6Qe4tqnY575tT/ux4/HHH8PRRx+N4f3Y6x/6P/5xjHuCEwRBEMQBxFFHHYWRLXzrRe/58+ePi137A+RwjzECWgnb1mhnsl/fcu1g52KPiWjrqF9ZiZgBLClEUIvRr1ioS78vjgiXnZS4TrW4b4m8XFNr62ZZ5sSh9Gu1sJV1Dq3QW5brY5ayWeHotL9YtyrRzDmnaSSIpm0xDo91dIJu1ECgRj2Yc1mIuod9vX1E2zpeXa6fubZJ1zNHStIFB7l4tFo9OnyMR85q+A7taArn2CmlBdcSpN7RYr5u2tosVFCLm8SLEJLrY/a180WxszhjwEUuzXmosR40VI/rIZ8gBQeLMh0AQBgxroy1kKkWJIt7ZDv7IGD7aLejGLXdm5pnVYogl98TLUox5sfQONgJtwsrdadxEG7f2qz7nLcgzOKSFmUben+Vf0dvqFYyD98iXQaLXgZwKuOMQ8oUkllhucxlp1gkC9TjCwsadhv+888je6yQXBj1D7sejCULFy7E8H7s7fnmmDFjxpjbQxAEQRAHEn19z2P27NnY+4VvXQq2bdu28TVskkM13ARBEMSEYcuW38NGrYdGv6bZ7B/idQRBEARBDMWhhx4K7R4KDP077Be9Dz744PE2bVJDEe4xRkGnCduabSGbyEW/6wssVdNEHYuRVhu1TMBYA8VeyWErJu4Ur32bnmK7prjuNG5VZO10fxd6RktIbTePa4bDYxKy6aKx+hgH3DEiqKW2xxenvdtjtS9IzHH5Vkyh4reLzAVtu3w0zkaYbVpv+1TeYnRbscRZZeu1bTuoNOlGLely9cyMJVFk1o5RnNpcVt6W9jwqrlP6rQq32V7Y0iuXrdJ5AoOPurPU9ED3H1vJJDK2p/AWkwYtuY78w2+z1MqrYrzs9lNVQw111ExKe03VkCABN6Omk7clWuaYMoao1CBHM8qE0H3Cs6jWvpSlAQ4FWbITyr9eqSBLYyhxj1JEmevZaM4zZw1w7s97Leku929XmctHYCbDYq9FRUwJSGXZR3SM7dc+dXZH3AZQKAnOzOfS7UpvI2emU4KbayYLxM21DCJQj3fmBK3Rwu3ZchOXZm/Gp10py2g57LDDoFfVbavAdvvRP/Rf+9rXUK/Xx8UWgiAIgjjQ2LVrp1EbHyrKrS80Bgb2DPIaAiCHe1xQgWiSULlOQ43aBKmohhRBeiZjDVNDah2C+GI3YakT4HK9vlnNpPvaC+LE7NukmJo0d3vfpi5bkTMhteiZs19pZ7LY7ik3zoZeQGihbSumyvrtGL1oYC+SuRMl02NiHX1blxsuCvge1NYu/UeFAxQsapQF3JhZ3LBjpp2u1KSQW2c7TJsN+z3bMbAp7mHtuN58MSU+FnFjLImE0jIxoMc8cNwTsxARpnjXWY+rqQaAnGVOvIolSdlRBSDb1Pr69mGxWJkTPWO6TZdNIecVX7oSyqWU58jRYv3IlI42ZnIPMtnv5pkW1mtFTjJTvFQ+ELZ7s8dj5wJHCqFaKBEdW7luPRQoYywFt5+dpAsp73KlA/azZVujKSUhmW+j5dL27RhJQGskBHM+0FnQ86csALh37cFk5d+2Fl7az4Isp4gDcH23hZkf1mb7fRDCWep8fqbCxT79nE61N4tRrIGUdYHtrTrfCPjTn3aaFiWD/djr/Z977rnjZgdBEARBHGhMmTIFn/vc57BixQro3+Cq32G96P3Vr34VjUaj4nkiZNI43DfddBO+853vYNOmTajX69i+fXvpNZs3b8bKlSuxfv169Pb2Yvny5VizZg3StP1hvvTSS7jkkkvw7W9/G5xzvPWtb8U///M/j6iPnHV4RdjjtqJelcELJg0VKeMsRcob3hHk3agx0xeb6brTmmogQYrUXCgn5l8r3CaZRIYWhKnxzJmOcGXcCLnJXWBiFzKh7wvZhEAzakktVe6cy1K9tItEt1GCtosLYcQs6GltRapCUTKh8sqe0cVrfOcQM27s8DYpFka0Y4VnxmrgvOFqd9OkCzXejYT7nt9RP3WVQcimE4azEX3XL71i+6F6tVQ5uGzGDrwSBbVrH9nlSE3U3y+s1FkPulQvavDRPKkkWui3BwnFBVRSmFNuUUCLysVK734RQbAcicp9JgPLkKEFznw0mSkv2iYhIZhAk+nMhgG2C021CwNC9yHNZT9y2fLq9a5HdZANwOLsgHBhyZkPCWZq1YVs7qUSexg5Z0H9euqi2oCv2bbnXTuTwUIL0+dJJLY3eYqEpcjM+3PRBSlbOnMF5UwHoLwIos/z3jjd1ar+eiMSyiw8KJbrKLZdnzJjrBL9AU55I+67bfZrFzoSNExdePw9GWbQpLyO1IjppawRZVmMB729vbjjjjvw/ve/H9U/9vqH/sEHHwRrVxdPEARBEMSIuOiii4zD3W7hW1+InXfeefvSrEnLpKnhbrVaOPfcc7Fy5crK54UQOOuss9BqtbBhwwbceeedWLt2La699tpBt/vOd74Tjz/+OO677z7cc889ePDBB/G+971vPA6BIAiC2Eve8573mL+qVle0IurrX//6fWgRQRAEQRwYJEmC73znO7CiaDF60Xv9+vXgfNK4kh2FKTWOeYHjwNq1a3HppZeWItzf+9738KY3vQlbtmwxBf/AHXfcgSuuuAIvvvhiZY3fr371KyxcuBA/+clPcOKJJwIA7r33Xpx55pn43e9+hzlz5uyVTTt37sT06dPxioPearrd9rsoX1P8CS3TCgzQCt5xdNgWp/oabs67o8hrPZmCWqIj7g3eixq60YVe1KCjcjVVR4rEpf6mJpolzQdEsBw5hGvrlSNHjiaa0DYNqJ0YEH90vcFzMWBqon3KcZQyPlitdHRMvtexPS5Ap2+nSZePKpqabYuUOfKgPtq2/Iqp+oAX+2pnURTRKpFbG2ppD2rcpxLXeHfUc1pvUb+/2D/dq823iXAbBfSwHlZH9OM2ZUUleRv1T5MeNNKp6EpnoJsfBADoxjQ00I2aqttRRY44wjygdqIpd2mbTdZCbvUDZB6NkdUHcJFOXtcZFMkUAEBXMh01dLtMikSlUVswQKcnZ6bPdlPtwoDciUzY/fcXyinyivPhSwlsNgfnaRyRhfCp/LKJXA4E2Rb9hbZc8XnQ+0kQZh6EquS2Vj+M3uoIrm/fpm3w6diZ2uNKMHLZj0z0lzIVyhTb2YVzUxbs1Z0I2rXh0hHsQoaJGUsASHg30qQHdXMe06TbRO0LmQ2uJCTuQuDsCNTw7bgAurQhZQ0oJfH0H/8/7NixA9OmTau0dbR8+9vfxpvf/GbEtdwKgMCvfvUr08KEIAiCIIixRikFzu31WNg5pFDWSQzJpEkpH4qNGzfimGOOcc42ACxbtgwrV67E448/juOPP77yPTNmzHDONgCcfvrp4JzjoYcewl//9V9X7qvZbKLZ9DXPO3Zo5xpSAhxgSrl0al09yr2AkVLQaxx2ktonfCsupZgXiQJHzlJ3MZ2iBs44WoGIl0ALqUojRyhE16FK5K7GWiJjLQibOqyakDKDEMaJcQJoQXo2KmyOqBCncu2odL02Q9zrOHHp1VynVwdOlQjEtcIU2FBMTvdQLqZom9ZlKoeUKcDiWl4vitaFWjIF9UQ7WjXWjRQNV/8O6BZgOZrm6Fh03Lbe2Tt6VlVZuntaPK7l3i8AL5RVkYbPWAIYR1Afs3J7tscHJSGNCJquaBcQZh8CmXuNtymsK+eQslgLDC+epWAWS8xCjcqQsnq0CMFZ6hYjOGqRNkCmtHOfBynk+jx4h1sGJRaMMXDmU9wZ12OsvMSBHhMFMBbUdCtvu1IKSorAWVSFf7mur3ZlDVFpv9ufS/1HAhn0OtctsBI4oT/zXOJS3/X4SJPebYXv7HmVMje1/97hlnbehItITAV1/4kruwCs3oEXEbTlHUJaTYYmEIyB/o4pHCOXrr96wmoA8z+dCjXTNizWbPBtBBOjE+EXIZiMz8F48aY3vcn8FbYJ09FtcrYJgiAIYvxgjOGnP7VBSZtarq8TH3/88c4aN8nYbxzuvr6+yNkG4O739fW1fc+sWbOix9I0xcEHH9z2PQCwZs0a3HDDDaXHn97xzeGaXYGAUhlyEyXMBTBQoRE1GVDBH0L4kvCsGKzeh2RDv2RcUKU/Kl6jAGEyIYR4adKe9+EgMP7nRLn/+XnYyTlYiYoXAoaWHRxkU3IAUu50xzje2qHbtm3D9OnTx2XbjDE8/PDDOOmkkxAupgz2/UwQBEEQxNhwwgknQDvaduFbL3ovXLiwo3ZNNjrqcF955ZX4+Mc/PuhrJmLa4FVXXYXVq1e7+9u3b8fcuXOxefPmcbvw3F/ZuXMnDj/8cPz2t78dt7TU/Rkav5FDYzc6duzYgSOOOGLce2++7nWvg/+xVwB4aXGVIAiCIIjx4bnnnsW8efNgf4e3bNnSYYsmHx11uC+//HJceOGFg77myCOP3KttzZ49Gw8//HD02NatW91z7d7zwgsvRI/leY6XXnqp7XsAoNFoVErgT58+nS7cR8i0adNo7EYBjd/IobEbHftCMOXXv37G/Rbs2rVz3PdHEARBEIRm7ty58AvfDIcddliHLZp8dNThnjlzJmbOnDkm21qyZAluuukmvPDCCy5N/L777sO0adPapj0sWbIE27dvxyOPPGJSJoAf/vCHkFJi8eLFY2IXQRAEMTrmz58PgGP9+nWYMmVKp80hCIIgiAOKP/7xJRx00CH40592dNqUScmk0XLfvHkzNm3ahM2bN0MIgU2bNmHTpk3YtUvXOp9xxhlYuHAhLrjgAvz85z/H97//fVx99dVYtWqVi0Y//PDDOOqoo/D73/8eAPDqV78af/VXf4UVK1bg4Ycfxv/+7//i4osvxnnnnbfXCuUEQRDE+KOUwNKlSzttBkEQBEEccMyYMQNKCfT29nbalEnJpBFNu/baa3HnnXe6+1Z1fP369Vi6dCmSJME999yDlStXYsmSJZgyZQqWL1+OG2+80b1nz549ePLJJ5FlXqbpq1/9Ki6++GKcdtpp4JzjrW99K26//fZh2dZoNHDddddVppkTg0NjNzpo/EYOjd3ooPEjCIIgCIIYmknXh5sgCIIgCIIgCIIgJgOTJqWcIAiCIAiCIAiCICYT5HATBEEQBEEQBEEQxDhADjdBEARBEARBEARBjAPkcBMEQRAEQRAEQRDEOEAO9yi46aabcPLJJ6OnpwczZsyofM3mzZtx1llnoaenB7NmzcKHPvQh5Hm+bw2dJMybNw+Mseh28803d9qsCctnPvMZzJs3D11dXVi8eDEefvjhTps0Kbj++utL8+yoo47qtFkTlgcffBBnn3025syZA8YY7r777uh5pRSuvfZaHHbYYeju7sbpp5+Op556qjPGEgRBEARBTDDI4R4FrVYL5557LlauXFn5vBACZ511FlqtFjZs2IA777wTa9euxbXXXruPLZ083HjjjXj++efd7ZJLLum0SROSr33ta1i9ejWuu+46/OxnP8Nxxx2HZcuW4YUXXui0aZOCo48+OppnP/7xjztt0oRl9+7dOO644/CZz3ym8vlbbrkFt99+O+644w489NBDmDJlCpYtW4aBgYF9bClBEARBEMTEg9qCjQFr167FpZdeiu3bt0ePf+9738Ob3vQmbNmyBYceeigA4I477sAVV1yBF198EfV6vQPWTlzmzZuHSy+9FJdeemmnTZnwLF68GK973evw6U9/GgAgpcThhx+OSy65BFdeeWWHrZvYXH/99bj77ruxadOmTpsy6WCM4Zvf/CbOOeccADq6PWfOHFx++eX44Ac/CADYsWMHDj30UKxduxbnnXdeB60lCIIgCILoPBThHkc2btyIY445xjnbALBs2TLs3LkTjz/+eActm7jcfPPNOOSQQ3D88cfjE5/4BKXfV9BqtfDII4/g9NNPd49xznH66adj48aNHbRs8vDUU09hzpw5OPLII/HOd74Tmzdv7rRJk5Jnn30WfX190VycPn06Fi9eTHORIAiCIAgCQNppA/Zn+vr6ImcbgLvf19fXCZMmNB/4wAfw2te+FgcffDA2bNiAq666Cs8//zxuvfXWTps2ofjDH/4AIUTl3HriiSc6ZNXkYfHixVi7di0WLFiA559/HjfccANe//rX47HHHsPUqVM7bd6kwn6PVc1F+o4jCIIgCIKgCHeJK6+8siSoVLyRU7P3DGc8V69ejaVLl+LYY4/F+9//fvzTP/0T/uVf/gXNZrPDR0HsT7zxjW/Eueeei2OPPRbLli3Dd7/7XWzfvh1f//rXO20aQRAEQRAEsZ9BEe4Cl19+OS688MJBX3PkkUfu1bZmz55dUo7eunWre+5AYDTjuXjxYuR5jueeew4LFiwYB+smJy972cuQJImbS5atW7ceMPNqLJkxYwZe9apX4emnn+60KZMOO9+2bt2Kww47zD2+detWLFq0qENWEQRBEARBTBzI4S4wc+ZMzJw5c0y2tWTJEtx000144YUXMGvWLADAfffdh2nTpmHhwoVjso+JzmjGc9OmTeCcu7EjNPV6HSeccALWrVvnxKuklFi3bh0uvvjizho3Cdm1axeeeeYZXHDBBZ02ZdIxf/58zJ49G+vWrXMO9s6dO/HQQw+17d5AEARBEARxIEEO9yjYvHkzXnrpJWzevBlCCKd6/IpXvAK9vb0444wzsHDhQlxwwQW45ZZb0NfXh6uvvhqrVq1Co9HorPETjI0bN+Khhx7CqaeeiqlTp2Ljxo247LLLcP755+Oggw7qtHkTjtWrV2P58uU48cQTcdJJJ+G2227D7t27cdFFF3XatAnPBz/4QZx99tmYO3cutmzZguuuuw5JkuDtb397p02bkOzatSuK/j/77LPYtGkTDj74YBxxxBG49NJL8dGPfhSvfOUrMX/+fFxzzTWYM2eOWwwiCIIgCII4kKG2YKPgwgsvxJ133ll6fP369Vi6dCkA4De/+Q1WrlyJBx54AFOmTMHy5ctx8803I01prSPkZz/7Gf7u7/4OTzzxBJrNJubPn48LLrgAq1evpsWJNnz605/GJz7xCfT19WHRokW4/fbbsXjx4k6bNeE577zz8OCDD2Lbtm2YOXMmTjnlFNx00014+ctf3mnTJiQPPPAATj311NLjy5cvx9q1a6GUwnXXXYd///d/x/bt23HKKafgX//1X/GqV72qA9YSBEEQBEFMLMjhJgiCIAiCIAiCIIhxgFTKCYIgCIIgCIIgCGIcIIebIAiCIAiCIAiCIMYBcrgJgiAIgiAIgiAIYhwgh5sgCIIgCIIgCIIgxgFyuAmCIAiCIAiCIAhiHCCHmyAIgiAIgiAIgiDGAXK4CYIgCIIgCIIgCGIcIIebIAiCIAiCIAiCIMYBcrgJgiAIgiAIgiAIYhwgh5sgCIIgCIIgCIIgxgFyuAmCIAiCIAiCIAhiHCCHmyAmGS+++CJmz56Nj33sY+6xDRs2oF6vY926dR20jCAIgiAIgiCIEKaUUp02giCI4fHd734X55xzDjZs2IAFCxZg0aJFeMtb3oJbb72106YRBEEQBEEQBGEgh5sgJimrVq3C/fffjxNPPBGPPvoofvKTn6DRaHTaLIIgCIIgCIIgDORwE8Qkpb+/H695zWvw29/+Fo888giOOeaYTptEEARBEARBEEQA1XATxCTlmWeewZYtWyClxHPPPddpcwiCIAiCIAiCKEARboKYhLRaLZx00klYtGgRFixYgNtuuw2PPvooZs2a1WnTCIIgCIIgCIIwkMNNEJOQD33oQ/iv//ov/PznP0dvby/+8i//EtOnT8c999zTadMIgiAIgiAIgjBQSjlBTDIeeOAB3Hbbbfjyl7+MadOmgXOOL3/5y/if//kffPazn+20eQRBEARBEARBGCjCTRAEQRAEQRAEQRDjAEW4CYIgCIIgCIIgCGIcIIebIAiCIAiCIAiCIMYBcrgJgiAIgiAIgiAIYhwgh5sgCIIgCIIgCIIgxgFyuAmCIAiCIAiCIAhiHCCHmyAIgiAIgiAIgiDGAXK4CYIgCIIgCIIgCGIcIIebIAiCIAiCIAiCIMYBcrgJgiAIgiAIgiAIYhwgh5sgCIIgCIIgCIIgxgFyuAmCIAiCIAiCIAhiHPj/ARR23M1AfA+WAAAAAElFTkSuQmCC", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, axes = plt.subplots(1, 2, figsize=(10, 4), tight_layout=True)\n", - "\n", - "for ax, name in zip(axes, (\"output\", \"side\")):\n", - " sim_data_final.plot_field(field_monitor_name=name, field_name=\"E\", val=\"abs^2\", ax=ax)" - ] - }, - { - "cell_type": "markdown", - "id": "eb141236-58ba-460e-9b33-52615bde2174", - "metadata": { - "tags": [] - }, - "source": [ - "Finally, we can create a nice figure combining everything." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "5a284bac-e2e8-453b-9715-573980cc8e28", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, ((ax0, ax1), (ax2, ax3), (ax4, ax5)) = plt.subplots(3, 2, figsize=(9, 10), tight_layout=True)\n", - "\n", - "# target intensity\n", - "im = ax0.imshow(np.rot90(intensity_desired), cmap=\"magma\")\n", - "ax0.set_aspect(\"equal\")\n", - "ax0.set_xlabel(\"x\")\n", - "ax0.set_ylabel(\"y\")\n", - "ax0.set_title(\"target intensity (normalized)\")\n", - "plt.colorbar(im, ax=ax0)\n", - "\n", - "# optimization progress\n", - "ax1.plot(history[\"loss\"], label=\"total loss\")\n", - "ax1.plot(history[\"penalty\"], linestyle=\"-.\", label=\"penalty contribution\")\n", - "ax1.plot(history[\"intensity_diff\"], linestyle=\"--\", label=\"intensity contribution\")\n", - "ax1.plot(np.zeros_like(history[\"loss\"]), linestyle=\":\", color=\"k\", label=\"no loss\")\n", - "ax1.set_xlabel(\"iteration number\")\n", - "ax1.set_ylabel(\"loss value\")\n", - "ax1.set_title(\"loss function over optimization\")\n", - "ax1.legend()\n", - "\n", - "# ax1.plot(history[\"loss\"])\n", - "# ax1.set_xlabel(\"iterations\")\n", - "# ax1.set_ylabel(\"loss function\")\n", - "# ax1.set_title('optimization progress')\n", - "\n", - "# final device (top and sides)\n", - "sim_final.plot_eps(z=z_center_slab, ax=ax2)\n", - "ax2.set_title(\"final design\")\n", - "sim_final.plot_eps(x=0, ax=ax3)\n", - "ax3.set_title(\"cross section\")\n", - "\n", - "# final fields\n", - "vmin = None\n", - "vmax = None\n", - "for ax, name in zip((ax4, ax5), (\"output\", \"side\")):\n", - " sim_data_final.plot_field(\n", - " field_monitor_name=name, field_name=\"E\", val=\"abs^2\", vmin=vmin, vmax=vmax, ax=ax\n", - " )\n", - "\n", - "# plt.savefig('phase_mask.png', dpi=300)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "2be21825-20fe-4d08-91e6-c802b94b009d", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "a3d3792e-8e84-4414-8022-e9be437afdbe", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates how to perform inverse design optimization of a diffractive metasurface in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "./img/adjoint_13.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, adjoint optimization, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.0" - }, - "title": "Metasurface Inverse Design with Topology Optimization" - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin14PreFab.ipynb b/AdjointPlugin14PreFab.ipynb deleted file mode 100644 index 03f08e37..00000000 --- a/AdjointPlugin14PreFab.ipynb +++ /dev/null @@ -1,1704 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Machine learning-based fabrication constraints for inverse design using PreFab\n", - "\n", - "This notebook demonstrates how to apply advanced fabrication constraint correction to photonic devices generated through inverse design. We'll show the integration of `Tidy3D` with `PreFab`, a python-based tool that uses machine learning to correct problematic device features, which leads to a more robust improvement of the device when fabricated.\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "We build on the approach detailed in the previous [notebook](https://docs.flexcompute.com/projects/tidy3d/en/latest/notebooks/AdjointPlugin6GratingCoupler.html) on the inverse design of a compact grating coupler. In that notebook, we include a feature size filter and penalty to achieve a higher-performing device in simulation. In this notebook, we use [PreFab's](https://prefabphotonics.com/) machine learning (ML) capabilities on a device designed without feature size penalties and correct any resulting fabrication variations of the fine features post-optimization. The outcome is a design that is optimized for high on-chip performance by using the advanced design capabilities provided by [Tidy3D's adjoint plugin](../notebooks/AdjointPlugin1Intro.html) and PreFab's nanofabrication prediction [Python package](https://github.com/PreFab-Photonics/PreFab).\n", - "\n", - "PreFab uses hundreds of design patterns, encompassing a wide array of feature types and distributions (similar to those found in inverse-designed devices) to develop a comprehensive model of the nanofabrication process specific to a given foundry. This model predicts the fabrication process, enabling it to identify and correct any deviations (e.g., corner rounding, erosion, dilation, feature loss) that might occur. Consequently, creates designs that are not only optimized for superior performance but are also resilient to the inconsistencies inherent in the fabrication process. The image below illustrates a sample randomized pattern, its predicted fabrication outcome, the actual fabrication result, and the subsequent corrections made. In this notebook, this methodology will be applied to a pre-optimized, fine-featured grating coupler inverse design, showcasing the advantages of integrating PreFab into the design workflow.\n", - "\n", - "Note that PreFab models are continuously evolving, with enhancements and updates anticipated regularly. To delve deeper into the details of ML-driven nanofabrication prediction and to remain informed on the latest developments, visit PreFab's [website](https://www.prefabphotonics.com/) and [GitHub repository](https://github.com/PreFab-Photonics).\n", - "\n", - "If you are new to the finite-difference time-domain (FDTD) method, we highly recommend going through our [FDTD101](https://www.flexcompute.com/fdtd101/) tutorials. FDTD simulations can diverge due to various reasons. If you run into any simulation divergence issues, please follow the steps outlined in our [troubleshooting guide](https://www.flexcompute.com/tidy3d/examples/notebooks/DivergedFDTDSimulation/) to resolve it.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We start by importing our typical python packages.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# Standard python imports.\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "\n", - "# Import regular tidy3d.\n", - "import tidy3d as td\n", - "import tidy3d.web as web" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Set up PreFab\n", - "\n", - "**PreFab** is a Python package that employs **deep learning** to predict and correct for fabrication-induced structural variations in integrated photonic devices. This _virtual nanofabrication environment_ provides crucial insights into nanofabrication processes, thereby helping improve the precision of device designs.\n", - "\n", - "This becomes particularly important for inverse-designed devices such as this grating coupler, which often feature many small, intricate features. These complex features can be significantly affected by the slightest variations in the fabrication process.\n", - "\n", - "In this demonstration, we'll use PreFab to predict and correct the fabrication-induced variations in the final grating coupler design. We'll also use the stochastic uncertainty inherent in the prediction to evaluate the design's robustness, both pre and post-correction. This step ensures the design withstands the natural variability of the nanofabrication process, thereby boosting the reliability and expected performance.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following terms are used throughout the rest of the notebook:\n", - "\n", - "- **Prediction**: The process of predicting the structural variations in the design due to the fabrication process.\n", - "- **Correction**: The process of correcting the design to compensate for the predicted structural variations.\n", - "- **Outcome**: The prediction of the corrected design.\n", - "- **(Un)Constrained**: We analyze the prefab corrections on previously optimized grating couplers. Whether a design is \"constrained\" or \"unconstrained\" refers to whether or not we applied feature size penalties (constraints) to the optimization model.\n", - "\n", - "Below is an example of a simple target design, its predicted structure after fabrication, the corrected design, and the predicted structure after fabrication of the correction (outcome). With PreFab, the Intersect over Union (IoU) between the predicted and the nominal design starts at `IoU = 0.65`. After applying corrections, the IoU between the outcome and the nominal design rises to `IoU = 0.97`.\n", - "\n", - "![PreFab Target Example](img/prefab_target.png)\n", - "\n", - "Here is another example with a more complex geometry, including the fabricated results, showing good agreement with the corrected model.\n", - "\n", - "![PreFab Intro](img/prefab_intro.png)\n", - "\n", - "We will apply these same benefits to our grating coupler design.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, install the latest PreFab Python package.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Requirement already satisfied: prefab in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (1.2.0)\n", - "Requirement already satisfied: autograd in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (1.7.0)\n", - "Requirement already satisfied: gdstk>=0.9.55 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (0.9.59)\n", - "Requirement already satisfied: matplotlib in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (3.10.1)\n", - "Requirement already satisfied: numpy in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (2.2.4)\n", - "Requirement already satisfied: opencv-python-headless in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (4.11.0.86)\n", - "Requirement already satisfied: pillow in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (11.1.0)\n", - "Requirement already satisfied: pydantic>=2.10 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (2.10.6)\n", - "Requirement already satisfied: requests in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (2.32.3)\n", - "Requirement already satisfied: scikit-image in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (0.25.2)\n", - "Requirement already satisfied: scipy in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (1.15.2)\n", - "Requirement already satisfied: toml in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (0.10.2)\n", - "Requirement already satisfied: tqdm in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from prefab) (4.67.1)\n", - "Requirement already satisfied: annotated-types>=0.6.0 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from pydantic>=2.10->prefab) (0.7.0)\n", - "Requirement already satisfied: pydantic-core==2.27.2 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from pydantic>=2.10->prefab) (2.27.2)\n", - "Requirement already satisfied: typing-extensions>=4.12.2 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from pydantic>=2.10->prefab) (4.12.2)\n", - "Requirement already satisfied: contourpy>=1.0.1 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (1.3.1)\n", - "Requirement already satisfied: cycler>=0.10 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (0.12.1)\n", - "Requirement already satisfied: fonttools>=4.22.0 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (4.56.0)\n", - "Requirement already satisfied: kiwisolver>=1.3.1 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (1.4.8)\n", - "Requirement already satisfied: packaging>=20.0 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (24.2)\n", - "Requirement already satisfied: pyparsing>=2.3.1 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (3.2.1)\n", - "Requirement already satisfied: python-dateutil>=2.7 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from matplotlib->prefab) (2.9.0.post0)\n", - "Requirement already satisfied: six>=1.5 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from python-dateutil>=2.7->matplotlib->prefab) (1.17.0)\n", - "Requirement already satisfied: charset-normalizer<4,>=2 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from requests->prefab) (3.4.1)\n", - "Requirement already satisfied: idna<4,>=2.5 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from requests->prefab) (3.10)\n", - "Requirement already satisfied: urllib3<3,>=1.21.1 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from requests->prefab) (2.3.0)\n", - "Requirement already satisfied: certifi>=2017.4.17 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from requests->prefab) (2025.1.31)\n", - "Requirement already satisfied: networkx>=3.0 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from scikit-image->prefab) (3.4.2)\n", - "Requirement already satisfied: imageio!=2.35.0,>=2.33 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from scikit-image->prefab) (2.37.0)\n", - "Requirement already satisfied: tifffile>=2022.8.12 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from scikit-image->prefab) (2025.5.10)\n", - "Requirement already satisfied: lazy-loader>=0.4 in /home/frederikschubert/flexcompute/repos/worktrees/2_8_4/.venv/lib/python3.12/site-packages (from scikit-image->prefab) (0.4)\n", - "Note: you may need to restart the kernel to use updated packages.\n" - ] - } - ], - "source": [ - "%pip install --upgrade prefab" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "PreFab models operate on a serverless cloud platform. To initiate prediction requests, you must first create an account.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import webbrowser\n", - "\n", - "_ = webbrowser.open(\"https://www.prefabphotonics.com/signup\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "To associate your account, a token is required. This action will prompt a browser window to open, allowing you to log in and validate your token.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Started token authentication flow on the web browser...\n", - "Token verified.\n", - "Token successfully stored in /home/frederikschubert/.prefab.toml.\n", - "\n", - "🎉 Welcome to PreFab!.\n", - "See our examples at https://docs.prefabphotonics.com/examples to start.\n", - "Reach out to us at hi@prefabphotonics.com if you have any questions.\n" - ] - } - ], - "source": [ - "!python3 -m prefab setup" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Load starting designs\n", - "\n", - "The pre-optimized device is loaded from a GDS file included in `misc/`, showcasing numerous intricate features that stand in contrast to those in the previous notebook. Ideally, we should include the waveguide at this stage due to potential interface variations. However, for the sake of this demonstration, we'll simplify the process.\n", - "\n", - "First, let's set some global variables defining where the files will be stored.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# gds file storing original design, and where we'll write the final design in a new cell\n", - "GDS_FILE = \"misc/prefab_gc.gds\"\n", - "GDS_CELL_START = \"gc\"\n", - "GDS_CELL_FINAL = \"gc_tidy_prefab\"\n", - "\n", - "# base tidy3d.Simulation (without grating coupler)\n", - "SIM_BASE_FILE = \"misc/prefab_base_sim.hdf5\"" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The `hdf5` file stores a base `td.Simulation` with no grating coupler added. We'll use this as the starting point for our analysis.\n", - "\n", - "The grating coupler structure converts a vertically incident Gaussian-like mode from an optical fiber into a guided mode and then funnels it into the $Si$ waveguide. We are considering a full-etched grating structure, so a $SiO_{2}$ BOX layer is included. To reduce backreflection, we adjusted the fiber tilt angle to $10^{\\circ}$ [[1](https://doi.org/10.1364/OE.23.022628), [2](https://doi.org/10.3390/mi11070666)].\n", - "\n", - "Let's visualize it below.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
08:35:36 CEST WARNING: updating Simulation from 2.5 to 2.8                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:35:36 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: updating Simulation from \u001b[0m\u001b[1;36m2.5\u001b[0m\u001b[31m to \u001b[0m\u001b[1;36m2.8\u001b[0m\u001b[31m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "\n", - "
\n", - " \n", - " " - ], - "text/plain": [ - "" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# load the base simulation (no grating coupler)\n", - "sim_base = td.Simulation.from_file(SIM_BASE_FILE)\n", - "\n", - "sim_base.plot_3d()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The `gds` file stores our starting device, which was obtained from the grating coupler inverse design [notebook](https://docs.flexcompute.com/projects/tidy3d/en/latest/notebooks/AdjointPlugin6GratingCoupler.html) with no extra fabrication penalty included.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import prefab as pf\n", - "\n", - "device = pf.read.from_gds(gds_path=GDS_FILE, cell_name=GDS_CELL_START)\n", - "device.plot()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can combine the base simulation and the device design with the following function, which takes a `device` array, constructs a `td.Structure` and adds it to a copy of the base `Simulation`.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "def make_simulation(device: np.ndarray) -> td.Simulation:\n", - " \"\"\"Add a grating coupler from a given device array.\"\"\"\n", - "\n", - " # grab some material and geometric parameters from the base simulation and waveguide\n", - " waveguide = sim_base.structures[0]\n", - " eps_min = sim_base.medium.permittivity\n", - " eps_max = waveguide.medium.permittivity\n", - " w_thick = waveguide.geometry.size[2]\n", - "\n", - " # construct the grating coupler out of the parameters\n", - " eps_values = eps_min + (eps_max - eps_min) * device\n", - " dev_width = device.shape[1] / 1000\n", - " dev_height = device.shape[0] / 1000\n", - " Nx, Ny = eps_values.shape\n", - " X = np.linspace(-dev_width / 2, dev_width / 2, Nx)\n", - " Y = np.linspace(-dev_height / 2, dev_height / 2, Ny)\n", - " Z = np.array([0])\n", - " eps_array = td.SpatialDataArray(np.expand_dims(eps_values, axis=-1), coords=dict(x=X, y=Y, z=Z))\n", - " gc = td.Structure(\n", - " geometry=td.Box(center=(0, 0, 0), size=(td.inf, td.inf, w_thick)),\n", - " medium=td.CustomMedium.from_eps_raw(eps_array),\n", - " )\n", - "\n", - " # return a copy of the base simulation with the grating coupler added (make sure it's added FIRST as it overwrites others)\n", - " all_structures = [gc] + list(sim_base.structures)\n", - "\n", - " return sim_base.updated_copy(structures=all_structures)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's test this function out and view our starting, un-corrected device.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "sim = make_simulation(device.to_ndarray().squeeze(-1))" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = sim.plot_eps(z=0, monitor_alpha=0.0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "> note: the orange box indicates a symmetry region.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Apply PreFab models\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We're now ready to predict, correct, and anticipate the final outcome of the device using a model based on [Applied Nanotools'](https://www.appliednt.com/nanosoi-fabrication-service/) silicon photonics process. The prediction will take a few seconds to complete.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Prediction: 100%|\u001b[32m██████████████████████████████\u001b[0m| 100/100 [00:24<00:00, 4.09%/s]\u001b[32m\u001b[0m\n" - ] - } - ], - "source": [ - "MODEL_NAME = \"ANT_NanoSOI_ANF1_d10\"\n", - "\n", - "prediction = device.predict(model=pf.models[MODEL_NAME], binarize=False)" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Correction: 100%|\u001b[32m██████████████████████████████\u001b[0m| 100/100 [00:17<00:00, 5.69%/s]\u001b[32m\u001b[0m\n", - "Prediction: 100%|\u001b[32m██████████████████████████████\u001b[0m| 100/100 [00:19<00:00, 5.08%/s]\u001b[32m\u001b[0m\n" - ] - } - ], - "source": [ - "correction = device.correct(model=pf.models[MODEL_NAME], binarize=True)\n", - "outcome = correction.predict(model=pf.models[MODEL_NAME], binarize=False)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now we plot the predictions and corrections. Upon a closer look at the device's variations, we see several fuzzy areas around the edges of the prediction. These fuzzy spots represent areas of uncertainty in the design and the expected variance on the chip, especially in smaller, complex features. The prediction also shows many rounded corners, bridged gaps, and filled holes, indicating further changes during fabrication.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "xs, ys, zoom_size = 2000, 1300, 1000\n", - "zoom_bounds = ((xs, ys), (xs + zoom_size, ys + zoom_size))\n", - "titles = [\"Device\", \"Prediction\", \"Correction\", \"Outcome\"]\n", - "fig, axs = plt.subplots(2, 4, figsize=(20, 10))\n", - "for i, (title, data) in enumerate(zip(titles, [device, prediction, correction, outcome])):\n", - " data.plot(ax=axs[0, i])\n", - " axs[0, i].set_title(title)\n", - " data.plot(bounds=zoom_bounds, ax=axs[1, i])\n", - " axs[1, i].set_title(title + \" Zoomed\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Below, the images provide a visualization of prediction binarizations at different levels of uncertainty. Notably, binarization at a 50% threshold has the highest probability of occurrence, with the probability decreasing as the threshold moves towards 0% or 100%. By thresholding the raw prediction output, we can see the various potential variations in the design. **The magenta contour overlaid on these images serves as a reference to the original design.**\n", - "\n", - "While we can mitigate this uncertainty somewhat by applying corrections to create larger features, some uncertainty will inevitably remain. In this case, the prediction of the correction (outcome) shows a near-complete restoration, which is quite promising.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "xs, ys, zoom_size = 2000, 1300, 1000\n", - "zoom_bounds = ((xs, ys), (xs + zoom_size, ys + zoom_size))\n", - "fig, axs = plt.subplots(2, 4, figsize=(20, 10))\n", - "for i, eta in enumerate([None, 0.5, 0.3, 0.7]):\n", - " if eta is None:\n", - " axs[0, i].set_title(\"Raw Prediction\")\n", - " axs[1, i].set_title(\"Raw Outcome\")\n", - " prediction.plot(bounds=zoom_bounds, ax=axs[0, i])\n", - " device.plot_contour(\n", - " bounds=zoom_bounds,\n", - " ax=axs[0, i],\n", - " linewidth=16,\n", - " )\n", - " outcome.plot(bounds=zoom_bounds, ax=axs[1, i])\n", - " device.plot_contour(\n", - " bounds=zoom_bounds,\n", - " ax=axs[1, i],\n", - " linewidth=16,\n", - " )\n", - " else:\n", - " axs[0, i].set_title(f\"Binarized Prediction ({int(eta * 1000)}% Threshold)\")\n", - " axs[1, i].set_title(f\"Binarized Outcome ({int(eta * 100)}% Threshold)\")\n", - " prediction.binarize_hard(eta=eta).plot(bounds=zoom_bounds, ax=axs[0, i])\n", - " device.binarize_hard(eta=eta).plot_contour(\n", - " bounds=zoom_bounds,\n", - " ax=axs[0, i],\n", - " linewidth=16,\n", - " )\n", - " outcome.binarize_hard(eta=eta).plot(bounds=zoom_bounds, ax=axs[1, i])\n", - " device.binarize_hard(eta=eta).plot_contour(\n", - " bounds=zoom_bounds,\n", - " ax=axs[1, i],\n", - " linewidth=16,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Test PreFab predictions in simulation\n", - "\n", - "Next, we will prepare the device variations for re-simulation. To understand the stochastic, or random, variations from one device to another, we will simulate the predictions at different binarization thresholds. This is somewhat akin to uniform erosion and dilation tests, but it is data-driven and varies depending on the feature. Consequently, we will observe less variance for larger features and more variance for smaller ones.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we write a function to simulate a set of devices in parallel using `tidy3d.web.Batch`, which we'll use to analyze the performance over various threshold values.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [], - "source": [ - "def run_simulations(devices: list[np.ndarray], task_names: list[str]) -> td.web.BatchData:\n", - " \"\"\"Construct and run a set of simulations in a batch.\"\"\"\n", - " sims = {\n", - " task_name: make_simulation(device.to_ndarray().squeeze(-1))\n", - " for device, task_name in zip(devices, task_names)\n", - " }\n", - " batch = web.Batch(simulations=sims)\n", - " return batch.run(path_dir=\"data\")" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [], - "source": [ - "etas = list(np.arange(0.2, 0.9, 0.1))\n", - "\n", - "task_names = []\n", - "devices = []\n", - "\n", - "# dev simulation\n", - "task_names.append(\"inv_des_gc_dev\")\n", - "devices.append(device)\n", - "\n", - "# predictions simulations (vs eta)\n", - "for eta in etas:\n", - " task_names.append(f\"inv_des_gc_pred_bin{int(eta * 100)}\")\n", - " device_prediction = prediction.binarize_hard(eta=eta)\n", - " devices.append(device_prediction)\n", - "\n", - "# outcome simulations (vs eta)\n", - "for eta in etas:\n", - " task_names.append(f\"inv_des_gc_out_bin{int(eta * 100)}\")\n", - " device_outcome = outcome.binarize_hard(eta=eta)\n", - " devices.append(device_outcome)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "48d588f132f34dfcb94edfa0b3b8dd12", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
08:37:52 CEST Started working on Batch containing 15 tasks.                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:37:52 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m15\u001b[0m tasks. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:39:40 CEST Maximum FlexCredit cost: 2.890 for the whole batch.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:39:40 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m2.890\u001b[0m for the whole batch. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "250f03ca937c48409805d039549e1374", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:39:53 CEST Batch complete.                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:39:53 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "4dcc044e178b4291b16ac21d28a768ab",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    }
-   ],
-   "source": [
-    "batch_data = run_simulations(devices=devices, task_names=task_names)"
-   ]
-  },
-  {
-   "cell_type": "code",
-   "execution_count": 18,
-   "metadata": {},
-   "outputs": [
-    {
-     "data": {
-      "text/html": [
-       "
08:43:50 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:43:50 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:43:53 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:43:53 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:43:55 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:43:55 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:43:58 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:43:58 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:00 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:00 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:03 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:03 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:05 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:05 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:08 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:08 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:10 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:10 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:13 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:13 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:16 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:16 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:18 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:18 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:21 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:21 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:23 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:23 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
08:44:26 CEST WARNING: Warning messages were found in the solver log. For more  \n",
-       "              information, check 'SimulationData.log' or use                    \n",
-       "              'web.download_log(task_id)'.                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m08:44:26 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Warning messages were found in the solver log. For more \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minformation, check \u001b[0m\u001b[32m'SimulationData.log'\u001b[0m\u001b[31m or use \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.download_log\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# extract the various sim_data from the batch data output\n", - "sim_data_dev = batch_data[\"inv_des_gc_dev\"]\n", - "sim_data_pred = {eta: batch_data[f\"inv_des_gc_pred_bin{int(eta * 100)}\"] for eta in etas}\n", - "sim_data_out = {eta: batch_data[f\"inv_des_gc_out_bin{int(eta * 100)}\"] for eta in etas}" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def calculate_loss(sim_data_dict: dict) -> dict:\n", - " \"\"\"Extract the loss (dB) from the simulation data results.\"\"\"\n", - " loss_db_dict = {}\n", - " for eta, sim_data in sim_data_dict.items():\n", - " mode_amps = sim_data[\"gc_efficiency\"]\n", - " coeffs_f = mode_amps.amps.sel(direction=\"-\")\n", - " power_0 = np.abs(coeffs_f.sel(mode_index=0)) ** 2\n", - " power_0_db = 10 * np.log10(power_0)\n", - " loss_db = max(power_0_db)\n", - " loss_db_dict[eta] = loss_db\n", - " return loss_db_dict\n", - "\n", - "\n", - "loss_db_dev = calculate_loss({0.5: sim_data_dev})\n", - "loss_db_pred = calculate_loss(sim_data_pred)\n", - "loss_db_out = calculate_loss(sim_data_out)\n", - "\n", - "etas = list(loss_db_pred.keys())\n", - "etas_dev = [0.5]\n", - "losses_pred = [loss_db_pred[eta] for eta in etas]\n", - "losses_out = [loss_db_out[eta] for eta in etas]\n", - "losses_dev = [loss_db_dev[0.5]]\n", - "losses_orig = [-2.30]\n", - "\n", - "plt.figure(figsize=(10, 6))\n", - "plt.plot(0.5, losses_orig[0], \"r*\", label=\"Nominal (Constrained)\", markersize=20)\n", - "plt.plot(etas_dev, losses_dev, \"*\", label=\"Nominal (Unconstrained)\", markersize=20)\n", - "plt.plot(etas, losses_pred, \"s-\", label=\"Prediction (Unconstrained) Without Correction\")\n", - "plt.plot(etas, losses_out, \"^-\", label=\"Prediction (Unconstrained) With Correction\")\n", - "plt.xlabel(\"Prediction Binarization Threshold (0.5 is most likely)\")\n", - "plt.ylabel(\"Loss (dB)\")\n", - "plt.title(\"Predicted Variance of Grating Coupler Loss\")\n", - "plt.legend()\n", - "plt.grid(True)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The optimization process without constraints has significantly enhanced performance, achieving a lower loss of -1.85 dB compared to the -2.30 dB observed in the previous notebook. However, when considering predicted variations, the performance of this new design slightly deteriorates to -2.34 dB. Nevertheless, by applying specific corrections, we demonstrate that the anticipated chip-level performance can be restored back to -1.85 dB. Through the adjustment of the binarization threshold within the uncertainty range of the predictions, we are able to assess the expected variance between devices. This not only underscores the substantial advantages of PreFab correction but also deepens our comprehension of the fabrication process's capabilities.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Use the following code block to export your predictions and corrections. This will write the refined design into a new cell in the original GDS file located in `misc/`.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [], - "source": [ - "import gdstk\n", - "\n", - "gds_library = gdstk.read_gds(infile=GDS_FILE)\n", - "\n", - "device_cell = device.to_gdstk(cell_name=\"gc_device\", gds_layer=(1, 0))\n", - "prediction_cell = prediction.binarize().to_gdstk(cell_name=\"gc_prediction\", gds_layer=(9, 0))\n", - "correction_cell = correction.to_gdstk(\n", - " cell_name=\"gc_correction\", gds_layer=(90, 0), contour_approx_mode=3\n", - ")\n", - "outcome_cell = outcome.binarize().to_gdstk(cell_name=\"gc_outcome\", gds_layer=(800, 0))\n", - "\n", - "gc_cell = gds_library.new_cell(GDS_CELL_FINAL)\n", - "gds_library.add(prediction_cell)\n", - "gds_library.add(correction_cell)\n", - "gds_library.add(outcome_cell)\n", - "gds_library.add(device_cell)\n", - "origin = (-prediction.shape[1] / 2 / 1000, -prediction.shape[0] / 2 / 1000)\n", - "gds_library[GDS_CELL_FINAL].add(gdstk.Reference(cell=device_cell, origin=origin))\n", - "gds_library[GDS_CELL_FINAL].add(gdstk.Reference(cell=prediction_cell, origin=origin))\n", - "gds_library[GDS_CELL_FINAL].add(gdstk.Reference(cell=correction_cell, origin=origin))\n", - "gds_library[GDS_CELL_FINAL].add(gdstk.Reference(cell=outcome_cell, origin=origin))\n", - "gds_library.write_gds(outfile=GDS_FILE, max_points=8190)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "If you're interested in learning more about PreFab, please visit the [website](https://www.prefabphotonics.com/) and [GitHub page](https://github.com/PreFab-Photonics). There, you'll find more resources and examples to help you get the most out of the tools.\n" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates the inverse design of a compact 3D grating coupler with machine learning-based fabrication constraints.", - "feature_image": "", - "kernelspec": { - "display_name": ".venv", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, grating coupler, photonic integrated circuits, machine learning, design optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.3" - }, - "nbdime-conflicts": { - "local_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "9" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ], - "remote_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "12" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ] - }, - "title": "Machine learning-based fabrication constraints for inverse design using PreFab | Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "066ae3cd7b2843d6ac0977f73d1373ff": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "1377d3a4074543dc8772ada613a50024": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6bf536a19d7241258dd64a0053933ea1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "2ee47e11bd644b928d4e1958161923bb": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_91395efbed0d4032b01f7d69e8722e11", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━ 100.0%77.0/77.0 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m77.0/77.0 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "509db80a6caf47edb14635ddd1380a94": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "699ec8475ab7423c9745a72caefeecde": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d0b35e0ff7244f9bad5f047689ee6aef", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "6bf536a19d7241258dd64a0053933ea1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7102600812d14200a8e80dc3bbb4b1b1": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_509db80a6caf47edb14635ddd1380a94", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 2.07e-04) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 2.07e-04) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "91395efbed0d4032b01f7d69e8722e11": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c2f0576e0ecd418eb80b2449d5c3a2e8": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_066ae3cd7b2843d6ac0977f73d1373ff", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━ 100.0%4.2/4.2 MB19.0 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m4.2/4.2 MB\u001b[0m • \u001b[31m19.0 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d0b35e0ff7244f9bad5f047689ee6aef": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} diff --git a/AdjointPlugin1Intro.ipynb b/AdjointPlugin1Intro.ipynb deleted file mode 100644 index 33c34cee..00000000 --- a/AdjointPlugin1Intro.ipynb +++ /dev/null @@ -1,2588 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "0dff81f1-150e-4132-a41f-7d5b32dbae1d", - "metadata": {}, - "source": [ - "# Jax, automatic differentiation, and adjoint optimization: basics\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd1Intro/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "## Introduction\n", - "\n", - "In this notebook, we will introduce the `adjoint` plugin of Tidy3D.\n", - "The `adjoint` plugin allows users to take derivatives of arbitrary functions involving Tidy3D simulations through the use of the \"adjoint method\".\n", - "The advantage of the adjoint method is that the gradients can be computed using only **two** FDTD simulations, independent of the number of parameters.\n", - "This makes it possible to do gradient-based optimization or sensitivity analysis of devices with enormous numbers of parameters with minimal computational overhead.\n", - "For more information on the technical details of the adjoint method and what it can be used for, we recommend these references (with links to their pre-print versions):\n", - "\n", - "* [Gradient-based \"inverse design\" optimization in photonics](https://arxiv.org/pdf/1801.06715.pdf).\n", - "\n", - "* [Adjoint method for electromagnetics](https://arxiv.org/abs/1908.10507)\n", - "\n", - "If you want to skip to some case studies of the `adjoint` plugin being used for some applications, see the\n", - "\n", - "\n", - "* [Quickstart Inverse Design Demo](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin0Quickstart/).\n", - "\n", - "* [Gradient Checking Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin2GradientChecking/).\n", - "\n", - "* [Inverse Design Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/).\n", - "\n", - "* [Multi-Objective Gradient Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin4MultiObjective/).\n", - "\n", - "* [Boundary Gradients Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/).\n", - "\n", - "* [Grating Coupler Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/).\n", - "\n", - "* [Metalens Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin7Metalens/).\n", - "\n", - "\n", - "### Function differentiation\n", - "\n", - "The adjoint package enables users to take derivatives of functions that involve a Tidy3D simulation. For a bit of context, let's first talk about what we mean when we talk about differentiating functions in our programs.\n", - "Say in our program we have programmatically defined a function of one variable $f(x)$. For example:\n", - "```py\n", - "def f(x):\n", - " return x**2\n", - "```\n", - "\n", - "Now, we wish to evaluate $\\frac{df(x)}{dx}$.\n", - "\n", - "If we know $\\frac{df}{dx}$ analytically, this is just a matter of writing a new function to compute this derivative, for example:\n", - "\n", - "```py\n", - "def df(x):\n", - " return 2*x\n", - "```\n", - "However, many of the more interesting and complex functions tend to compose several sub-functions together, for example\n", - "$$f(x) = h(y) = h(g(x))$$\n", - "might be written in a program as\n", - "\n", - "```py\n", - "def f(x):\n", - " y = x**2\n", - " return 5 * y - y**3\n", - "```\n", - "\n", - "As one can imagine, defining the derivative by hand can quickly become too daunting of a task as the complexity grows.\n", - "\n", - "However, we can simplify things greatly with knowledge of the derivatives of the simpler functions that make up $f$.\n", - "Following the chain rule, we can write the derivative of $f$ above as\n", - "$$\\frac{df}{dx}=\\frac{dh}{dy}\\frac{dg}{dx}(x)$$\n", - "\n", - "Thus, if we know the derivatives of the composite functions $h$ and $g$, we can construct the derivative of $f$ by multiplying all of the derivatives of the composite functions.\n", - "This idea is straightforwardly generalized to functions of several inputs and outputs, and even functions that can be written more generally as a more complex \"computational graph\" rather than a sequence of operations.\n", - "\n", - "### Automatic differentiation\n", - "\n", - "The idea of a technique called \"automatic differentiation\" is to provide a way to compute these derivatives of composite functions in programming languages both efficiently and without the user needing to define anything by hand.\n", - "\n", - "Automatic differentiation works by defining \"derivative rules\" for each fundamental operation that the user might incorporate in his or her function. For example, derivative rules for `h` and `g`, in the example above may be used to help define the derivative for `f`. When the function is evaluated, all of the derivative information corresponding to each operation in the function are stitched together using the chain rule to construct a derivative for the entire function. Thus, functions of arbitrary complexity can be differentiated without deriving anything beyond just the derivative rules for the most basic operations contained within.\n", - "\n", - "This capability is provided by many programming packages, but we chose to utilize one from the [\"jax\"](https://jax.readthedocs.io/en/latest/) package as it provides the flexibility and extendibility we needed for integrating this functionality into Tidy3D.\n", - "\n", - "Using `jax`, we may write a function $f$ using most of the fundamental operations in python and `numpy`. In `jax` both the operations and their derivatives are tracked when the function is called. Thus, `jax` gives the option to apply `jax.grad` to this function, which uses all of the derivative information and the chain rule to construct a new function that gives the derivative of the function with respect to its input arguments.\n", - "\n", - "This brings us back to the `adjoint` plugin. The point of the `adjoint` plugin is to extend `jax`'s automatic differentiation capability to allow it to track functions **that involve Tidy3D simulations** in their computational graph. In essence, we provide the \"derivative\" of the `tidy3d.web.run()` function, using the adjoint method, to tell jax how to differentiate functions that might involve both the setting up and postprocessing of a tidy3d simulation and its data. The end result is a framework where users can set up modeling and optimizations and utilize jax automatic differentiation for optimization and sensitivity analysis efficiently and without needing to derive a single derivative rule.\n", - "\n", - "In his notebook, we will give an overview of how `jax` works for beginners and provide simple example of the plugin. More complex case studies and examples will be provided in other notebooks, linked here:\n", - "\n", - "\n", - "* [Gradient Checking Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin2GradientChecking/).\n", - "\n", - "* [Inverse Design Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/).\n", - "\n", - "* [Multi-Objective Gradient Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin4MultiObjective/).\n", - "\n", - "* [Boundary Gradients Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/).\n", - "\n", - "* [Grating Coupler Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/).\n", - "\n", - "* [Metalens Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin7Metalens/).\n" - ] - }, - { - "cell_type": "markdown", - "id": "87d26e12-3c03-432d-9cf4-61f0b03a8608", - "metadata": {}, - "source": [ - "## Automatic Differentiation using `jax`\n", - "\n", - "Before jumping into any Tidy3D simulations, we will give a bit of a primer on using jax for automatic differentiation. For more details, we highly recommend checking out jax's [tutorial on the subject](https://jax.readthedocs.io/en/latest/notebooks/autodiff_cookbook.html), as we'll be covering a lot of the same topics but in less depth.\n", - "\n", - "First, we will import `jax` and its `numpy` wrapper, which provides most of the same functionality, but allows derivative tracking.\n", - "\n", - "Tip 1: if you run into an obscure error using `jax`, the first thing to check is whether you're using the `jax.numpy` wrapper instead of regular `numpy` in your function, as otherwise you will get errors from `jax` that are not super clear." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "d1ce429f-3183-4f7f-8b16-dda847239742", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:44.182840Z", - "iopub.status.busy": "2023-08-17T23:06:44.182571Z", - "iopub.status.idle": "2023-08-17T23:06:45.262890Z", - "shell.execute_reply": "2023-08-17T23:06:45.262309Z" - } - }, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt" - ] - }, - { - "cell_type": "markdown", - "id": "b5d017ba-6dc9-4cc0-b226-2b3c3438e0d5", - "metadata": {}, - "source": [ - "Say we have a function $f$ that performs several operations on a single variable.\n", - "\n", - "We can define this function `f` in python and also derive its derivative for this simple case, which we write as a function `df`." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "c501ff19-8e46-4aaf-86dd-89a97732dfa0", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:45.265535Z", - "iopub.status.busy": "2023-08-17T23:06:45.265339Z", - "iopub.status.idle": "2023-08-17T23:06:45.277891Z", - "shell.execute_reply": "2023-08-17T23:06:45.277292Z" - } - }, - "outputs": [], - "source": [ - "def f(x):\n", - " return 5 * jnp.sin(x) - x**2 + x\n", - "\n", - "\n", - "def df(x):\n", - " return 5 * jnp.cos(x) - 2 * x + 1" - ] - }, - { - "cell_type": "markdown", - "id": "434d1841-45e5-48a3-986c-56e630badd2d", - "metadata": {}, - "source": [ - "Let's evaluate these functions at several points and plot them." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "237ede5f-0a7d-45cf-927b-dcb2a9b57d9c", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:45.280148Z", - "iopub.status.busy": "2023-08-17T23:06:45.279974Z", - "iopub.status.idle": "2023-08-17T23:06:46.023332Z", - "shell.execute_reply": "2023-08-17T23:06:46.022669Z" - } - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "xs = jnp.linspace(-1, 3, 1001)\n", - "plt.plot(xs, f(xs), label=\"f(x)\")\n", - "plt.plot(xs, df(xs), label=\"df/dx(x)\")\n", - "plt.xlabel(\"x\")\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "3e9915a8-59e9-4209-bf29-bd21dcda84a3", - "metadata": {}, - "source": [ - "Now let's use jax to compute the derivative for us and see how it compares to our analytical derivative.\n", - "\n", - "We first call `jax.grad(f)`, which returns a new function that can be evaluated at `x` to give the derivative `df/dx(x)`.\n", - "\n", - "For more details on `jax.grad` and the various other inputs it can take, refer to its documentation [here](https://jax.readthedocs.io/en/latest/_autosummary/jax.grad.html)." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "f846ded9-26ac-4778-b637-56768b07dd6e", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:46.025865Z", - "iopub.status.busy": "2023-08-17T23:06:46.025693Z", - "iopub.status.idle": "2023-08-17T23:06:46.497467Z", - "shell.execute_reply": "2023-08-17T23:06:46.496908Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# get the gradient as a function of x\n", - "df_jax = jax.grad(f)\n", - "\n", - "# get a set of points to feed the derivative function one by one (for now)\n", - "xs_jax = jnp.linspace(-1, 3, 21)\n", - "df_jax_eval = [df_jax(x) for x in xs_jax]\n", - "\n", - "plt.plot(xs, f(xs), label=\"f(x)\")\n", - "plt.plot(xs, df(xs), label=\"df/dx(x) [analytical]\")\n", - "plt.plot(xs_jax, df_jax_eval, \"k.\", label=\"df/dx(x) [using jax]\")\n", - "plt.xlabel(\"x\")\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "be79405a-621a-4170-9799-ef65efc68335", - "metadata": {}, - "source": [ - "Note: `jax` provides several other useful gradient wrappers, which can be used in different contexts. \n", - "\n", - "For example [jax.value_and_grad](https://jax.readthedocs.io/en/latest/_autosummary/jax.value_and_grad.html) returns both the function return value and the gradient value, which is useful to avoid repetitive computation if you need the value as the `jax.grad` call must evaluate `f`." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "9d26f7d1-124b-4e0d-9f34-75cbf4030ad9", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:46.499610Z", - "iopub.status.busy": "2023-08-17T23:06:46.499433Z", - "iopub.status.idle": "2023-08-17T23:06:46.765046Z", - "shell.execute_reply": "2023-08-17T23:06:46.764493Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f_and_df = jax.value_and_grad(f)\n", - "vals_and_grads = [f_and_df(x) for x in xs_jax]\n", - "fs, dfs = list(zip(*vals_and_grads))\n", - "\n", - "plt.plot(xs_jax, fs, label=\"f(x)\")\n", - "plt.plot(xs, df(xs), label=\"df/dx(x) [analytical]\")\n", - "plt.plot(xs_jax, df_jax_eval, \"k.\", label=\"df/dx(x) [using jax]\")\n", - "plt.xlabel(\"x\")\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "a727c6f0-b26a-4b3e-9582-148098f136ec", - "metadata": {}, - "source": [ - "We can also take an elementwise gradient using [jax.vmap](https://jax.readthedocs.io/en/latest/_autosummary/jax.vmap.html), which vectorizes our gradient function." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "9abb7392-9e0c-4cd6-8fd3-6ce78f563fce", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:46.768237Z", - "iopub.status.busy": "2023-08-17T23:06:46.767494Z", - "iopub.status.idle": "2023-08-17T23:06:47.071598Z", - "shell.execute_reply": "2023-08-17T23:06:47.071035Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "df_jax_vectorized = jax.vmap(jax.grad(f))\n", - "\n", - "# get a set of points to feed the derivative function one by one (for now)\n", - "df_jax_eval = df_jax_vectorized(xs)\n", - "\n", - "plt.plot(xs, f(xs), label=\"f(x)\")\n", - "plt.plot(xs, df(xs), label=\"df/dx(x) [analytical]\")\n", - "plt.plot(xs, df_jax_eval, \"k-.\", label=\"df/dx(x) [using jax]\")\n", - "plt.xlabel(\"x\")\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "18a7936e-9919-4ace-85bf-d8c14d124649", - "metadata": {}, - "source": [ - "Before we continue, there are a few things to watch out for when using jax for gradient calculation:\n", - "\n", - "1. `jax.grad` outputs doesn't automatically convert input arguments from `int` to `float`, so avoid passing `int` types to your functions." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "d648c131-b674-49a7-b441-ca6569e6540d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:47.073751Z", - "iopub.status.busy": "2023-08-17T23:06:47.073574Z", - "iopub.status.idle": "2023-08-17T23:06:47.225991Z", - "shell.execute_reply": "2023-08-17T23:06:47.225307Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1.7015114\n", - "TypeError('grad requires real- or complex-valued inputs (input dtype that is a sub-dtype of np.inexact), but got int32. If you want to use Boolean- or integer-valued inputs, use vjp or set allow_int to True.')\n" - ] - } - ], - "source": [ - "# ok\n", - "print(df_jax(1.0))\n", - "\n", - "# errors\n", - "try:\n", - " df_jax(1)\n", - "except TypeError as e:\n", - " print(repr(e))" - ] - }, - { - "cell_type": "markdown", - "id": "003ef198-e0a2-495f-844e-adeff0563c1d", - "metadata": {}, - "source": [ - "2. When differentiating with respect to several arguments, you need to tell `jax.grad` which arguments you want to take the derivative with respect to as a tuple in indices. Otherwise it will take the derivative with respect to only the first argument." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "b42164ce-41df-4bb2-8e23-eb11b2a45547", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:47.228297Z", - "iopub.status.busy": "2023-08-17T23:06:47.228085Z", - "iopub.status.idle": "2023-08-17T23:06:47.350710Z", - "shell.execute_reply": "2023-08-17T23:06:47.350178Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "dgdx=1.0\n", - "dgdx=1.0, dgdy=1.0, dgdz=2.0\n" - ] - } - ], - "source": [ - "def g(x, y, z):\n", - " return x * y + z**2\n", - "\n", - "\n", - "# only gives dg/dx\n", - "dg = jax.grad(g)\n", - "dgdx = dg(1.0, 1.0, 1.0)\n", - "print(f\"dgdx={dgdx}\")\n", - "\n", - "# gives derivative w.r.t. all three args\n", - "dg_all = jax.grad(g, argnums=(0, 1, 2))\n", - "dgdx, dgdy, dgdz = dg_all(1.0, 1.0, 1.0)\n", - "print(f\"dgdx={dgdx}, dgdy={dgdy}, dgdz={dgdz}\")" - ] - }, - { - "cell_type": "markdown", - "id": "cbb36cd5-fef1-47d1-b25f-1fb7cc0d882b", - "metadata": {}, - "source": [ - "## Incorporating Automatic Differentiation in `Tidy3D`\n", - "\n", - "With that basic introduction to automatic differentiation using `jax`, we can now show how the `tidy3d.plugins.adjoint`lets us do the same thing but where our functions can now involve setting up, running, and postprocessing a `tidy3d.Simulation`.\n", - "\n", - "We'll need to import special `Jax` components from the adjoint plugin for this to work. These components are registered with `jax` so it knows how to handle them in its automatic differentiation pipeline.\n", - "\n", - "We'll also need to import a special `web.run()` wrapper from the plugin. This [tidy3d.plugins.adjoint.web.run](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.web.run.html) function operates the same as `web.run()`, except its derivative rules are defined in the backend using the adjoint method. Thus, `jax` will know how to \"differentiate\" [tidy3d.plugins.adjoint.web.run](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.web.run.html) and it can be used within a function that we want to take derivatives with respect to.\n", - "\n", - "\n", - "Here is a diagram showing how the input arguments of our function `f` are related to the `JaxSimulation` and how the `JaxSimulationData` output of `tidy3d.plugins.adjoint.web.run` is related to the return value of `f`. This diagram can be a useful reference when moving through this section.\n", - "\n", - "\"Diagram\n", - "\n", - "### Introducing `JaxSimulation`\n", - "\n", - "Now we will show how to set up a very simple function using the adjoint plugin and differentiate it.\n", - "\n", - "First, both our `Simulation` and some of its `.structures` may be dependent on the function arguments. Therefore, we need to use a special `Simulation` subclass called [JaxSimulation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulation.html).\n", - "\n", - "[JaxSimulation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulation.html) is just a `jax`-compatible stand in for `Simulation` and behaves almost entirely the same, except for a few important differences:\n", - "\n", - "1. it accepts an additional field `.input_structures`. These `input_structures` are tidy3d structures that can depend on the function arguments, and therefore need to be, `jax`-compatible, themselves. Thus, the structures used in this field must be of the `jax`-compatible type [JaxStructure](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxStructure.html), which itself contains a `.medium` field of type [JaxMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxMedium.html) and a `.geometry` field that is of type [JaxBox](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxBox.html). The `center`, `size`, and `permittivity` fields of these components may all depend on the function input arguments and the final gradients of the function will be given with respect to the values these fields.\n", - "\n", - "> At the time of publishing, only `JaxStructures` with geometry of `JaxBox` are supported. However, the medium may contain [JaxMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxMedium.html), [JaxAnisotropicMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxAnisotropicMedium.html), or [JaxCustomMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxCustomMedium.html). \n", - "\n", - "> Any extraneous `Structures` can be added to the `JaxSimulation.structures` as long as they don't depend on the function arguments. These structures will still influence the simulation result as normal, but are \"static\". \n", - "\n", - "> The `input_structures` are always assumed to be added *on top of* the existing `.structures`.\n", - "\n", - "2. it accepts another additional field `.output_monitors`, which define the set of monitors with corresponding data that the return value of our function will depend on. Note that at the time of writing, only `ModeMonitor` are supported.\n", - "\n", - "3. to convert a `JaxSimulation` to a `Simulation`, one may call `sim, info = JaxSimulation.to_simulation()` where the `sim` is the `Simulation` with all `structures` and `monitors` put together and `info` is just a special information container that is needed to reconstruct the `JaxSimulation` from `sim` using `JaxSimulation.from_simulation(sim, info)`.\n", - "\n", - "Let us now import these new `Jax` Tidy3d types and use them in an example." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "f304ea94-34df-4741-ab99-87003884eee7", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:47.353341Z", - "iopub.status.busy": "2023-08-17T23:06:47.353097Z", - "iopub.status.idle": "2023-08-17T23:06:49.246131Z", - "shell.execute_reply": "2023-08-17T23:06:49.245477Z" - } - }, - "outputs": [], - "source": [ - "import tidy3d as td\n", - "from tidy3d.plugins.adjoint import JaxBox, JaxMedium, JaxSimulation, JaxStructure" - ] - }, - { - "cell_type": "markdown", - "id": "e54cc9fa-b5f2-4f62-b31e-3a0e1fa7acac", - "metadata": {}, - "source": [ - "### Simulation example\n", - "\n", - "In our example, we will set up a function that involves a simulation of transmission through a waveguide in the presence of a scatterer.\n", - "\n", - "This scatterer geometry and material properties will depend on the function input arguments.\n", - "\n", - "The output of the function will simply be the power transmitted into the 0th order mode.\n", - "\n", - "We will then take the gradient of the output of this function (power) with respect to the scatterer geometric and medium properties using `jax`.\n", - "\n", - "To start, it can often be helpful to break our function up into a few parts for debugging.\n", - "\n", - "Therefore, we will introduce one function to make the `JaxSimulation` given the input arguments and one function to postprocess the result." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "3cbe5188-a552-40db-a1e6-ddb4af0ead63", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.249003Z", - "iopub.status.busy": "2023-08-17T23:06:49.248691Z", - "iopub.status.idle": "2023-08-17T23:06:49.275338Z", - "shell.execute_reply": "2023-08-17T23:06:49.274709Z" - } - }, - "outputs": [], - "source": [ - "def make_simulation(center: float, size: float, eps: float) -> JaxSimulation:\n", - " \"\"\"Makes a simulation with a variable scatter width, height, and relative permittivity.\"\"\"\n", - "\n", - " wavelength = 1.0\n", - " freq0 = td.C_0 / wavelength\n", - " dl = 0.02\n", - "\n", - " # a \"static\" structure\n", - " waveguide = td.Structure(\n", - " geometry=td.Box(size=(td.inf, 0.3, 0.2)), medium=td.Medium(permittivity=2.0)\n", - " )\n", - "\n", - " # our \"forward\" source\n", - " mode_src = td.ModeSource(\n", - " size=(0, 1.5, 1.5),\n", - " center=(-0.9, 0, 0),\n", - " mode_index=0,\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=freq0 / 10),\n", - " direction=\"+\",\n", - " )\n", - "\n", - " # a monitor to store data that our overall function will depend on\n", - " mode_mnt = td.ModeMonitor(\n", - " size=(0, 1.5, 1.5),\n", - " center=(+0.9, 0, 0),\n", - " mode_spec=mode_src.mode_spec,\n", - " freqs=[freq0],\n", - " name=\"mode\",\n", - " )\n", - "\n", - " # the structure that depends on the input parameters, which we will differentiate our function w.r.t\n", - " scatterer = JaxStructure(\n", - " geometry=JaxBox(\n", - " center=center,\n", - " size=size,\n", - " ),\n", - " medium=JaxMedium(permittivity=eps),\n", - " )\n", - "\n", - " return JaxSimulation(\n", - " size=(2, 2, 2),\n", - " run_time=1e-12,\n", - " structures=[waveguide],\n", - " input_structures=[scatterer],\n", - " sources=[mode_src],\n", - " output_monitors=[mode_mnt],\n", - " boundary_spec=td.BoundarySpec.all_sides(td.PML()),\n", - " grid_spec=td.GridSpec.uniform(dl=dl),\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "f4dcb88e-490f-4579-bb7d-4166bd6a3be5", - "metadata": {}, - "source": [ - "> Note: adding `.monitors` to the `JaxSimulation` will work as intended, but the function being differentiated should not depend on data corresponding to these monitors. This can, however,be useful for debugging, for example by examining field patterns, as the data will still be accessible in the output data.\n", - "\n", - "Let's try setting up the simulation and plotting it for starters." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "f4da7dc4-b13b-4c62-8590-4877ed71422a", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.278111Z", - "iopub.status.busy": "2023-08-17T23:06:49.277951Z", - "iopub.status.idle": "2023-08-17T23:06:49.797425Z", - "shell.execute_reply": "2023-08-17T23:06:49.796862Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# starting set of input parameters\n", - "center0 = (0.0, 0.0, 0.0)\n", - "size0 = (0.5, 1.0, 1.0)\n", - "eps0 = 3.0\n", - "\n", - "jax_sim = make_simulation(center=center0, size=size0, eps=eps0)\n", - "_, axes = plt.subplots(1, 3, figsize=(16, 5))\n", - "\n", - "# sim, _ = jax_sim.to_simulation()\n", - "for ax, dim in zip(axes, \"xyz\"):\n", - " jax_sim.plot(**{dim: 0}, ax=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "a390df41-e293-4dbf-83e0-f5dff8e700cc", - "metadata": {}, - "source": [ - "### Post-processing the output data\n", - "\n", - "After the simulation is run, it returns a [JaxSimulation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulation.html), which is a jax-compatible subclass of `SimulationData`. In addition to the `.data` corresponding to the `.monitors` in the `JaxSimulation`, `JaxSimulationData` also stores a `.output_data`, which stores the data corresponding to `JaxSimulation.output_monitors`. It is the `.output_data` that our differentiable function should depend on. These output data objects are also `Jax` subclasses of `Tidy3d` data objects, so their functionality may be slightly different, but overall can be used in the same way.\n", - "\n", - "> Note: currently only `.sel()` selection is supported in jax-compatible DataArray objects. So you should use `.sel()` and pass the values you want to select instead of using `.interp()` or `isel()` \n", - "\n", - "Let's write a function that will postprocess our `JaxSimulationData` and return the power in the mode amplitude of our output mode monitor." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "5d5c14b9-cb22-4ced-aad8-834b29c5800b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.799869Z", - "iopub.status.busy": "2023-08-17T23:06:49.799721Z", - "iopub.status.idle": "2023-08-17T23:06:49.823824Z", - "shell.execute_reply": "2023-08-17T23:06:49.823131Z" - } - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint import JaxSimulationData\n", - "\n", - "\n", - "def compute_power(jax_sim_data: JaxSimulationData) -> float:\n", - " \"\"\"Post process the result of the JaxSimulation run to return the power in the mode at index=0.\"\"\"\n", - "\n", - " freq0 = jax_sim_data.simulation.output_monitors[0].freqs[0]\n", - " jax_mode_data = jax_sim_data.output_monitor_data[\"mode\"]\n", - " mode_amps = jax_mode_data.amps\n", - " amp = mode_amps.sel(direction=\"+\", f=freq0, mode_index=0)\n", - " return abs(amp) ** 2" - ] - }, - { - "cell_type": "markdown", - "id": "a49d64c6-7591-4b8b-bfa3-d09c1501d4b0", - "metadata": {}, - "source": [ - "### Defining the tidy3d simulation function for differentiation\n", - "\n", - "Next, we can import the `tidy3d.plugins.adjoint.web.run` function and put all the pieces together into a single function to compute the 0th order transmitted power as a function of `center`, `size`, and `eps` (relative permittivity) of the scatterer." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "7257472c-5db1-4b93-8cdb-24b3cc32775d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.826490Z", - "iopub.status.busy": "2023-08-17T23:06:49.826325Z", - "iopub.status.idle": "2023-08-17T23:06:49.848139Z", - "shell.execute_reply": "2023-08-17T23:06:49.847216Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.web import run as run_adjoint" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "946493e2-b10a-4824-aef0-2e8f1ec113f1", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.850738Z", - "iopub.status.busy": "2023-08-17T23:06:49.850282Z", - "iopub.status.idle": "2023-08-17T23:06:49.873655Z", - "shell.execute_reply": "2023-08-17T23:06:49.873106Z" - } - }, - "outputs": [], - "source": [ - "def power(center: float, size: float, eps: float) -> float:\n", - " \"\"\"Compute power transmitted into 0th order mode given a set of scatterer parameters.\"\"\"\n", - " jax_sim = make_simulation(center=center, size=size, eps=eps)\n", - " jax_sim_data = run_adjoint(jax_sim, task_name=\"adjoint_power\", verbose=True)\n", - " return compute_power(jax_sim_data)" - ] - }, - { - "cell_type": "markdown", - "id": "90ee44c1-38a6-4dba-add5-9b36c43454cb", - "metadata": {}, - "source": [ - "### Running and differentiating the simulation using `jax`\n", - "\n", - "Finally, using the `jax` tools described earlier, we can differentiate this `power` function. \n", - "\n", - "For demonstration, let's use `jax.value_and_grad` to both compute the power **and** the gradient w.r.t. each of the 3 input parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "8a6518dd-c5a9-4826-95f6-7a0ed90e2f9a", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.875904Z", - "iopub.status.busy": "2023-08-17T23:06:49.875753Z", - "iopub.status.idle": "2023-08-17T23:06:49.903884Z", - "shell.execute_reply": "2023-08-17T23:06:49.903097Z" - } - }, - "outputs": [], - "source": [ - "d_power = jax.value_and_grad(power, argnums=(0, 1, 2))" - ] - }, - { - "cell_type": "markdown", - "id": "6f787405-1c6e-40b1-81d2-1a64d667e009", - "metadata": {}, - "source": [ - "We will run this function and assign variables to the power values and the gradients returned.\n", - "\n", - "Note that running this will set off **two** separate tasks, one after another, called, `\"adjoint_power_fwd\"` and `\"adjoint_power_adj\"`.\n", - "\n", - "The first is evaluating our simulation in \"forward mode\", computing the power and stashing information needed for gradient computation.\n", - "\n", - "The second step runs the \"adjoint\" simulation, in which the output monitor is converted to a source and the simulation is re-run.\n", - "\n", - "The results of both of these simulations runs are combined behind the scene to tell jax how to compute the gradient for us." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "68f34dce-3504-4dba-8012-436c4ff21ecc", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:06:49.906918Z", - "iopub.status.busy": "2023-08-17T23:06:49.906722Z", - "iopub.status.idle": "2023-08-17T23:07:50.379369Z", - "shell.execute_reply": "2023-08-17T23:07:50.378263Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
[16:06:50] Created task 'adjoint_power' with task_id               webapi.py:188\n",
-       "           'fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:06:50]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'adjoint_power'\u001b[0m with task_id \u001b]8;id=822786;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=153630;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#188\u001b\\\u001b[2m188\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1'\u001b[0m. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                               webapi.py:190\n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-              \n",
-       "           839df1ff-f172-4262-a9d8-14662c3b548cv1'.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \u001b]8;id=444635;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=788669;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#190\u001b\\\u001b[2m190\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=302324;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=113381;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=302324;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=117360;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=302324;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32m-\u001b[0m\u001b]8;;\u001b\\ \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=302324;https://tidy3d.simulation.cloud/workbench?taskId=fdve-839df1ff-f172-4262-a9d8-14662c3b548cv1\u001b\\\u001b[32m839df1ff-f172-4262-a9d8-14662c3b548cv1'\u001b[0m\u001b]8;;\u001b\\. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ff0c8bf3b2c048468d3f4dcf57b26435", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6e8b3310f1864aa38f0e887693333e66", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:06:51] status = queued                                         webapi.py:361\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:06:51]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \u001b]8;id=407249;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=891297;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#361\u001b\\\u001b[2m361\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "fb3c7358175547bab3a99f97cc568de2", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[16:07:05] Maximum FlexCredit cost: 0.025. Use                     webapi.py:341\n",
-       "           'web.real_cost(task_id)' to get the billed FlexCredit                \n",
-       "           cost after a simulation run.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:05]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b]8;id=822369;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=325501;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#341\u001b\\\u001b[2m341\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mcost after a simulation run. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                      webapi.py:377\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \u001b]8;id=596615;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=246062;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#377\u001b\\\u001b[2m377\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                          webapi.py:386\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \u001b]8;id=511320;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=260572;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#386\u001b\\\u001b[2m386\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or   webapi.py:387\n",
-       "           'web.delete(task_id)' or abort/delete the task in the                \n",
-       "           web UI. Terminating the Python script will not stop the              \n",
-       "           job running on the cloud.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \u001b]8;id=331843;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=933792;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#387\u001b\\\u001b[2m387\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mweb UI. Terminating the Python script will not stop the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mjob running on the cloud. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5fab5073d62b4cf1a4cdfc6262104037", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:12] early shutoff detected, exiting.                        webapi.py:404\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:12]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \u001b]8;id=407649;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=32995;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#404\u001b\\\u001b[2m404\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           status = postprocess                                    webapi.py:419\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \u001b]8;id=185792;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=109385;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#419\u001b\\\u001b[2m419\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "f73f20b25e7641e1993293a18fa4ca62", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:20] status = success                                        webapi.py:426\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:20]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \u001b]8;id=255409;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=117967;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#426\u001b\\\u001b[2m426\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "447a8d8268d9466099cfe6df0d5e58cd",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           loading SimulationData from simulation_data.hdf5        webapi.py:590\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from simulation_data.hdf5 \u001b]8;id=832424;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=699793;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#590\u001b\\\u001b[2m590\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:21] Created task 'adjoint_power_adj' with task_id           webapi.py:188\n",
-       "           'fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:21]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'adjoint_power_adj'\u001b[0m with task_id \u001b]8;id=645284;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=842231;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#188\u001b\\\u001b[2m188\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1'\u001b[0m. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                               webapi.py:190\n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-              \n",
-       "           854fa346-8a7f-43d0-bdc8-657c177248c4v1'.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \u001b]8;id=794764;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=764728;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#190\u001b\\\u001b[2m190\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=862072;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=738016;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=862072;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=191141;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=862072;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32m-\u001b[0m\u001b]8;;\u001b\\ \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=862072;https://tidy3d.simulation.cloud/workbench?taskId=fdve-854fa346-8a7f-43d0-bdc8-657c177248c4v1\u001b\\\u001b[32m854fa346-8a7f-43d0-bdc8-657c177248c4v1'\u001b[0m\u001b]8;;\u001b\\. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "40839ca026d94a15960f76567a908e3b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7a0191f382bb4f5d830dc50257de6041", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:22] status = queued                                         webapi.py:361\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:22]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \u001b]8;id=30110;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=683989;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#361\u001b\\\u001b[2m361\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5cfda64f2b63446fa44ee6b885e80d56", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:31] status = preprocess                                     webapi.py:355\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:31]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \u001b]8;id=460162;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=38062;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#355\u001b\\\u001b[2m355\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[16:07:35] Maximum FlexCredit cost: 0.025. Use                     webapi.py:341\n",
-       "           'web.real_cost(task_id)' to get the billed FlexCredit                \n",
-       "           cost after a simulation run.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:35]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b]8;id=355894;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=371550;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#341\u001b\\\u001b[2m341\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mcost after a simulation run. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:36] starting up solver                                      webapi.py:377\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:36]\u001b[0m\u001b[2;36m \u001b[0mstarting up solver \u001b]8;id=92020;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=906687;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#377\u001b\\\u001b[2m377\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                          webapi.py:386\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \u001b]8;id=794840;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=548701;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#386\u001b\\\u001b[2m386\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or   webapi.py:387\n",
-       "           'web.delete(task_id)' or abort/delete the task in the                \n",
-       "           web UI. Terminating the Python script will not stop the              \n",
-       "           job running on the cloud.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \u001b]8;id=141942;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=236476;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#387\u001b\\\u001b[2m387\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mweb UI. Terminating the Python script will not stop the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mjob running on the cloud. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6c01a97d1bd243b5989e53e99737620b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:41] status = postprocess                                    webapi.py:419\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:41]\u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \u001b]8;id=736174;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=849899;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#419\u001b\\\u001b[2m419\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "442b09ebc99b4619a025f6ebf46eb4df", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:07:49] status = success                                        webapi.py:426\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:07:49]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \u001b]8;id=198350;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=224827;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#426\u001b\\\u001b[2m426\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "b53c68ddd8fd4415ab2e76a8c41c917e",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "power_value, (dp_center, dp_dsize, dp_deps) = d_power(center0, size0, eps0)" - ] - }, - { - "cell_type": "markdown", - "id": "0ac63a75-89de-42c5-929d-f46da6f22864", - "metadata": {}, - "source": [ - "> Note: the gradient evaluation functions returned by `jax.grad()` do not accept keyword arguments (ie. `center=(0.,0.,0.)`) and instead accept positional arguments (without the argument name). You may run across this when trying to evaluate gradients so it's a good idea to keep in mind.\n", - "\n", - "We can take a look at our computed power and gradient information. " - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "f0a786d2-88c7-406a-a6cd-956e6cfb904c", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:07:53.253199Z", - "iopub.status.busy": "2023-08-17T23:07:53.252606Z", - "iopub.status.idle": "2023-08-17T23:07:53.665180Z", - "shell.execute_reply": "2023-08-17T23:07:53.663789Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "power = 0.552\n", - "d_power/d_center = (-0.006310250610113144, 1.163780689239502e-05, -0.0005402117967605591)\n", - "d_power/d_size = (-0.02708817459642887, 0.13217857480049133, -0.1335647702217102)\n", - "d_power/d_eps = -0.16805993680478554\n" - ] - } - ], - "source": [ - "print(f\"power = {power_value:.3f}\")\n", - "print(f\"d_power/d_center = {dp_center}\")\n", - "print(f\"d_power/d_size = {dp_dsize}\")\n", - "print(f\"d_power/d_eps = {dp_deps}\")" - ] - }, - { - "cell_type": "markdown", - "id": "a20619d4-d14b-431f-b543-7f0f0bc2907a", - "metadata": {}, - "source": [ - "From this, we can infer several things that fit our intuition, for example that:\n", - "* the transmitted power should **decrease** if we increase the permittivity of our scatterer.\n", - "* the transmitted power does not depend strongly on the position of the scatterer along the propagation direction.\n", - "\n", - "## Conclusion & Next Steps\n", - "\n", - "This gives the most basic introduction to the principles behind the adjoint plugin.\n", - "\n", - "In subsequent notebooks, we will show how to:\n", - " * Check the gradients returned by this method against brute force computed gradients for accuracy.\n", - " * Perform gradient-based optimization using the adjoint plugin." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "07afec21-48ce-444c-a0a8-4d8251f1caee", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook introduces the basics of Jax, automatic differentiation, and the adjoint plugin in Tidy3D FDTD.", - "feature_image": "", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "adjoint optimization, Jax, automatic differentiation, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.9" - }, - "title": "FDTD Adjoint Optimization Basics | Flexcompute", - "vscode": { - "interpreter": { - "hash": "9e43a20ef2440406ea6cbfb61ead7c471aba2de37f508addf1f0635fad81ef64" - } - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "0c38b4d069434f9a8da8dab1582e8691": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "22263ab07b714e918b3f7631c2d1d235": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "3e4b0212997349e4b94f6258bab4bbff": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "40839ca026d94a15960f76567a908e3b": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_59dd7a78d761479f95a37d185520b758", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.3/1.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.3/1.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "442b09ebc99b4619a025f6ebf46eb4df": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_3e4b0212997349e4b94f6258bab4bbff", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Finishing 'adjoint_power_adj'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mFinishing 'adjoint_power_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "447a8d8268d9466099cfe6df0d5e58cd": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_22263ab07b714e918b3f7631c2d1d235", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%26.0/26.0 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m26.0/26.0 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "59dd7a78d761479f95a37d185520b758": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5cfda64f2b63446fa44ee6b885e80d56": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_689be44a1feb4f15806ffd340363f7c6", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'adjoint_power_adj'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'adjoint_power_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "5fab5073d62b4cf1a4cdfc6262104037": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_73e9899282044647881f883f74bf4b62", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "61b34c9f786a417e85b5f36aa7579868": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "689be44a1feb4f15806ffd340363f7c6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6c01a97d1bd243b5989e53e99737620b": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_0c38b4d069434f9a8da8dab1582e8691", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "6e8b3310f1864aa38f0e887693333e66": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_c56924fa669d49bfa83333df3c73ca89", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "73e9899282044647881f883f74bf4b62": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7a0191f382bb4f5d830dc50257de6041": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_db5f56f6df544ef4a0b1f92a78a4e656", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "b53c68ddd8fd4415ab2e76a8c41c917e": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_ffb1092616b54fbe9e86418b8843fb6d", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%6.2/6.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m6.2/6.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "c56924fa669d49bfa83333df3c73ca89": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c580b49c32bb430a834f30515c9339d6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "db5f56f6df544ef4a0b1f92a78a4e656": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f73f20b25e7641e1993293a18fa4ca62": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_c580b49c32bb430a834f30515c9339d6", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'adjoint_power'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'adjoint_power'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "fb3c7358175547bab3a99f97cc568de2": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_fd940ff710d34afe9824c2ae7eb5038f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'adjoint_power'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'adjoint_power'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "fd940ff710d34afe9824c2ae7eb5038f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "ff0c8bf3b2c048468d3f4dcf57b26435": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_61b34c9f786a417e85b5f36aa7579868", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.4/1.4 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.4/1.4 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "ffb1092616b54fbe9e86418b8843fb6d": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin2GradientChecking.ipynb b/AdjointPlugin2GradientChecking.ipynb deleted file mode 100644 index 58a7fb0b..00000000 --- a/AdjointPlugin2GradientChecking.ipynb +++ /dev/null @@ -1,2539 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "fed083e4-9be1-480c-82e9-4bfd7dbccf1f", - "metadata": {}, - "source": [ - "# Adjoint analysis of a multi-layer slab\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd2GradientChecking/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "In this notebook, we will show how to use the adjoint plugin for `DiffractionMonitor` outputs and also check the gradient values against gradients obtained using transfer matrix method (TMM) to validate their accuracy for a multilayer slab problem." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "51963da1", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:07:56.731049Z", - "iopub.status.busy": "2023-08-17T23:07:56.730397Z", - "iopub.status.idle": "2023-08-17T23:08:02.157419Z", - "shell.execute_reply": "2023-08-17T23:08:02.155723Z" - } - }, - "outputs": [], - "source": [ - "from typing import List, Tuple\n", - "\n", - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pyplot as plt\n", - "import numpy as np\n", - "import tidy3d as td\n", - "import tmm\n", - "from tidy3d.plugins.adjoint import (\n", - " JaxBox,\n", - " JaxMedium,\n", - " JaxSimulation,\n", - " JaxSimulationData,\n", - " JaxStructure,\n", - ")\n", - "from tidy3d.plugins.adjoint.web import run as run_adjoint\n", - "from tidy3d.web import run as run_sim" - ] - }, - { - "cell_type": "markdown", - "id": "b33d0892-62d3-4329-810f-f29ce10056b4", - "metadata": {}, - "source": [ - "First, we define some global parameters describing the transmission through a multilayer slab with some spacing between each slab.\n", - "\n", - "The layout is diagrammed below.\n", - "\n", - "\"Schematic\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "ccbd2c6a-419d-4570-8f91-f57ad5bad813", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.165065Z", - "iopub.status.busy": "2023-08-17T23:08:02.163779Z", - "iopub.status.idle": "2023-08-17T23:08:02.218259Z", - "shell.execute_reply": "2023-08-17T23:08:02.217103Z" - } - }, - "outputs": [], - "source": [ - "# frequency we want to simulate at\n", - "freq0 = 2.0e14\n", - "k0 = 2 * np.pi * freq0 / td.C_0\n", - "freqs = [freq0]\n", - "wavelength = td.C_0 / freq0\n", - "\n", - "# background permittivity\n", - "bck_eps = 1.3**2\n", - "\n", - "# space between each slab\n", - "spc = 0.0\n", - "\n", - "# slab permittivities and thicknesses\n", - "slab_eps0 = [2.0**2, 1.8**2, 1.5**2, 1.9**2]\n", - "slab_ds0 = [0.5, 0.25, 0.5, 0.5]\n", - "\n", - "# incidence angle\n", - "theta = 0 * np.pi / 8\n", - "\n", - "# resolution\n", - "dl = 0.01" - ] - }, - { - "cell_type": "markdown", - "id": "48dd37b0-ba97-45cd-a861-53ea6ae3fa74", - "metadata": {}, - "source": [ - "## Transfer Matrix Method (Ground Truth)\n", - "\n", - "Next we use the `tmm` package to write a function to return the transmission `T` of `p` polarized light given a set of slab permittivities and thicknesses. We'll also write a function to compute the numerical gradient using TMM and will take these to be our \"ground truths\" when evaluating the accuracy of our values obtained through FDTD and the adjoint plugin.\n", - "\n", - "### Transmission Calculation with TMM\n", - "\n", - "First, we write a function to compute transmission." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "cc4f6e91-6a53-476e-b4c6-e76e50246426", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.224452Z", - "iopub.status.busy": "2023-08-17T23:08:02.223854Z", - "iopub.status.idle": "2023-08-17T23:08:02.276514Z", - "shell.execute_reply": "2023-08-17T23:08:02.274845Z" - } - }, - "outputs": [], - "source": [ - "def compute_T_tmm(slab_eps=slab_eps0, slab_ds=slab_ds0) -> float:\n", - " \"\"\"Get transmission as a function of slab permittivities and thicknesses.\"\"\"\n", - "\n", - " # construct lists of permittivities and thicknesses including spaces between\n", - " new_slab_eps = []\n", - " new_slab_ds = []\n", - " for eps, d in zip(slab_eps, slab_ds):\n", - " new_slab_eps.append(eps)\n", - " new_slab_eps.append(bck_eps)\n", - " new_slab_ds.append(d)\n", - " new_slab_ds.append(spc)\n", - " slab_eps = new_slab_eps[:-1]\n", - " slab_ds = new_slab_ds[:-1]\n", - "\n", - " # add the input and output spaces to the lists\n", - " eps_list = [bck_eps] + slab_eps + [bck_eps]\n", - " n_list = np.sqrt(eps_list)\n", - " d_list = [np.inf] + slab_ds + [np.inf]\n", - "\n", - " # compute transmission with TMM\n", - " return tmm.coh_tmm(\"p\", n_list, d_list, theta, wavelength)[\"T\"]" - ] - }, - { - "cell_type": "markdown", - "id": "c6c0da6b-2d2f-4be5-80e6-c84afd8d1a23", - "metadata": {}, - "source": [ - "We run this function with our starting parameters and see that we get a transmission of about 98% for the set of input parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "c1743e54-d7f3-468a-8ac4-d42d4c2bc8dc", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.281739Z", - "iopub.status.busy": "2023-08-17T23:08:02.281300Z", - "iopub.status.idle": "2023-08-17T23:08:02.337441Z", - "shell.execute_reply": "2023-08-17T23:08:02.335813Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "T (tmm) = 0.901\n" - ] - } - ], - "source": [ - "T_tmm = compute_T_tmm(slab_eps=slab_eps0, slab_ds=slab_ds0)\n", - "print(f\"T (tmm) = {T_tmm:.3f}\")" - ] - }, - { - "cell_type": "markdown", - "id": "452db467-f486-468d-8ec9-b9e669755c92", - "metadata": {}, - "source": [ - "### Numerical Gradient with TMM\n", - "\n", - "Next, we will use our `compute_T_tmm()` function to compute the \"numerical\" gradient to use as comparison against our adjoint results with FDTD.\n", - "\n", - "The derivative of a function $f(x)$ w.r.t. $x$ can be approximated using finite differences as\n", - "\n", - "$$ \\frac{df}{dx}(x) \\approx \\frac{f(x+\\Delta) - f(x-\\Delta)}{2\\Delta}$$\n", - "\n", - "with a small step $\\Delta$.\n", - "\n", - "To compute the gradient of our transmission with respect to each of the slab thicknesses and permittivities, we need to repeat this step for each of the values. Luckily, since TMM is very fast, we can compute these quantities quite quickly compared to if we were using FDTD.\n", - "\n", - "> Important note: We assume in our TMM numerical gradient that when the slabs are touching (`spc=0`) and a slab thickness is modified, that the thicknesses of the neighboring slabs adjust to accommodate this change. For example, if slab `i` increases by `dt`, slab `i-1` and `i+1` each decrease by `dt/2`. We also account for this in our FDTD set up by keeping the centers of all boxes constant and not tracking the gradient through these quantities. The reason this is required is that `tidy3d.plugins.adjoint` does not recognize the space between touching `JaxBox` objects as a single interface and will instead \"double count\" the gradient contribution of the interface if they are placed right next to each other. One must therefore be careful about overlapping or touching two `JaxBox` or other geometries when computing gradients.\n", - "\n", - "Here we write the function to return the numerical gradient." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "15d5bae1-6fc7-41c8-8772-2e80505be17d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.342362Z", - "iopub.status.busy": "2023-08-17T23:08:02.341848Z", - "iopub.status.idle": "2023-08-17T23:08:02.400598Z", - "shell.execute_reply": "2023-08-17T23:08:02.399357Z" - } - }, - "outputs": [], - "source": [ - "def compute_grad_tmm(slab_eps=slab_eps0, slab_ds=slab_ds0) -> Tuple[List[float], List[float]]:\n", - " \"\"\"Compute numerical gradient of transmission w.r.t. each of the slab permittivities and thicknesses using TMM.\"\"\"\n", - "\n", - " delta = 1e-4\n", - "\n", - " # set up containers to store gradient and perturbed arguments\n", - " num_slabs = len(slab_eps)\n", - " grad_tmm = np.zeros((2, num_slabs), dtype=float)\n", - " args = np.stack((slab_eps, slab_ds), axis=0)\n", - "\n", - " # loop through slab index and argument index (eps, d)\n", - " for arg_index in range(2):\n", - " for slab_index in range(num_slabs):\n", - " grad = 0.0\n", - "\n", - " # perturb the argument by delta in each + and - direction\n", - " for pm in (-1, +1):\n", - " args_num = args.copy()\n", - " args_num[arg_index][slab_index] += delta * pm\n", - "\n", - " # NEW: for slab thickness gradient, need to modify neighboring slabs too\n", - " if arg_index == 1 and spc == 0:\n", - " if slab_index > 0:\n", - " args_num[arg_index][slab_index - 1] -= delta * pm / 2\n", - " if slab_index < num_slabs - 1:\n", - " args_num[arg_index][slab_index + 1] -= delta * pm / 2\n", - "\n", - " # compute argument perturbed T and add to finite difference gradient contribution\n", - " T_tmm = compute_T_tmm(slab_eps=args_num[0], slab_ds=args_num[1])\n", - " grad += pm * T_tmm / 2 / delta\n", - "\n", - " grad_tmm[arg_index][slab_index] = grad\n", - " grad_eps, grad_ds = grad_tmm\n", - " return grad_eps, grad_ds" - ] - }, - { - "cell_type": "markdown", - "id": "d3c362ca-fb31-4ead-8e7c-fcc24d0faecc", - "metadata": {}, - "source": [ - "Let's run this function and observe the gradients. These will be saved later to compare against our adjoint plugin results." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "b5d1cc93-6d6e-43a7-8e5f-f1829770f3d5", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.405557Z", - "iopub.status.busy": "2023-08-17T23:08:02.405110Z", - "iopub.status.idle": "2023-08-17T23:08:02.465738Z", - "shell.execute_reply": "2023-08-17T23:08:02.464721Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "gradient w.r.t. eps (tmm) = [-0.15463022 0.0376046 -0.0850184 -0.15883104]\n", - "gradient w.r.t. ds (tmm) = [-0.86661161 -0.12292531 0.58010922 -1.05537497]\n" - ] - } - ], - "source": [ - "grad_eps_tmm, grad_ds_tmm = compute_grad_tmm()\n", - "print(f\"gradient w.r.t. eps (tmm) = {grad_eps_tmm}\")\n", - "print(f\"gradient w.r.t. ds (tmm) = {grad_ds_tmm}\")" - ] - }, - { - "cell_type": "markdown", - "id": "50ca68ae-ca5a-49aa-9969-ac6d43324ea9", - "metadata": {}, - "source": [ - "## FDTD (Using adjoint plugin)\n", - "\n", - "Next, we will implement the same two functions using Tidy3D's adjoint plugin.\n", - "\n", - "### Transmission Calculation with FDTD\n", - "\n", - "We first write a function to compute the transmission of a multilayer slab using Tidy3D.\n", - "\n", - "As discussed in the previous adjoint tutorial notebook, we need to use `jax`-compatible components from the tidy3d subclass for any structures that may depend on the parameters. In this case, this means that the slabs must be `JaxStructures` containing `JaxBox` and `JaxMedium` and must be added to `JaxSimulation.input_structures`.\n", - "\n", - "We use a `DiffractionMonitor` to measure our transmission amplitudes. As the data corresponding to this monitor will be used in the differentiable function return value, we must add it to `JaxSimulation.output_monitors`.\n", - "\n", - "Below, we break up the transmission calculation into a few functions to make it easier to read and reuse later." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "4d12f133-a8d8-4e59-938f-fca4d2e2fa0b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.471123Z", - "iopub.status.busy": "2023-08-17T23:08:02.470766Z", - "iopub.status.idle": "2023-08-17T23:08:02.536674Z", - "shell.execute_reply": "2023-08-17T23:08:02.535618Z" - } - }, - "outputs": [], - "source": [ - "def make_sim(slab_eps=slab_eps0, slab_ds=slab_ds0) -> JaxSimulation:\n", - " \"\"\"Create a JaxSimulation given the slab permittivities and thicknesses.\"\"\"\n", - "\n", - " # frequency setup\n", - " fwidth = freq0 / 10.0\n", - " freqs = [freq0]\n", - "\n", - " # geometry setup\n", - " bck_medium = td.Medium(permittivity=bck_eps)\n", - "\n", - " space_above = 2\n", - " space_below = 2\n", - "\n", - " length_x = 0.1\n", - " length_y = 0.1\n", - " length_z = space_below + sum(slab_ds0) + space_above + (len(slab_ds0) - 1) * spc\n", - " sim_size = (length_x, length_y, length_z)\n", - "\n", - " # make structures\n", - " slabs = []\n", - " z_start = -length_z / 2 + space_below\n", - " for d, eps in zip(slab_ds, slab_eps):\n", - " # don't track the gradient through the center of each slab\n", - " # as tidy3d doesn't have enough information to properly process the interface between touching JaxBox objects\n", - " z_center = jax.lax.stop_gradient(z_start + d / 2)\n", - " slab = JaxStructure(\n", - " geometry=JaxBox(center=[0, 0, z_center], size=[td.inf, td.inf, d]),\n", - " medium=JaxMedium(permittivity=eps),\n", - " )\n", - " slabs.append(slab)\n", - " z_start += d + spc\n", - "\n", - " # source setup\n", - " gaussian = td.GaussianPulse(freq0=freq0, fwidth=fwidth)\n", - " src_z = -length_z / 2 + space_below / 2.0\n", - "\n", - " source = td.PlaneWave(\n", - " center=(0, 0, src_z),\n", - " size=(td.inf, td.inf, 0),\n", - " source_time=gaussian,\n", - " direction=\"+\",\n", - " angle_theta=theta,\n", - " angle_phi=0,\n", - " pol_angle=0,\n", - " )\n", - "\n", - " # boundaries\n", - " boundary_x = td.Boundary.bloch_from_source(\n", - " source=source, domain_size=sim_size[0], axis=0, medium=bck_medium\n", - " )\n", - " boundary_y = td.Boundary.bloch_from_source(\n", - " source=source, domain_size=sim_size[1], axis=1, medium=bck_medium\n", - " )\n", - " boundary_spec = td.BoundarySpec(x=boundary_x, y=boundary_y, z=td.Boundary.pml(num_layers=40))\n", - "\n", - " # monitors\n", - " mnt_z = length_z / 2 - space_above / 2.0\n", - " monitor_1 = td.DiffractionMonitor(\n", - " center=[0.0, 0.0, mnt_z],\n", - " size=[td.inf, td.inf, 0],\n", - " freqs=freqs,\n", - " name=\"diffraction\",\n", - " normal_dir=\"+\",\n", - " )\n", - "\n", - " # make simulation\n", - " return JaxSimulation(\n", - " size=sim_size,\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=100),\n", - " input_structures=slabs,\n", - " sources=[source],\n", - " output_monitors=[monitor_1],\n", - " run_time=10 / fwidth,\n", - " boundary_spec=boundary_spec,\n", - " medium=bck_medium,\n", - " subpixel=True,\n", - " shutoff=1e-8,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "9addea1f-4dd8-4e5e-a058-b94638fb2d70", - "metadata": {}, - "source": [ - "Let's generate a simulation and plot it to make sure it looks reasonable." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "bc0dc456-e742-4299-ae5e-22daa49e3997", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:02.542197Z", - "iopub.status.busy": "2023-08-17T23:08:02.541595Z", - "iopub.status.idle": "2023-08-17T23:08:03.865017Z", - "shell.execute_reply": "2023-08-17T23:08:03.864006Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
[16:08:03] WARNING: 'JaxSimulation.input_structures[0]'        simulation.py:189\n",
-       "           overlaps or touches                                                  \n",
-       "           'JaxSimulation.input_structures[1]'. Geometric                       \n",
-       "           gradients for overlapping input structures may                       \n",
-       "           contain errors.                                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:03]\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m \u001b[0m \u001b]8;id=437283;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/plugins/adjoint/components/simulation.py\u001b\\\u001b[2msimulation.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=913371;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/plugins/adjoint/components/simulation.py#189\u001b\\\u001b[2m189\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31moverlaps or touches \u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m1\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Geometric \u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mgradients for overlapping input structures may \u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mcontain errors. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Suppressed 2 WARNING messages.                    log.py:130\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m2\u001b[0m\u001b[31m WARNING messages. \u001b[0m \u001b]8;id=302748;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/log.py\u001b\\\u001b[2mlog.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=683292;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/log.py#130\u001b\\\u001b[2m130\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim = make_sim()\n", - "f, ax = plt.subplots(1, 1, figsize=(10, 10))\n", - "sim.plot(y=0, ax=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "e4c9a742-5988-444d-9ac4-34b612d42289", - "metadata": {}, - "source": [ - "Now we write a function to post process some run results to get the transmission we are after." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "1917a8b6-8ba9-4767-90ca-06cd6daadcd3", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:03.874457Z", - "iopub.status.busy": "2023-08-17T23:08:03.874017Z", - "iopub.status.idle": "2023-08-17T23:08:03.929179Z", - "shell.execute_reply": "2023-08-17T23:08:03.924686Z" - } - }, - "outputs": [], - "source": [ - "def post_process_T(sim_data: JaxSimulationData) -> float:\n", - " \"\"\"Given some JaxSimulationData from the run, return the transmission of \"p\" polarized light.\"\"\"\n", - " amps = sim_data.output_monitor_data[\"diffraction\"].amps.sel(polarization=\"p\")\n", - " return jnp.sum(abs(amps.values) ** 2)" - ] - }, - { - "cell_type": "markdown", - "id": "b65e4d49-e8b1-4e05-9fce-57bd63b3f2cf", - "metadata": {}, - "source": [ - "And finally, put everything together in a single function that relates the permittivities and thicknesses of each slab to the transmission, through a `JaxSimulation` run using the adjoint plugin." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "f64ac290-b67d-4a9a-88e8-504de931fef8", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:03.934845Z", - "iopub.status.busy": "2023-08-17T23:08:03.934348Z", - "iopub.status.idle": "2023-08-17T23:08:03.985124Z", - "shell.execute_reply": "2023-08-17T23:08:03.983984Z" - } - }, - "outputs": [], - "source": [ - "def compute_T_fdtd(slab_eps=slab_eps0, slab_ds=slab_ds0) -> float:\n", - " \"\"\"Given the slab permittivities and thicknesses, compute T, making sure to use `tidy3d.plugins.adjoint.web.run_adjoint`.\"\"\"\n", - " sim = make_sim(slab_eps=slab_eps, slab_ds=slab_ds)\n", - " sim_data = run_adjoint(sim, task_name=\"slab\", verbose=True)\n", - " return post_process_T(sim_data)" - ] - }, - { - "cell_type": "markdown", - "id": "c4c19d59-d9a3-4935-b785-5fb6b3b3a819", - "metadata": {}, - "source": [ - "### Computing T and Gradient with FDTD\n", - "\n", - "Now that we have this function defined, we are ready to compute our transmission and gradients using Tidy3d.\n", - "\n", - "We first call `jax.value_and_grad()` on our transmission calculation function, which returns a function that will give us both `T` and the gradient of `T` with respect to the input parameters in one shot. For more details, see the previous tutorial." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "59647cdf-8c2a-40d6-bbc9-b7537616938a", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:03.990469Z", - "iopub.status.busy": "2023-08-17T23:08:03.989814Z", - "iopub.status.idle": "2023-08-17T23:08:04.036621Z", - "shell.execute_reply": "2023-08-17T23:08:04.035575Z" - } - }, - "outputs": [], - "source": [ - "compute_T_and_grad_fdtd = jax.value_and_grad(compute_T_fdtd, argnums=(0, 1))" - ] - }, - { - "cell_type": "markdown", - "id": "81caed90-8554-441b-8948-b240e181e98c", - "metadata": {}, - "source": [ - "Next, we call this function on our starting parameters, which will kick off the original (`fwd`) T transmission simulation and then the reverse (`adj`) simulation, which is used in combination with `fwd` for the gradient calculation." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "ef6716b3-79a3-40cc-ac2a-5056b5ed2ae9", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:08:04.053797Z", - "iopub.status.busy": "2023-08-17T23:08:04.053005Z", - "iopub.status.idle": "2023-08-17T23:09:04.039197Z", - "shell.execute_reply": "2023-08-17T23:09:04.038027Z" - } - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)\n" - ] - }, - { - "data": { - "text/html": [ - "
[16:08:04] Created task 'slab' with task_id                        webapi.py:188\n",
-       "           'fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:04]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'slab'\u001b[0m with task_id \u001b]8;id=646193;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=365955;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#188\u001b\\\u001b[2m188\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1'\u001b[0m. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                               webapi.py:190\n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-              \n",
-       "           2f19f86e-0116-4822-9d46-79099e2c6b40v1'.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \u001b]8;id=182543;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=418788;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#190\u001b\\\u001b[2m190\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=161821;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=535422;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=161821;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=576589;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=161821;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32m-\u001b[0m\u001b]8;;\u001b\\ \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=161821;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2f19f86e-0116-4822-9d46-79099e2c6b40v1\u001b\\\u001b[32m2f19f86e-0116-4822-9d46-79099e2c6b40v1'\u001b[0m\u001b]8;;\u001b\\. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5c6ae68cdbb342d9921f6ef5662ac579", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "45250aafd8154f519a2f1800d412276f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:06] status = queued                                         webapi.py:361\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:06]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \u001b]8;id=389506;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=134349;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#361\u001b\\\u001b[2m361\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "3c5838f495014a368febb99b7b52d114", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:15] status = preprocess                                     webapi.py:355\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:15]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \u001b]8;id=265441;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=404358;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#355\u001b\\\u001b[2m355\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[16:08:19] Maximum FlexCredit cost: 0.025. Use                     webapi.py:341\n",
-       "           'web.real_cost(task_id)' to get the billed FlexCredit                \n",
-       "           cost after a simulation run.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:19]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b]8;id=365425;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=289123;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#341\u001b\\\u001b[2m341\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mcost after a simulation run. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                      webapi.py:377\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \u001b]8;id=686318;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=715831;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#377\u001b\\\u001b[2m377\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                          webapi.py:386\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \u001b]8;id=602192;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=691462;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#386\u001b\\\u001b[2m386\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or   webapi.py:387\n",
-       "           'web.delete(task_id)' or abort/delete the task in the                \n",
-       "           web UI. Terminating the Python script will not stop the              \n",
-       "           job running on the cloud.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \u001b]8;id=616494;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=667805;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#387\u001b\\\u001b[2m387\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mweb UI. Terminating the Python script will not stop the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mjob running on the cloud. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "aa8c754cdea6462795072b699f6a6d2f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:26] early shutoff detected, exiting.                        webapi.py:404\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:26]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \u001b]8;id=889394;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=927110;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#404\u001b\\\u001b[2m404\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           status = postprocess                                    webapi.py:419\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \u001b]8;id=257991;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=265586;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#419\u001b\\\u001b[2m419\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5d18c826b10340cba5be5f8ff9c84246", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:35] status = success                                        webapi.py:426\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:35]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \u001b]8;id=489532;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=84250;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#426\u001b\\\u001b[2m426\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "c0433262460d44e3920029c59d404c70",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:36] loading SimulationData from simulation_data.hdf5        webapi.py:590\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:36]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from simulation_data.hdf5 \u001b]8;id=603178;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=118268;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#590\u001b\\\u001b[2m590\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:37] Created task 'slab_adj' with task_id                    webapi.py:188\n",
-       "           'fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:37]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'slab_adj'\u001b[0m with task_id \u001b]8;id=358402;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=988650;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#188\u001b\\\u001b[2m188\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1'\u001b[0m. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                               webapi.py:190\n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-              \n",
-       "           cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1'.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \u001b]8;id=984437;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=285154;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#190\u001b\\\u001b[2m190\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=626880;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=904231;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=626880;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=464509;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=626880;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32m-\u001b[0m\u001b]8;;\u001b\\ \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=626880;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1\u001b\\\u001b[32mcf984b1a-3ce4-406c-b6e0-2b9bfd479d7av1'\u001b[0m\u001b]8;;\u001b\\. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "282a8d63ba98429f931ce53ae75949e6", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "8144e366eb5d41db8491c35f50fd6987", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:38] status = queued                                         webapi.py:361\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:38]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \u001b]8;id=31964;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=973805;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#361\u001b\\\u001b[2m361\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "58994231079549e59896cb3ff5e28ed5", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:47] status = preprocess                                     webapi.py:355\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:47]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \u001b]8;id=85751;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=510981;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#355\u001b\\\u001b[2m355\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[16:08:50] Maximum FlexCredit cost: 0.025. Use                     webapi.py:341\n",
-       "           'web.real_cost(task_id)' to get the billed FlexCredit                \n",
-       "           cost after a simulation run.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:50]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b]8;id=717503;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=943223;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#341\u001b\\\u001b[2m341\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mcost after a simulation run. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                      webapi.py:377\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \u001b]8;id=305428;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=775108;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#377\u001b\\\u001b[2m377\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                          webapi.py:386\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \u001b]8;id=830035;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=519007;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#386\u001b\\\u001b[2m386\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or   webapi.py:387\n",
-       "           'web.delete(task_id)' or abort/delete the task in the                \n",
-       "           web UI. Terminating the Python script will not stop the              \n",
-       "           job running on the cloud.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \u001b]8;id=44287;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=935695;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#387\u001b\\\u001b[2m387\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mweb UI. Terminating the Python script will not stop the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mjob running on the cloud. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "311a8407bb914b45a8d5a58ef9aaadc1", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:08:57] early shutoff detected, exiting.                        webapi.py:404\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:08:57]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \u001b]8;id=327605;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=34609;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#404\u001b\\\u001b[2m404\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           status = postprocess                                    webapi.py:419\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \u001b]8;id=173075;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=442863;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#419\u001b\\\u001b[2m419\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "9405474c8af34861a54c67c5fb458402", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[16:09:03] status = success                                        webapi.py:426\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[16:09:03]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \u001b]8;id=915697;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=983054;file:///home/momchil/Drive/flexcompute/tidy3d-docs/tidy3d/tidy3d/web/webapi.py#426\u001b\\\u001b[2m426\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "e8e53f3f14304b9e88884c8a249e1f08",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# set logging level to ERROR to avoid redundant warnings from adjoint run\n", - "td.config.logging_level = \"ERROR\"\n", - "T_fdtd, (grad_eps_fdtd, grad_ds_fdtd) = compute_T_and_grad_fdtd(slab_eps0, slab_ds0)" - ] - }, - { - "cell_type": "markdown", - "id": "cbd02aa9-41f9-4e28-802d-f9a46b7f6263", - "metadata": {}, - "source": [ - "### Checking Accuracy of TMM (Numerical) vs FDTD (Adjoint)\n", - "\n", - "Let's convert these from jax types to numpy arrays to work with them easier, and then display the results compared to TMM." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "41e66722-bb8c-41d9-a0a7-85009ff46df3", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:09:07.444912Z", - "iopub.status.busy": "2023-08-17T23:09:07.444254Z", - "iopub.status.idle": "2023-08-17T23:09:07.501400Z", - "shell.execute_reply": "2023-08-17T23:09:07.500241Z" - } - }, - "outputs": [], - "source": [ - "grad_eps_fdtd = np.array(grad_eps_fdtd)\n", - "grad_ds_fdtd = np.array(grad_ds_fdtd)" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "953d2497-240a-4295-9fcd-5342942983d0", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:09:07.506564Z", - "iopub.status.busy": "2023-08-17T23:09:07.505910Z", - "iopub.status.idle": "2023-08-17T23:09:07.566914Z", - "shell.execute_reply": "2023-08-17T23:09:07.565727Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "T (tmm) = 0.90105\n", - "T (FDTD) = 0.90048\n" - ] - } - ], - "source": [ - "print(f\"T (tmm) = {T_tmm:.5f}\")\n", - "print(f\"T (FDTD) = {T_fdtd:.5f}\")" - ] - }, - { - "cell_type": "markdown", - "id": "809d274a-9bb4-4f24-a7c2-962fc36f0b74", - "metadata": {}, - "source": [ - "We see that the transmission results match very well with TMM, giving us a lot of confidence that our set up is correct.\n", - "\n", - "Let's look at the gradients now." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "d0e496be-a967-427d-9ed6-8eea5a96fd70", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:09:07.573106Z", - "iopub.status.busy": "2023-08-17T23:09:07.572391Z", - "iopub.status.idle": "2023-08-17T23:09:07.636831Z", - "shell.execute_reply": "2023-08-17T23:09:07.635616Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "un-normalized:\n", - "\tgrad_eps (tmm) = [-0.15463022 0.0376046 -0.0850184 -0.15883104]\n", - "\tgrad_eps (FDTD) = [-0.15559791 0.0386887 -0.08492498 -0.15971345]\n", - "--------------------------------------------------------------------------------\n", - "\tgrad_ds (tmm) = [-0.86661161 -0.12292531 0.58010922 -1.05537497]\n", - "\tgrad_ds (FDTD) = [-0.86666358 -0.1228885 0.58089787 -1.05617034]\n", - "RMS error = 0.7083383436340311 %\n", - "RMS error = 0.0753566950178439 %\n" - ] - } - ], - "source": [ - "print(\"un-normalized:\")\n", - "print(f\"\\tgrad_eps (tmm) = {grad_eps_tmm}\")\n", - "print(f\"\\tgrad_eps (FDTD) = {grad_eps_fdtd}\")\n", - "print(80 * \"-\")\n", - "print(f\"\\tgrad_ds (tmm) = {grad_ds_tmm}\")\n", - "print(f\"\\tgrad_ds (FDTD) = {grad_ds_fdtd}\")\n", - "\n", - "\n", - "rms_eps = np.linalg.norm(grad_eps_tmm - grad_eps_fdtd) / np.linalg.norm(grad_eps_tmm)\n", - "rms_ds = np.linalg.norm(grad_ds_tmm - grad_ds_fdtd) / np.linalg.norm(grad_ds_tmm)\n", - "\n", - "print(f\"RMS error = {rms_eps * 100} %\")\n", - "print(f\"RMS error = {rms_ds * 100} %\")" - ] - }, - { - "cell_type": "markdown", - "id": "111ef29c-a78f-468a-b2a7-afa3785ab5aa", - "metadata": {}, - "source": [ - "The gradients match to < 1% of their respective norms, which is very good agreement.\n", - "\n", - "If we only care about the error in the \"directions\" of the gradients, we can compare their normalized versions to each other." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "2993410c-0c1d-412e-9c2c-1c43664ae20c", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:09:07.643564Z", - "iopub.status.busy": "2023-08-17T23:09:07.642816Z", - "iopub.status.idle": "2023-08-17T23:09:07.699366Z", - "shell.execute_reply": "2023-08-17T23:09:07.698193Z" - } - }, - "outputs": [], - "source": [ - "def normalize(arr):\n", - " return arr / np.linalg.norm(arr)\n", - "\n", - "\n", - "grad_eps_tmm_norm = normalize(grad_eps_tmm)\n", - "grad_ds_tmm_norm = normalize(grad_ds_tmm)\n", - "grad_eps_fdtd_norm = normalize(grad_eps_fdtd)\n", - "grad_ds_fdtd_norm = normalize(grad_ds_fdtd)\n", - "\n", - "rms_eps = np.linalg.norm(grad_eps_tmm_norm - grad_eps_fdtd_norm) / np.linalg.norm(grad_eps_tmm_norm)\n", - "rms_ds = np.linalg.norm(grad_ds_tmm_norm - grad_ds_fdtd_norm) / np.linalg.norm(grad_ds_tmm_norm)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "8a375cb8-79ae-4b57-86bd-26af66bba8b4", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:09:07.705175Z", - "iopub.status.busy": "2023-08-17T23:09:07.704500Z", - "iopub.status.idle": "2023-08-17T23:09:07.767794Z", - "shell.execute_reply": "2023-08-17T23:09:07.766611Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "normalized:\n", - "\tgrad_eps (tmm) = [-0.64328803 0.15644152 -0.35369102 -0.66076413]\n", - "\tgrad_eps (FDTD) = [-0.64371353 0.16005639 -0.35133737 -0.66073966]\n", - "\tRMS error = 0.4334588353397796 %\n", - "--------------------------------------------------------------------------------\n", - "\tgrad_ds (tmm) = [-0.58209469 -0.08256775 0.38965379 -0.70888522]\n", - "\tgrad_ds (FDTD) = [-0.58177849 -0.08249324 0.38994818 -0.70899159]\n", - "\tRMS error = 0.045112778147670694 %\n" - ] - } - ], - "source": [ - "print(\"normalized:\")\n", - "print(f\"\\tgrad_eps (tmm) = {grad_eps_tmm_norm}\")\n", - "print(f\"\\tgrad_eps (FDTD) = {grad_eps_fdtd_norm}\")\n", - "print(f\"\\tRMS error = {rms_eps * 100} %\")\n", - "print(80 * \"-\")\n", - "print(f\"\\tgrad_ds (tmm) = {grad_ds_tmm_norm}\")\n", - "print(f\"\\tgrad_ds (FDTD) = {grad_ds_fdtd_norm}\")\n", - "print(f\"\\tRMS error = {rms_ds * 100} %\")" - ] - }, - { - "cell_type": "markdown", - "id": "a66dbc89-3804-4576-bf08-31421fcb2476", - "metadata": {}, - "source": [ - "In which case we see slight improvement, but the unnormalized gradients already match quite well before this." - ] - } - ], - "metadata": { - "description": "This notebook demonstrates the adjoint analysis of a multi-layer slab in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "adjoint optimization, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.9" - }, - "title": "Adjoint Analysis: Multi-layer Slab Using the Adjoint Plugin| Flexcompute", - "vscode": { - "interpreter": { - "hash": "9e43a20ef2440406ea6cbfb61ead7c471aba2de37f508addf1f0635fad81ef64" - } - }, - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "12f8ed0b504a404cbd1b2950e05ba8ef": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "282a8d63ba98429f931ce53ae75949e6": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_feeee3d3c34f41b2b2ddb1514be72678", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.4/1.4 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.4/1.4 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "2cdee44b941b480f8f36e5fd1ab55b29": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "311a8407bb914b45a8d5a58ef9aaadc1": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_12f8ed0b504a404cbd1b2950e05ba8ef", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "3c5838f495014a368febb99b7b52d114": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_e8f77a092bf84fc8b7f0925585a332b5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'slab'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'slab'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "45250aafd8154f519a2f1800d412276f": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_68e844f9a2a84f00b91779b9a3f869f7", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "58994231079549e59896cb3ff5e28ed5": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_5ceb38a1565948dca0db905f32bb657f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'slab_adj'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'slab_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "5c6ae68cdbb342d9921f6ef5662ac579": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_b2c944b9195a41819323ee98ce24bcf5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.4/1.4 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.4/1.4 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "5ceb38a1565948dca0db905f32bb657f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5d18c826b10340cba5be5f8ff9c84246": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_2cdee44b941b480f8f36e5fd1ab55b29", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Finishing 'slab'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mFinishing 'slab'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "68e844f9a2a84f00b91779b9a3f869f7": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7ecfddd6aa834b09b4ca1fce00eed16f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8144e366eb5d41db8491c35f50fd6987": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_7ecfddd6aa834b09b4ca1fce00eed16f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "82cbd5e08c46478aafa90d2df019703b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "9405474c8af34861a54c67c5fb458402": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_de2289a46f55488bac1b8efd846b1205", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'slab_adj'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'slab_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "95b90d2c77464ed3af087632f97cfe4e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "aa8c754cdea6462795072b699f6a6d2f": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_95b90d2c77464ed3af087632f97cfe4e", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "b2c944b9195a41819323ee98ce24bcf5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c0433262460d44e3920029c59d404c70": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_82cbd5e08c46478aafa90d2df019703b", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%31.0/31.0 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m31.0/31.0 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d335af0c94a44bb5a473ea14f619edd8": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "de2289a46f55488bac1b8efd846b1205": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e8e53f3f14304b9e88884c8a249e1f08": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d335af0c94a44bb5a473ea14f619edd8", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%6.2/6.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m6.2/6.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "e8f77a092bf84fc8b7f0925585a332b5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "feeee3d3c34f41b2b2ddb1514be72678": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin3InverseDesign.ipynb b/AdjointPlugin3InverseDesign.ipynb deleted file mode 100644 index 9167a54e..00000000 --- a/AdjointPlugin3InverseDesign.ipynb +++ /dev/null @@ -1,3808 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "23bc2ee3-b625-4b4c-9c87-23dc715ad733", - "metadata": {}, - "source": [ - "# Inverse design optimization of a mode converter\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd3InverseDesign/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "In this notebook, we will use inverse design and the Tidy3D `adjoint` plugin to create an integrated photonics component to convert a fundamental waveguide mode to a higher order mode." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "7257472c-5db1-4b93-8cdb-24b3cc32775d", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from typing import List\n", - "\n", - "# import jax to be able to use automatic differentiation\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "\n", - "# import regular tidy3d\n", - "import tidy3d as td\n", - "import tidy3d.web as web\n", - "from jax import value_and_grad\n", - "\n", - "# import the components we need from the adjoint plugin\n", - "from tidy3d.plugins.adjoint import (\n", - " JaxBox,\n", - " JaxCustomMedium,\n", - " JaxDataArray,\n", - " JaxPermittivityDataset,\n", - " JaxSimulation,\n", - " JaxSimulationData,\n", - " JaxStructure,\n", - " JaxStructureStaticGeometry,\n", - ")\n", - "from tidy3d.plugins.adjoint.web import run\n", - "from tidy3d.plugins.mode import ModeSolver\n", - "\n", - "# set random seed to get same results\n", - "np.random.seed(2)" - ] - }, - { - "cell_type": "markdown", - "id": "718337a6-e356-4810-8836-48ada41f31d2", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "We wish to recreate a device like the diagram below:\n", - "\n", - "\"Schematic\n", - "\n", - "A mode source is injected into a waveguide on the left-hand side. The light propagates through a rectangular region with pixellated permittivity with the value of each pixel independently tunable between 1 (vacuum) and some maximum permittivity. Finally, we measure the transmission of the light into a waveguide on the right-hand side.\n", - "\n", - "The goal of the inverse design exercise is to find the best distribution of permittivities ($\\epsilon_{ij}$) in the coupling region to maximize the power conversion between the input mode and the output mode.\n", - "\n", - "We also apply our built-in smoothening and binarization filters to ensure that the final device has smooth features, and permittivity values that are all either 1, or the maximum permittivity of the waveguide material.\n", - "\n", - "### Parameters\n", - "\n", - "First we will define some parameters. " - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "b2c592b4-c210-46e3-94c2-d6a9bfb3ca73", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "# wavelength and frequency\n", - "wavelength = 1.0\n", - "freq0 = td.C_0 / wavelength\n", - "k0 = 2 * np.pi * freq0 / td.C_0\n", - "\n", - "# resolution control\n", - "min_steps_per_wvl = 16\n", - "# in the design region, we set uniform grid resolution,\n", - "# and define the design parameters on the same grid\n", - "dl_design_region = 0.01\n", - "\n", - "# space between boxes and PML\n", - "buffer = 1.0 * wavelength\n", - "\n", - "# optimize region size\n", - "lz = td.inf\n", - "lx = 5.0\n", - "ly = 3.0\n", - "\n", - "# position of source and monitor (constant for all)\n", - "source_x = -lx / 2 - buffer * 0.8\n", - "meas_x = lx / 2 + buffer * 0.8\n", - "\n", - "# total size\n", - "Lx = lx + 2 * buffer\n", - "Ly = ly + 2 * buffer\n", - "Lz = 0\n", - "\n", - "# permittivity and width of the input/output waveguide\n", - "eps_wg = 2.75\n", - "wg_width = 0.7\n", - "\n", - "# random starting parameters between 0 and 1\n", - "nx = int(lx / dl_design_region)\n", - "ny = int(ly / dl_design_region)\n", - "params0 = np.random.random((nx, ny))\n", - "\n", - "# frequency width and run time\n", - "freqw = freq0 / 10\n", - "run_time = 50 / freqw" - ] - }, - { - "cell_type": "markdown", - "id": "ac035f60-95f7-4f99-989d-67855acd5b15", - "metadata": {}, - "source": [ - "### Static Components\n", - "\n", - "Next, we will set up the static parts of the geometry, the input source, and the output monitor using these parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "9aa55de4-f748-4939-8b26-9098bb573653", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "waveguide = td.Structure(\n", - " geometry=td.Box(size=(2 * Lx, wg_width, lz)), medium=td.Medium(permittivity=eps_wg)\n", - ")\n", - "\n", - "mode_size = (0, wg_width * 3, lz)\n", - "\n", - "source_plane = td.Box(\n", - " center=[source_x, 0, 0],\n", - " size=mode_size,\n", - ")\n", - "\n", - "measure_plane = td.Box(\n", - " center=[meas_x, 0, 0],\n", - " size=mode_size,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "afe16823-2271-4773-b0e3-a5ce3788ecae", - "metadata": {}, - "source": [ - "### Input Structures\n", - "\n", - "Next, we write a function to return the pixellated array given our flattened tuple of permittivity values $\\epsilon_{ij}$ using [JaxCustomMedium](../api/_autosummary/tidy3d.plugins.adjoint.JaxCustomMedium.html).\n", - "\n", - "We start with an array of parameters between 0 and 1, apply a [ConicFilter](../api/_autosummary/tidy3d.plugins.adjoint.utils.filter.ConicFilter.html) and a [BinaryProjector](../api/_autosummary/tidy3d.plugins.adjoint.utils.filter.BinaryProjector.html) to create smooth, binarized features.\n", - "\n", - "The `JaxStructureStaticGeometry` allows for including a `jax`-compatible medium and a non-differentiable Tidy3D geometry." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "2d3e00d9-35f1-4e83-807c-66102b96ed5a", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.filter import BinaryProjector, ConicFilter\n", - "\n", - "radius = 0.120\n", - "beta = 50\n", - "\n", - "conic_filter = ConicFilter(radius=radius, design_region_dl=float(lx) / nx)\n", - "\n", - "\n", - "def filter_project(params, beta, eta=0.5):\n", - " \"\"\"Apply conic filter and binarization to the raw params.\"\"\"\n", - " params_smooth = conic_filter.evaluate(params)\n", - " binary_projector = BinaryProjector(vmin=0, vmax=1, beta=beta, eta=eta)\n", - " params_smooth_binarized = binary_projector.evaluate(params_smooth)\n", - " return params_smooth_binarized\n", - "\n", - "\n", - "def get_eps(params, beta):\n", - " \"\"\"Get the permittivity values (1, eps_wg) array as a function of the parameters (0, 1)\"\"\"\n", - " processed_params = filter_project(params, beta)\n", - " return 1 + processed_params * (eps_wg - 1)\n", - "\n", - "\n", - "def make_input_structures(params, beta) -> List[JaxStructure]:\n", - " x0_min = -lx / 2 + dl_design_region / 2\n", - " y0_min = -ly / 2 + dl_design_region / 2\n", - "\n", - " coords_x = [x0_min + dl_design_region * ix for ix in range(nx)]\n", - " coords_y = [y0_min + dl_design_region * iy for iy in range(ny)]\n", - "\n", - " coords = dict(x=coords_x, y=coords_y, z=[0], f=[freq0])\n", - "\n", - " eps = get_eps(params, beta=beta).reshape((nx, ny, 1, 1))\n", - "\n", - " field_components = {f\"eps_{dim}{dim}\": JaxDataArray(values=eps, coords=coords) for dim in \"xyz\"}\n", - " eps_dataset = JaxPermittivityDataset(**field_components)\n", - " custom_medium = JaxCustomMedium(eps_dataset=eps_dataset)\n", - " box = td.Box(center=(0, 0, 0), size=(lx, ly, lz))\n", - " custom_structure = JaxStructureStaticGeometry(geometry=box, medium=custom_medium)\n", - " return [custom_structure]" - ] - }, - { - "cell_type": "markdown", - "id": "75983c02-ca0f-4dcb-9c51-04ce9b5ac7ed", - "metadata": {}, - "source": [ - "### Jax Simulation\n", - "Next, we write a function to return a basic `JaxSimulation` as a function of our parameter values.\n", - "\n", - "We make sure to add the pixellated `JaxStructure` list to `input_structures` but leave out the sources and monitors for now as we'll want to add those after the mode solver is run so we can inspect them." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "3b09827b-a607-4631-977d-466f732e1d90", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def make_sim_base(params, beta) -> JaxSimulation:\n", - " input_structures = make_input_structures(params, beta=beta)\n", - " design_region_mesh = td.MeshOverrideStructure(\n", - " geometry=td.Box(size=(lx, ly, lz)),\n", - " dl=[dl_design_region] * 3,\n", - " enforce=True,\n", - " )\n", - " grid_spec = td.GridSpec.auto(\n", - " wavelength=wavelength,\n", - " min_steps_per_wvl=16,\n", - " override_structures=[design_region_mesh],\n", - " )\n", - "\n", - " return JaxSimulation(\n", - " size=[Lx, Ly, Lz],\n", - " grid_spec=grid_spec,\n", - " structures=[waveguide],\n", - " input_structures=input_structures,\n", - " sources=[],\n", - " monitors=[],\n", - " output_monitors=[],\n", - " run_time=run_time,\n", - " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=False),\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "2e7cd9e9-a41a-4353-a0ee-cec464bc2f2d", - "metadata": {}, - "source": [ - "### Visualize\n", - "Let's visualize the simulation to see how it looks" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "ae07fed6-c0e4-415a-8a55-58f1b02bd311", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:jax._src.xla_bridge:An NVIDIA GPU may be present on this machine, but a CUDA-enabled jaxlib is not installed. Falling back to cpu.\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_start = make_sim_base(params0, beta=1.0)\n", - "\n", - "ax = sim_start.plot_eps(z=0)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "5dc853c5-a191-4602-bf5b-7fa8761d51f1", - "metadata": {}, - "source": [ - "### Select Input and Output Modes\n", - "\n", - "Next, let's visualize the first 4 mode profiles so we can select which mode indices we want to inject and transmit." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "6fc8e702-bfec-4b7f-8dd1-2b5189f9087f", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
11:01:07 -03 WARNING: The associated `Simulation` object contains custom        \n",
-       "             mediums. It will be automatically restricted to the mode solver    \n",
-       "             plane to reduce data for uploading. To force uploading the original\n",
-       "             `Simulation` object use `reduce_simulation=False`. Setting         \n",
-       "             `reduce_simulation=True` will force simulation reduction in all    \n",
-       "             cases and silence this warning.                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:07 -03\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: The associated `Simulation` object contains custom \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mmediums. It will be automatically restricted to the mode solver \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mplane to reduce data for uploading. To force uploading the original\u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31m`Simulation` object use `\u001b[0m\u001b[33mreduce_simulation\u001b[0m\u001b[31m=\u001b[0m\u001b[3;91mFalse\u001b[0m\u001b[31m`. Setting \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31m`\u001b[0m\u001b[33mreduce_simulation\u001b[0m\u001b[31m=\u001b[0m\u001b[3;92mTrue\u001b[0m\u001b[31m` will force simulation reduction in all \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mcases and silence this warning. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:08 -03 Mode solver created with                                           \n",
-       "             task_id='fdve-4e5fa95b-d57e-438b-903c-2aa81e1b37bf',               \n",
-       "             solver_id='mo-23b407bb-6759-4421-ab18-cf2c9a49dcf0'.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:08 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver created with \n", - "\u001b[2;36m \u001b[0m\u001b[33mtask_id\u001b[0m=\u001b[32m'fdve-4e5fa95b-d57e-438b-903c-2aa81e1b37bf'\u001b[0m, \n", - "\u001b[2;36m \u001b[0m\u001b[33msolver_id\u001b[0m=\u001b[32m'mo-23b407bb-6759-4421-ab18-cf2c9a49dcf0'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "1e292e39985b44a3a694e69ac757c29e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "627ddaf880e54969a9e04745d8a5e768", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:12 -03 Mode solver status: queued                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:12 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:29 -03 Mode solver status: running                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:29 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: running \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:38 -03 Mode solver status: success                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:38 -03\u001b[0m\u001b[2;36m \u001b[0mMode solver status: success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "39d09157fb1f4b9c9bfb84e71806fda9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "from tidy3d.plugins.mode.web import run as run_mode_solver\n", - "\n", - "num_modes = 4\n", - "mode_spec = td.ModeSpec(num_modes=num_modes)\n", - "\n", - "mode_solver = ModeSolver(\n", - " simulation=sim_start.to_simulation()[0],\n", - " plane=source_plane,\n", - " mode_spec=td.ModeSpec(num_modes=num_modes),\n", - " freqs=[freq0],\n", - ")\n", - "modes = run_mode_solver(mode_solver, reduce_simulation=True)" - ] - }, - { - "cell_type": "markdown", - "id": "378dba26-b679-4302-8160-c6df489c7a65", - "metadata": {}, - "source": [ - "Let's visualize the modes next." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "72502ab0-1055-4409-b11e-fae676f1d53e", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Effective index of computed modes: [[1.5720801 1.535463 1.3032304 1.1848152]]\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, axs = plt.subplots(num_modes, 3, figsize=(12, 12), tight_layout=True)\n", - "for mode_index in range(num_modes):\n", - " vmax = 1.1 * max(\n", - " abs(modes.field_components[n].sel(mode_index=mode_index)).max() for n in (\"Ex\", \"Ey\", \"Ez\")\n", - " )\n", - " for field_name, ax in zip((\"Ex\", \"Ey\", \"Ez\"), axs[mode_index]):\n", - " field = modes.field_components[field_name].sel(mode_index=mode_index)\n", - " field.real.plot(label=\"Real\", ax=ax)\n", - " field.imag.plot(ls=\"--\", label=\"Imag\", ax=ax)\n", - " ax.set_title(f\"index={mode_index}, {field_name}\")\n", - " ax.set_ylim(-vmax, vmax)\n", - "\n", - "axs[0, 0].legend()\n", - "\n", - "print(\"Effective index of computed modes: \", np.array(modes.n_eff))" - ] - }, - { - "cell_type": "markdown", - "id": "d5a09ce7-4e64-4ccb-8a8f-54c23c5c7d4a", - "metadata": {}, - "source": [ - "We want to inject the fundamental, Ez-polarized input into the 1st order Ez-polarized input.\n", - "\n", - "From the plots, we see that these modes correspond to the first and third rows, or `mode_index=0` and `mode_index=2`, respectively. \n", - "\n", - "So we make sure that the `mode_index_in` and `mode_index_out` variables are set appropriately and we set a `ModeSpec` with 3 modes to be able to capture the `mode_index_out` in our output data." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "14f6113f-e7fa-4c8d-93e4-9764f396caaa", - "metadata": {}, - "outputs": [], - "source": [ - "mode_index_in = 0\n", - "mode_index_out = 2\n", - "\n", - "num_modes = max(mode_index_in, mode_index_out) + 1\n", - "\n", - "mode_spec = td.ModeSpec(num_modes=num_modes)" - ] - }, - { - "cell_type": "markdown", - "id": "5f7dc503-a3cf-486e-8779-727952a721df", - "metadata": {}, - "source": [ - "Then it is straightforward to generate our source and monitor." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "5bae2746-7ca7-420c-b727-309508621a5a", - "metadata": {}, - "outputs": [], - "source": [ - "# source seeding the simulation\n", - "forward_source = td.ModeSource(\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=freqw),\n", - " center=[source_x, 0, 0],\n", - " size=mode_size,\n", - " mode_index=mode_index_in,\n", - " mode_spec=mode_spec,\n", - " direction=\"+\",\n", - ")\n", - "\n", - "# we'll refer to the measurement monitor by this name often\n", - "measurement_monitor_name = \"measurement\"\n", - "\n", - "# monitor where we compute the objective function from\n", - "measurement_monitor = td.ModeMonitor(\n", - " center=[meas_x, 0, 0],\n", - " size=mode_size,\n", - " freqs=[freq0],\n", - " mode_spec=mode_spec,\n", - " name=measurement_monitor_name,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "87968720-3cd4-434c-97c4-acdb54b18b37", - "metadata": {}, - "source": [ - "Finally, we create a new function that calls our `make_sim_base()` function and adds the source and monitor to the result. This is the function we will use in our objective function to generate our `JaxSimulation` given the input parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "5dfc5be5-99f6-4c9c-8d73-15e696933013", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim(params, beta):\n", - " sim = make_sim_base(params, beta=beta)\n", - " return sim.updated_copy(sources=[forward_source], output_monitors=[measurement_monitor])" - ] - }, - { - "cell_type": "markdown", - "id": "61522716-a26f-400b-a005-5d9493ef7264", - "metadata": {}, - "source": [ - "### Post Processing\n", - "\n", - "Next, we will define a function to tell us how we want to postprocess the output `JaxSimulationData` object to give the conversion power that we are interested in maximizing." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "2081ff1b-46fe-4bb0-9027-8c4ca0a359bd", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def measure_power(sim_data: JaxSimulationData) -> float:\n", - " \"\"\"Return the power in the output_data amplitude at the mode index of interest.\"\"\"\n", - " output_amps = sim_data[\"measurement\"].amps\n", - " amp = output_amps.sel(direction=\"+\", f=freq0, mode_index=mode_index_out)\n", - " return jnp.sum(jnp.abs(amp) ** 2)" - ] - }, - { - "cell_type": "markdown", - "id": "a22f9915-559a-4f6a-a4d3-853f103aacab", - "metadata": {}, - "source": [ - "Then, we add a penalty to produce structures that are invariant under erosion and dilation, which is a useful approach to implementing minimum length scale features." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "b035a178-048c-4404-97c8-f694a94c087a", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "\n", - "\n", - "def penalty(params, beta):\n", - " processed_params = filter_project(params, beta=beta)\n", - "\n", - " ed_penalty = ErosionDilationPenalty(length_scale=radius, pixel_size=dl_design_region)\n", - " return ed_penalty.evaluate(processed_params)" - ] - }, - { - "cell_type": "markdown", - "id": "5863a5c3-3b5e-4927-9e18-749b660e7c3f", - "metadata": {}, - "source": [ - "### Define Objective Function\n", - "\n", - "Finally, we need to define the objective function that we want to maximize as a function of our input parameters (permittivity of each pixel) that returns the conversion power. This is the function we will differentiate later." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "71c5b2ed-a036-4578-ad44-89aa70f59e28", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "def J(params, beta: float, step_num: int = None, verbose: bool = False) -> float:\n", - " sim = make_sim(params, beta=beta)\n", - " task_name = \"inv_des\"\n", - " if step_num:\n", - " task_name += f\"_step_{step_num}\"\n", - " sim_data = run(sim, task_name=task_name, verbose=verbose)\n", - " penalty_weight = np.minimum(1, beta / 25)\n", - " return measure_power(sim_data) - penalty_weight * penalty(params, beta)" - ] - }, - { - "cell_type": "markdown", - "id": "075f3d66-c98f-4410-829a-b178464de0b8", - "metadata": {}, - "source": [ - "## Inverse Design\n", - "\n", - "Now we are ready to perform the optimization.\n", - "\n", - "We use the `jax.value_and_grad` function to get the gradient of `J` with respect to the permittivity of each `Box`, while also returning the converted power associated with the current iteration, so we can record this value for later.\n", - "\n", - "Let's try running this function once to make sure it works." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "9ee539ec-11a2-4107-8270-9d58c7607562", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "dJ_fn = value_and_grad(J)" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "9c60dfdf-3518-44ce-b658-ea192950aa83", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
11:01:49 -03 Created task 'inv_des' with task_id                                \n",
-       "             'fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:49 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'inv_des'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34d\n",
-       "             b-4b3f-9513-dd50b621b10b'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=837104;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=793378;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=837104;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=731883;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=837104;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32m-f3b38f0f-34d\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=837104;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[32mb-4b3f-9513-dd50b621b10b'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ac833e5ac2fd4f2b9d37956c9fcaf448", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "bf6c545e44484a6b8cfab94be8017f9e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:55 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:55 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "84abcb1f0d9d4769956d9b921f9ca409", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:08 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:08 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:02:12 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:12 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:13 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:13 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "62f6d501c7404c6eb57def9c9380395c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:19 -03 early shutoff detected at 12%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:19 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m12\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:20 -03 status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:20 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "f3250b63614e47ab812ec4a78142724f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:39 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:39 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34d\n",
-       "             b-4b3f-9513-dd50b621b10b'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=899937;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=85460;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=899937;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=586791;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=899937;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34m-f3b38f0f-34d\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=899937;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f3b38f0f-34db-4b3f-9513-dd50b621b10b\u001b\\\u001b[4;34mb-4b3f-9513-dd50b621b10b'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "1076d11f8d8a4e1280cbf40ac074fbd8", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:45 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:45 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:47 -03 Created task 'inv_des_adj' with task_id                            \n",
-       "             'fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:47 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'inv_des_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899\n",
-       "             a-49af-acd6-8ab36021f0ae'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=747774;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=545702;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=747774;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=114628;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=747774;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32m-2cd90a08-899\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=747774;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[32ma-49af-acd6-8ab36021f0ae'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "86400c24e5e04e74bc8bd4baa4e36206", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "714e2006eb464626b4edec21d7f420b2", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:55 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:55 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "07c7ffbdef04431ca95c48fa1989b498", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:03:10 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:03:10 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:03:14 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:03:14 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "24a1a150012e40d3ad9c363cda7f4381", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:03:22 -03 early shutoff detected at 8%, exiting.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:03:22 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m8\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "42a397a278174b0fac0cfd8126cb888d", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:03:31 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:03:31 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:03:32 -03 View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899\n",
-       "             a-49af-acd6-8ab36021f0ae'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:03:32 -03\u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=679125;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=279992;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=679125;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=334347;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=679125;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34m-2cd90a08-899\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=679125;https://tidy3d.simulation.cloud/workbench?taskId=fdve-2cd90a08-899a-49af-acd6-8ab36021f0ae\u001b\\\u001b[4;34ma-49af-acd6-8ab36021f0ae'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "3e4df71fe05643e08fff969dc42e72f9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "(500, 300)\n" - ] - } - ], - "source": [ - "val, grad = dJ_fn(params0, beta=1, verbose=True)\n", - "print(grad.shape)" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "fc7c5898-e2f5-45f0-9273-64efc725417e", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[[ 1.9115957e-08 2.0341925e-08 2.1002823e-08 ... -5.6986043e-09\n", - " -5.3410938e-09 -4.9523634e-09]\n", - " [ 2.2382387e-08 2.3751845e-08 2.4416215e-08 ... -6.0140790e-09\n", - " -5.7981397e-09 -5.4938458e-09]\n", - " [ 2.5903649e-08 2.7416876e-08 2.8085157e-08 ... -6.3867702e-09\n", - " -6.3510948e-09 -6.1579835e-09]\n", - " ...\n", - " [ 4.1107819e-07 4.7213894e-07 5.3059080e-07 ... -5.0702891e-07\n", - " -4.4837859e-07 -3.8811166e-07]\n", - " [ 3.7093525e-07 4.2642949e-07 4.7960219e-07 ... -4.5335065e-07\n", - " -4.0046683e-07 -3.4622119e-07]\n", - " [ 3.2704909e-07 3.7625156e-07 4.2354606e-07 ... -3.9623021e-07\n", - " -3.4959373e-07 -3.0191538e-07]]\n" - ] - } - ], - "source": [ - "print(grad)" - ] - }, - { - "cell_type": "markdown", - "id": "529fad9d-3692-464b-9a45-bea3e084c1b5", - "metadata": {}, - "source": [ - "### Optimization\n", - "\n", - "We will use \"Adam\" optimization strategy to perform sequential updates of each of the permittivity values in the [JaxCustomMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxCustomMedium.html?highlight=JaxCustomMedium#tidy3d.plugins.adjoint.JaxCustomMedium).\n", - "\n", - "For more information on what we use to implement this method, see [this article](https://optimization.cbe.cornell.edu/index.php?title=Adam).\n", - "\n", - "We will run 10 steps and measure both the permittivities and powers at each iteration.\n", - "\n", - "We capture this process in an `optimize` function, which accepts various parameters that we can tweak." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "3eedf09a-9265-43ec-a557-3d0ec5b774bb", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tbeta = 1.0000e+00\n", - "\tJ = -3.9940e-02\n", - "\tgrad_norm = 4.8046e-04\n", - "step = 2\n", - "\tbeta = 2.0000e+00\n", - "\tJ = 6.8252e-03\n", - "\tgrad_norm = 8.9551e-03\n", - "step = 3\n", - "\tbeta = 3.0000e+00\n", - "\tJ = -5.8742e-02\n", - "\tgrad_norm = 9.5530e-03\n", - "step = 4\n", - "\tbeta = 4.0000e+00\n", - "\tJ = -8.3863e-02\n", - "\tgrad_norm = 5.8784e-03\n", - "step = 5\n", - "\tbeta = 5.0000e+00\n", - "\tJ = 1.3108e-01\n", - "\tgrad_norm = 1.1276e-02\n", - "step = 6\n", - "\tbeta = 6.0000e+00\n", - "\tJ = 3.3037e-01\n", - "\tgrad_norm = 1.4994e-02\n", - "step = 7\n", - "\tbeta = 7.0000e+00\n", - "\tJ = 4.2607e-01\n", - "\tgrad_norm = 1.9793e-02\n", - "step = 8\n", - "\tbeta = 8.0000e+00\n", - "\tJ = 4.7463e-01\n", - "\tgrad_norm = 1.4728e-02\n", - "step = 9\n", - "\tbeta = 9.0000e+00\n", - "\tJ = 6.4065e-01\n", - "\tgrad_norm = 1.1329e-02\n", - "step = 10\n", - "\tbeta = 1.0000e+01\n", - "\tJ = 7.2823e-01\n", - "\tgrad_norm = 1.0882e-02\n", - "step = 11\n", - "\tbeta = 1.1000e+01\n", - "\tJ = 7.6354e-01\n", - "\tgrad_norm = 1.3361e-02\n", - "step = 12\n", - "\tbeta = 1.2000e+01\n", - "\tJ = 7.8878e-01\n", - "\tgrad_norm = 1.2873e-02\n", - "step = 13\n", - "\tbeta = 1.3000e+01\n", - "\tJ = 8.3580e-01\n", - "\tgrad_norm = 6.2414e-03\n", - "step = 14\n", - "\tbeta = 1.4000e+01\n", - "\tJ = 8.4929e-01\n", - "\tgrad_norm = 7.4505e-03\n", - "step = 15\n", - "\tbeta = 1.5000e+01\n", - "\tJ = 8.6637e-01\n", - "\tgrad_norm = 4.5926e-03\n", - "step = 16\n", - "\tbeta = 1.6000e+01\n", - "\tJ = 8.7438e-01\n", - "\tgrad_norm = 4.1641e-03\n", - "step = 17\n", - "\tbeta = 1.7000e+01\n", - "\tJ = 8.8190e-01\n", - "\tgrad_norm = 4.4081e-03\n", - "step = 18\n", - "\tbeta = 1.8000e+01\n", - "\tJ = 8.9487e-01\n", - "\tgrad_norm = 2.5973e-03\n", - "step = 19\n", - "\tbeta = 1.9000e+01\n", - "\tJ = 9.0314e-01\n", - "\tgrad_norm = 3.2183e-03\n", - "step = 20\n", - "\tbeta = 2.0000e+01\n", - "\tJ = 9.1222e-01\n", - "\tgrad_norm = 2.4600e-03\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# hyperparameters\n", - "num_steps = 20\n", - "learning_rate = 1.0\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = np.array(params0)\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "Js = []\n", - "params_history = [params]\n", - "beta_history = []\n", - "\n", - "# gradually increase the binarization strength\n", - "beta0 = 1\n", - "beta_increment = 1\n", - "\n", - "for i in range(num_steps):\n", - " # compute gradient and current objective function value\n", - "\n", - " beta = beta0 + i * beta_increment\n", - " value, gradient = dJ_fn(params, step_num=i + 1, beta=beta)\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tbeta = {beta:.4e}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # cap the parameters\n", - " params = jnp.minimum(params, 1.0)\n", - " params = jnp.maximum(params, 0.0)\n", - "\n", - " # save history\n", - " Js.append(value)\n", - " params_history.append(params)\n", - " beta_history.append(beta)\n", - "\n", - "power = J(params_history[-1], beta=beta)\n", - "Js.append(power)" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "30ce10a9-526c-434a-8606-f31c33949a41", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "params_final = params_history[-1]" - ] - }, - { - "cell_type": "markdown", - "id": "6af5ad27-46a0-4f72-975d-ebe3040ee446", - "metadata": {}, - "source": [ - "Let's run the optimize function." - ] - }, - { - "cell_type": "markdown", - "id": "bd0b335a-c8bd-49a9-a1c5-f08b6125f21b", - "metadata": {}, - "source": [ - "and then record the final power value (including the last iteration's parameter updates)." - ] - }, - { - "cell_type": "markdown", - "id": "e0b44fda-bf57-42cf-8370-05bf20de96df", - "metadata": {}, - "source": [ - "### Results\n", - "\n", - "First, we plot the objective function (power converted to 1st order mode) as a function of step and notice that it converges nicely!" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "bc757643-2b71-4394-8fa6-f24c305848af", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(Js)\n", - "plt.xlabel(\"iterations\")\n", - "plt.ylabel(\"objective function\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "209d151d-4fe5-4b5e-8c3b-633c0b451c70", - "metadata": {}, - "source": [ - "We then will visualize the final structure, so we convert it to a regular `Simulation` using the final permittivity values and plot it." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "cfde96ed-f4b4-4106-b70e-0659058a82d6", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "sim_final = make_sim(params_final, beta=beta)" - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "2c1ec6e0-cd42-4ef3-af95-5cbf7e0327a1", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_final = sim_final.to_simulation()[0]\n", - "sim_final.plot_eps(z=0)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "a6309d9a-01da-46da-96f1-236e86d7aa30", - "metadata": {}, - "source": [ - "Finally, we want to inspect the fields, so we add a field monitor to the `Simulation` and perform one more run to record the field values for plotting." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "3d9e6150-7b42-42c8-8418-2af4d02a1ec8", - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "field_mnt = td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " name=\"field_mnt\",\n", - ")\n", - "\n", - "sim_final = sim_final.copy(update=dict(monitors=(field_mnt, measurement_monitor)))" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "eb9e98d5-70e7-4fea-abcf-047489bd6a22", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
11:40:07 -03 Created task 'inv_des_final' with task_id                          \n",
-       "             'fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:07 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'inv_des_final'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6\n",
-       "             f-4ccb-aad9-493a31707e60'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=18939;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=966908;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=18939;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=450392;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=18939;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32m-85862bfd-ce6\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=18939;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[32mf-4ccb-aad9-493a31707e60'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "4ada6a1245e84e8aa52c58dc297f128b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:12 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:12 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "78e6f2282dfb40338c20eab37d05cc72", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:27 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:27 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:40:31 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:31 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:32 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:32 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "97d4a5026b7a483cac4c2de2bdbf794e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:40 -03 early shutoff detected at 12%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:40 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m12\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "48fadfd2b32f4d9d95817800430cf482", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:47 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:47 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6\n",
-       "             f-4ccb-aad9-493a31707e60'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=958410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=716456;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=958410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=176003;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=958410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34m-85862bfd-ce6\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=958410;https://tidy3d.simulation.cloud/workbench?taskId=fdve-85862bfd-ce6f-4ccb-aad9-493a31707e60\u001b\\\u001b[4;34mf-4ccb-aad9-493a31707e60'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e5cf490394ee481fa5c9b00f294751b1", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:40:51 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:40:51 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_final = web.run(sim_final, task_name=\"inv_des_final\")" - ] - }, - { - "cell_type": "markdown", - "id": "6840c1ae-bd2a-470f-9875-cb05759d2df5", - "metadata": {}, - "source": [ - "We notice that the behavior is as expected and the device performs exactly how we intended!" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "0a28c766-f877-4760-a0f5-ba7851d1759a", - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, (ax0, ax1, ax2) = plt.subplots(1, 3, figsize=(12, 3), tight_layout=True)\n", - "sim_final.plot_eps(z=0.01, ax=ax0)\n", - "ax1 = sim_data_final.plot_field(\"field_mnt\", \"Ez\", z=0, ax=ax1)\n", - "ax2 = sim_data_final.plot_field(\"field_mnt\", \"E\", \"abs^2\", z=0, ax=ax2)" - ] - }, - { - "cell_type": "markdown", - "id": "104d7469-8489-44d2-8a60-d5b813c6331b", - "metadata": {}, - "source": [ - "The final device converts more than 90% of the input power to the 1st mode, up from < 1% when we started." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "ebd825e4-6dfe-4836-940c-cf9bd491522a", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Final power conversion = 96.06%\n" - ] - } - ], - "source": [ - "final_power = (\n", - " sim_data_final[\"measurement\"].amps.sel(direction=\"+\", f=freq0, mode_index=mode_index_out).abs\n", - " ** 2\n", - ")\n", - "print(f\"Final power conversion = {final_power * 100:.2f}%\")" - ] - }, - { - "cell_type": "markdown", - "id": "eb6d530a", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry, a `frequency` to evaluate the permittivity, and a `permittivity_threshold` to define the shape boundaries in custom mediums. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "69afad7b-33ff-4a06-81bb-1c3bdbc50b6b", - "metadata": {}, - "outputs": [], - "source": [ - "sim_final.to_gds_file(\n", - " fname=\"./misc/inv_des_mode_conv.gds\", z=0, frequency=freq0, permittivity_threshold=2.6\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates how to perform inverse design optimization of an optical mode converter in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "./img/adjoint_3.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, adjoint optimization, optical mode converter, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "nbdime-conflicts": { - "local_diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 0, - "length": 1, - "op": "removerange" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ], - "remote_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "9" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ] - }, - "title": "Inverse Design of a Mode Converter Using the Adjoint Plugin | Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "02bd58b8f27b49079c8cfaae08e484ed": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "0cc59d91e6884de8878637d06f69298f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "131b81dce4714ce69a7ed086788d1178": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_346b3ff743f84696a2a3eb47172865a6", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━ 100.0%43.0/43.0 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m43.0/43.0 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "1c3c5d9b9f8c4762af46440e2f9de9b0": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_0cc59d91e6884de8878637d06f69298f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'inv_des'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'inv_des'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "28d2d187d50744619ffd15c7ae3d46ab": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2cf4637d47444cb89788003100a96e1c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2d8b8f7952de4429a454df590618cd63": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_97b116cecc8d4eba90ff6f1899098767", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "346b3ff743f84696a2a3eb47172865a6": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "3b97302e734846f68dc34be2e1e9f622": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_477714e92f7845838dcca8908b862048", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "3f3ecee4ff984da1ab6af8cde74aa4d6": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_2cf4637d47444cb89788003100a96e1c", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━ 100.0%148.6/148.6 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m148.6/148.6 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "43ee1faf5c0f4bd7952b4c9f3901f58d": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_28d2d187d50744619ffd15c7ae3d46ab", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'inv_des_adj'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'inv_des_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "477714e92f7845838dcca8908b862048": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4d65e6b885674bc8bff06e62f0b089f6": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_a1c5f0dfa271458dad9e5ded066f966f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'inv_des'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'inv_des'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "51efd5e7d641461d9392377e4dc80027": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5bb49f9b044f49518c9c2c21dffed65b": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_02bd58b8f27b49079c8cfaae08e484ed", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "616294d7d1c243feb07bfcc5ab642cc5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6f6853ea5883400bbff752f3c60139c9": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6fe1bfb2d1a14e339a1b711d8b1d89a1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "706c91a16eb347379d86f710b83d7e6b": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_8078dc74436c47b093bd481bb6624578", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━ 100.0%237.1/237.1 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m237.1/237.1 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "7417aa6cc0bb4350b3f28e1826298b03": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "756ecdb25ec94e52907adfd2ecb443f3": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_f52a00c4ea904dccb1418ba1a118d6be", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'inv_des_adj'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'inv_des_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "8078dc74436c47b093bd481bb6624578": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8a006d803a9341ba81fc1cddec63f4cf": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d61f53cb0349458ab366bebcc42a6028", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━ 100.0%110.6/110.6 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m110.6/110.6 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "97916d3681e24426a9724844691f7038": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_7417aa6cc0bb4350b3f28e1826298b03", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "97b116cecc8d4eba90ff6f1899098767": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "9ac6fa173f624d24a2fce05e6f1327d0": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a1c5f0dfa271458dad9e5ded066f966f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a992634b68574d25a4c65061ebdad55f": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6fe1bfb2d1a14e339a1b711d8b1d89a1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━ 100.0%13.3/13.3 MB22.4 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m13.3/13.3 MB\u001b[0m • \u001b[31m22.4 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "b17032aa2dca423080814069bd0548cb": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_9ac6fa173f624d24a2fce05e6f1327d0", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━ 100.0%100.1/100.1 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m100.1/100.1 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d61f53cb0349458ab366bebcc42a6028": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e84d3af0debd414ba94b938d3a285d75": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6f6853ea5883400bbff752f3c60139c9", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "f2fb4fc3f8be4eb7acfb42679d70a73c": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_51efd5e7d641461d9392377e4dc80027", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "f3e34e693eff477797f8c8517fbf1a82": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_616294d7d1c243feb07bfcc5ab642cc5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "f52a00c4ea904dccb1418ba1a118d6be": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin4MultiObjective.ipynb b/AdjointPlugin4MultiObjective.ipynb deleted file mode 100644 index 6bede27a..00000000 --- a/AdjointPlugin4MultiObjective.ipynb +++ /dev/null @@ -1,3180 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "df03ca2b-256f-472c-bcaf-e35a529143c6", - "metadata": {}, - "source": [ - "# Multi-objective adjoint optimization\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd4MultiObjective/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "In this notebook, we will show how to use the adjoint plugin efficiently for objectives involving several simulations.\n", - "\n", - "One common application of this involves defining an objective function that may depend on several different definitions of your structures, each with some geometric or material modification. For example, including the performance of devices with slightly larger or smaller feature sizes into one's objective can serve to make optimization more robust to fabrication errors. For more details, see this [paper](https://link.springer.com/article/10.1007/s10409-009-0240-z). " - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "5accbf7e-221b-46b8-8aa4-b010fde0a816", - "metadata": {}, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda" - ] - }, - { - "cell_type": "markdown", - "id": "6a908597-1bb4-45ff-8fc9-dca626011d5c", - "metadata": {}, - "source": [ - "### Setup\n", - "\n", - "First, let's define the frequency that our objective will depend on" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "247c3045-6b11-4a86-9bc7-d9ba1a8c173e", - "metadata": {}, - "outputs": [], - "source": [ - "freq0 = 2e14\n", - "wavelength = td.C_0 / freq0" - ] - }, - { - "cell_type": "markdown", - "id": "d96aca0f-a9da-4f8a-833c-5cefe8a3bb7f", - "metadata": {}, - "source": [ - "Now we set up some physical parameters.\n", - "\n", - "We will be putting a `td.Box` in the center of a domain with a point source on one side and a diffraction monitor on the other.\n", - "\n", - "The objective will involve summing the power of the 0th order diffraction order.\n", - "\n", - "The gradient of this objective will be computed with respect to the permittivity of the box.\n", - "\n", - "We will adjust the size of the box by `dy` in the y direction and define a combined objective function that returns the average power when the box is either perturbed by `+dy`, `0`, or `-dy`, which emulates a treatment for enhancing device robustness via dilation and erosion effects, as described in the paper linked at the top of this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "6894b8a3-4889-431f-bb68-f3bd28b73369", - "metadata": {}, - "outputs": [], - "source": [ - "permittivity_val = 2.0\n", - "\n", - "# box sizes (original design)\n", - "lx = wavelength\n", - "ly = wavelength\n", - "lz = wavelength\n", - "\n", - "# amount by which we will change the box size in y\n", - "dy = ly / 5.0\n", - "\n", - "buffer = 2 * wavelength\n", - "\n", - "Lx = lx + 2 * buffer\n", - "Ly = ly + dy + 2 * buffer\n", - "Lz = lz + 2 * buffer\n", - "\n", - "\n", - "src_pos_x = -Lx / 2 + buffer / 2\n", - "mnt_pos_x = +Lx / 2 - buffer / 2" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "7257c894-0eb8-4813-bc86-8d5ee9b4d639", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim(permittivity: float, dy_sign: int) -> tda.JaxSimulation:\n", - " \"\"\"Make a simulation as a function of the box permittivity and the frequency.\"\"\"\n", - "\n", - " box_size = ly + dy_sign * dy\n", - "\n", - " box = tda.JaxStructure(\n", - " geometry=tda.JaxBox(center=(0.0, 0.0, 0.0), size=(lx, box_size, lz)),\n", - " medium=tda.JaxMedium(permittivity=permittivity),\n", - " )\n", - "\n", - " src = td.PointDipole(\n", - " center=(src_pos_x, 0, 0),\n", - " polarization=\"Ey\",\n", - " source_time=td.GaussianPulse(\n", - " freq0=freq0,\n", - " fwidth=freq0 / 10,\n", - " ),\n", - " )\n", - "\n", - " mnt = td.DiffractionMonitor(\n", - " center=(mnt_pos_x, 0, 0),\n", - " size=(0, td.inf, td.inf),\n", - " freqs=[freq0],\n", - " name=\"diffraction\",\n", - " )\n", - "\n", - " return tda.JaxSimulation(\n", - " size=(Lx, Ly, Lz),\n", - " input_structures=[box],\n", - " output_monitors=[mnt],\n", - " sources=[src],\n", - " grid_spec=td.GridSpec.auto(wavelength=td.C_0 / freq0),\n", - " boundary_spec=td.BoundarySpec(\n", - " x=td.Boundary.pml(), y=td.Boundary.periodic(), z=td.Boundary.periodic()\n", - " ),\n", - " run_time=200 / src.source_time.fwidth,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "e11b1338-e831-47f6-85d7-d3abe2aef5d5", - "metadata": {}, - "source": [ - "Let's make a simulation for each of the perturbed size values and visualize them." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "c03a39c5-83e4-4e0d-84a2-c8bf86446b60", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, axes = plt.subplots(1, 3, tight_layout=True, figsize=(10, 4))\n", - "\n", - "for ax, dy_sign in zip(axes, (-1, 0, 1)):\n", - " jax_sim = make_sim(permittivity=permittivity_val, dy_sign=dy_sign)\n", - " ax = jax_sim.plot(z=0, ax=ax)\n", - " ax.set_title(f\"size[y]={jax_sim.input_structures[0].geometry.size[1]:.2f}\")" - ] - }, - { - "cell_type": "markdown", - "id": "2aa83e08-2983-4d8d-b85b-31584c96872d", - "metadata": {}, - "source": [ - "### Define Objective\n", - "\n", - "Now let's define our objective function, first we defined how to postprocess a `SimulationData` to give the desired power." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "e673c7d6-e44f-4086-bf98-d201739201fc", - "metadata": {}, - "outputs": [], - "source": [ - "def post_process(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"O-th order diffracted power.\"\"\"\n", - " amp = sim_data[\"diffraction\"].amps.sel(orders_x=0, orders_y=0)\n", - " return jnp.sum(jnp.abs(amp.values) ** 2)" - ] - }, - { - "cell_type": "markdown", - "id": "56d3acce-0f8b-493d-9cf7-0568720827f5", - "metadata": {}, - "source": [ - "And then we write our combined, multi-objective over all of the `dy` values. We use the [plugins.adjoint.web.run_async](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.web.run_async.html) function to run a list of these three simulations simultaneously." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "86e4ec1c-89ca-474c-83d1-68c6c3bcbdb3", - "metadata": {}, - "outputs": [], - "source": [ - "def objective(permittivity: float) -> float:\n", - " \"\"\"Average of O-th order diffracted power over all dy_sign values.\"\"\"\n", - " sims = [make_sim(permittivity, dy_sign=dy_sign) for dy_sign in (-1, 0, 1)]\n", - " sim_data_list = tda.web.run_async(sims, path_dir=\"data\", verbose=True)\n", - " powers = [post_process(sim_data) for sim_data in sim_data_list]\n", - " return jnp.mean(jnp.array(powers))" - ] - }, - { - "cell_type": "markdown", - "id": "318b2a19-5536-4332-9903-8f63ce8ae502", - "metadata": {}, - "source": [ - "### Multi-Objective Gradient Calculation\n", - "\n", - "Finally, we are ready to use `jax.value_and_grad` to differentiate this function." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "15546df4-05b5-480f-8a10-32a69f434088", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
[10:58:35] Created task '0_fwd' with task_id                                    \n",
-       "           'fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:35]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'0_fwd'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-\n",
-       "           4b67-8159-c83354a27ea1v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=126246;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=347174;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=126246;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=848658;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=126246;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34m-b20f9e17-3302-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=126246;https://tidy3d.simulation.cloud/workbench?taskId=fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1\u001b\\\u001b[4;34m4b67-8159-c83354a27ea1v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6ae3f171d63d48a1bf969952021b1780", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:58:36] Created task '1_fwd' with task_id                                    \n",
-       "           'fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:36]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'1_fwd'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-\n",
-       "           47dd-b1d1-b7652e74ccddv1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=803631;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=821521;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=803631;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=329856;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=803631;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34m-aee667ea-d54c-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=803631;https://tidy3d.simulation.cloud/workbench?taskId=fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1\u001b\\\u001b[4;34m47dd-b1d1-b7652e74ccddv1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "d6fd51bd2e04436aba4ef102717215e2", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:58:37] Created task '2_fwd' with task_id                                    \n",
-       "           'fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:37]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'2_fwd'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-\n",
-       "           49d0-be89-c04eadb3577ev1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=746639;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=100766;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=746639;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=508554;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=746639;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34m-caa12e68-4916-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=746639;https://tidy3d.simulation.cloud/workbench?taskId=fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1\u001b\\\u001b[4;34m49d0-be89-c04eadb3577ev1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "9de3cc9b601549cbaa5a012e975222f7", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:58:39] Started working on Batch.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:39]\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:58:40] Maximum FlexCredit cost: 0.075 for the whole batch. Use              \n",
-       "           'Batch.real_cost()' to get the billed FlexCredit cost after the Batch\n",
-       "           has completed.                                                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:40]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.075\u001b[0m for the whole batch. Use \n", - "\u001b[2;36m \u001b[0m\u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after the Batch\n", - "\u001b[2;36m \u001b[0mhas completed. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e516830bd9cb45138ad362063c98498a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:58:59] Batch complete.                                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:58:59]\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a8bbe3f71c08454ba28a477a34711fe4", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:01] loading SimulationData from                                          \n",
-       "           data/fdve-b20f9e17-3302-4b67-8159-c83354a27ea1v1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:01]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93mb20f9e17-3302-4b67-8159-c83354a27ea1\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ba49b28432314413937251d344b03620", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:02] loading SimulationData from                                          \n",
-       "           data/fdve-aee667ea-d54c-47dd-b1d1-b7652e74ccddv1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:02]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93maee667ea-d54c-47dd-b1d1-b7652e74ccdd\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7fb33e56c0bb496b98244b41452f18b9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:03] loading SimulationData from                                          \n",
-       "           data/fdve-caa12e68-4916-49d0-be89-c04eadb3577ev1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:03]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93mcaa12e68-4916-49d0-be89-c04eadb3577e\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:04] Created task '0_adj' with task_id                                    \n",
-       "           'fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:04]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'0_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-\n",
-       "           499a-b410-f84ef336d4ecv1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=929538;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=989345;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=929538;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=677172;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=929538;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34m-c2264f3e-360a-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=929538;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1\u001b\\\u001b[4;34m499a-b410-f84ef336d4ecv1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "c98c0935717340f6a5f01967a883cc03", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:05] Created task '1_adj' with task_id                                    \n",
-       "           'fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:05]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'1_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-\n",
-       "           4127-8b7c-4107cb45dce4v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=347652;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=638906;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=347652;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=947695;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=347652;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34m-0914c5d7-6da1-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=347652;https://tidy3d.simulation.cloud/workbench?taskId=fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1\u001b\\\u001b[4;34m4127-8b7c-4107cb45dce4v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "01787b74f3fb45a78ee70d1fa6c3de77", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           Created task '2_adj' with task_id                                    \n",
-       "           'fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'2_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at                                            \n",
-       "           'https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-\n",
-       "           43de-b7a3-035bb6ca86b9v1'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=252486;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=389906;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=252486;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=456204;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=252486;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34m-1ac8f06a-d66a-\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=252486;https://tidy3d.simulation.cloud/workbench?taskId=fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1\u001b\\\u001b[4;34m43de-b7a3-035bb6ca86b9v1'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "0cbaaaa78b2e4de3b41c2d3efaa953bd", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:07] Started working on Batch.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:07]\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:09] Maximum FlexCredit cost: 0.075 for the whole batch. Use              \n",
-       "           'Batch.real_cost()' to get the billed FlexCredit cost after the Batch\n",
-       "           has completed.                                                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:09]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.075\u001b[0m for the whole batch. Use \n", - "\u001b[2;36m \u001b[0m\u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after the Batch\n", - "\u001b[2;36m \u001b[0mhas completed. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "8d61d685d2a64ef8945216e73a02e658", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:28] Batch complete.                                                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:28]\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "39f9b1979bd54371b65f44a2974e9d51", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:30] loading SimulationData from                                          \n",
-       "           data/fdve-c2264f3e-360a-499a-b410-f84ef336d4ecv1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:30]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93mc2264f3e-360a-499a-b410-f84ef336d4ec\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a169fc07b7de4e23966fd785fd995c88", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:31] loading SimulationData from                                          \n",
-       "           data/fdve-0914c5d7-6da1-4127-8b7c-4107cb45dce4v1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:31]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93m0914c5d7-6da1-4127-8b7c-4107cb45dce4\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "1a94a477b1b64003883827c348016017", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[10:59:32] loading SimulationData from                                          \n",
-       "           data/fdve-1ac8f06a-d66a-43de-b7a3-035bb6ca86b9v1.hdf5                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[10:59:32]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from \n", - "\u001b[2;36m \u001b[0mdata/fdve-\u001b[93m1ac8f06a-d66a-43de-b7a3-035bb6ca86b9\u001b[0mv1.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "average power = 2.62e+00\n", - "derivative of average power wrt permittivity = -8.79e-01\n" - ] - } - ], - "source": [ - "grad_objective = jax.value_and_grad(objective)\n", - "\n", - "power_average, grad_power_min = grad_objective(permittivity_val)\n", - "print(f\"average power = {power_average:.2e}\")\n", - "print(f\"derivative of average power wrt permittivity = {grad_power_min:.2e}\")" - ] - }, - { - "cell_type": "markdown", - "id": "cbb1fa38-7212-48d2-bd3c-6538f39d378a", - "metadata": {}, - "source": [ - "### Sanity Checking: Manual Loop over size\n", - "\n", - "Now we will implement the brute force approach to computing the multi-objective gradient by the naive approach of looping over dy values and computing the individual gradient contributions one by one." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "722f8199-6316-49d0-a53d-2e39c3f649cd", - "metadata": {}, - "outputs": [], - "source": [ - "def grad_manual(permittivity: float) -> float:\n", - " \"\"\"Average of O-th order diffracted power over all dy_sign values.\"\"\"\n", - "\n", - " grad_avg = 0.0\n", - "\n", - " for dy_sign in (-1, 0, 1):\n", - " print(f\"working on dy_sign = {dy_sign}\")\n", - "\n", - " def objective_fn(p, dy_sign=dy_sign):\n", - " sims = make_sim(p, dy_sign=dy_sign)\n", - " sim_data = tda.web.run(sims, task_name=f\"dy_sign={dy_sign}\", verbose=False)\n", - " return post_process(sim_data)\n", - "\n", - " grad_fn = jax.grad(objective_fn)\n", - "\n", - " gradient = grad_fn(permittivity)\n", - " grad_avg += gradient / 3.0\n", - "\n", - " return grad_avg" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "4662f3ea-ec95-4d40-8ac9-255ca3228b18", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "working on dy_sign = -1\n", - "working on dy_sign = 0\n", - "working on dy_sign = 1\n" - ] - } - ], - "source": [ - "grad_man = grad_manual(permittivity_val)" - ] - }, - { - "cell_type": "markdown", - "id": "274b87fc-b3d4-4d33-a782-d0338b694220", - "metadata": {}, - "source": [ - "We can see that they match, as expected." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "24aa18da-fa10-4307-acde-ca0ac24bf98e", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "gradient (batched) = -8.7947e-01\n", - "gradient (looped) = -8.7947e-01\n" - ] - } - ], - "source": [ - "print(f\"gradient (batched) = {grad_power_min:.4e}\")\n", - "print(f\"gradient (looped) = {grad_man:.4e}\")" - ] - }, - { - "cell_type": "markdown", - "id": "2386142b-fe8e-4fa2-b80d-942db85b4d0c", - "metadata": {}, - "source": [ - "## Takeaways\n", - "\n", - "The main thing to note here is that, using [plugins.adjoint.web.run_async](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.web.run_async.html), all of the individual simulations were uploaded at roughly the same time.\n", - "\n", - "This means that the server is able to work on them concurrently rather than needing to wait for the previously uploaded one to finish. The time savings for applications with several simulations can be enormous.\n", - "\n", - "> Note: Native support for multi-frequency output monitors was added in Tidy3D 2.5. Previously it was recommended that users use the `run_async` approach described here for multi-frequency objectives, but this is no longer necessary. That being said, for objectives with very tightly-packed frequency spacing in the output monitors, using a batch approach such as described here may be advantageous as the multi-frequency adjoint approach requires a run_time that scales inversely with the difference between frequency points, potentially requiring long simulation run times. The overall wait time may therefore be shorter using a batch approach." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "8595d30c-cba4-4a08-9967-e6ecef80d98f", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "3b4eb68b-0923-40c0-a2cf-cc5a99d45bb8", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates how to perform multi-objective adjoint optimization in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "adjoint optimization, multi-objective, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "title": "Multi-objective Adjoint Optimization in Tidy3D Using the Adjoint Plugin| Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "0172e964c13348fc8e48908bfc282672": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_ba5894ecac91402cb58e1acfccf46c8c", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.3/1.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.3/1.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "029f76bafae2431087ec71f7c90dbe72": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_9701023e40e342efb9ad862ca9e75f08", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.3/1.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.3/1.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "03e03415151e4b46b73c831ec303758f": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_04ab25b62ee74156bc1776ea4157869e", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%62.7/62.7 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m62.7/62.7 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "04ab25b62ee74156bc1776ea4157869e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "10da14b764cf47db8d9f8e7b7edd0cd0": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "13cfbe9cfc2446c7ad760c4ac47a8e14": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_1cf423d7c88f4e8fbb4a191c40e5d951", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%38.3/38.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m38.3/38.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "1cf423d7c88f4e8fbb4a191c40e5d951": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "29f8590c361b48bca1e12494fcfb8ab4": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_95536a961542477b8bcfb90afc30a276", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "3ed07f6927d54b3a809fd1a2af1e7a89": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "415d1fd49bd94508b4e4d77fa274fcb2": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "499d3648550b48f3bbf9220599befb23": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4c2ee4134272409d94da42708a5c6c6c": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_7c15683d4633430182172947a7fcfcd5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%6.2/6.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m6.2/6.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "4f1e8a2d262e4ce091d65797c1d76975": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "51362b4ae19f425c894721e7153a3876": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5253d6ea70a843e4b9bdc30d86b7eca1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "532c83763d6845d79f635c392ba2ba10": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "544887ce1b4d459cb05cecb61eb0b546": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5b287f1ec54d4edc812b0e5be7d78757": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_415d1fd49bd94508b4e4d77fa274fcb2", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
0: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n1: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "0: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n1: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "67909a05940f4ea4b748b21a64df558e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "70d7dacfaea84f63b243825524612c32": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_aea6d00970cd4766bdf824f29f868ae1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.3/1.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.3/1.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "7c15683d4633430182172947a7fcfcd5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7e7995ffb7fb485faeba5de693a949bf": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_10da14b764cf47db8d9f8e7b7edd0cd0", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "873b53aac2e7469da4adede58ca2ef40": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_3ed07f6927d54b3a809fd1a2af1e7a89", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "93e15d5f9103491ca4993df8a02c2e47": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_544887ce1b4d459cb05cecb61eb0b546", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "95536a961542477b8bcfb90afc30a276": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "959610552153492fba3e08354bca36df": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "96bc63fb59434b819086fa5682fee872": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_959610552153492fba3e08354bca36df", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "9701023e40e342efb9ad862ca9e75f08": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "98d30ee9221c4cea80f01809b2174f15": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_499d3648550b48f3bbf9220599befb23", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%38.3/38.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m38.3/38.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "99ea96ecfe0c4c8cb8b729c34ee2214e": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_c50da78e61394243b49a8765d0c9a444", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%62.7/62.7 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m62.7/62.7 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "9d3b76b56f1b4221b4caf4d7ce79c2d6": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d8a41f8c96b94e4f9468c898ae5a392c", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "a11d036d61b943ac86f9e63b61d52470": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_532c83763d6845d79f635c392ba2ba10", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.3/1.3 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.3/1.3 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "a144aa87ed224ee0b321964c7a8f7772": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "acd51b46b612471bab3c8b22516a3922": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_f122ef0abd49450e8f83740cda3ac323", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
0: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n1: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "0: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n1: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "aea6d00970cd4766bdf824f29f868ae1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "ba5894ecac91402cb58e1acfccf46c8c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c2b803fa49ab4a07bb3798c9de3c0e96": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c3149c9924b048d0a3f6907d76aebee3": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_5253d6ea70a843e4b9bdc30d86b7eca1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%6.2/6.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m6.2/6.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "c50da78e61394243b49a8765d0c9a444": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "cbd4906e48eb4f709d880b992f3a5ad6": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_67909a05940f4ea4b748b21a64df558e", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.2/1.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.2/1.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "cfc68a61986d4370a7c4f9169d174400": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_a144aa87ed224ee0b321964c7a8f7772", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d8a41f8c96b94e4f9468c898ae5a392c": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "d908c62a0abc41ca8216cb681e60f691": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_c2b803fa49ab4a07bb3798c9de3c0e96", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%1.2/1.2 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m1.2/1.2 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "e548311d8f314223b890f683f4fda5b7": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_4f1e8a2d262e4ce091d65797c1d76975", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
0: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n1: status = success ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "0: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n1: status = success \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "e60fcdf2b7dd46e18a0405558c993289": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_51362b4ae19f425c894721e7153a3876", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "f122ef0abd49450e8f83740cda3ac323": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin5BoundaryGradients.ipynb b/AdjointPlugin5BoundaryGradients.ipynb deleted file mode 100644 index ac941ee3..00000000 --- a/AdjointPlugin5BoundaryGradients.ipynb +++ /dev/null @@ -1,4709 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "efd36345-384e-48fc-b44d-bd01bf9cda15", - "metadata": {}, - "source": [ - "# Inverse design optimization of a waveguide taper\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd5BoundaryGradients/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "In this notebook, we will show how to use the adjoint plugin to compute the gradient with respect to the boundaries of a structure defined using a `JaxPolySlab`.\n", - "\n", - "We will apply this capability to design a non-adiabatic waveguide taper between a narrow and wide waveguide, based loosely on `Michaels, Andrew, and Eli Yablonovitch. \"Leveraging continuous material averaging for inverse electromagnetic design.\" Optics express 26.24 (2018): 31717-31737`.\n", - "\n", - "\"Schematic\n", - "\n", - "We start by importing our typical python packages, `jax`, `tidy3d` and its `adjoint` plugin." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "5cc1f2bd-ace7-449f-8260-926f76d0ea53", - "metadata": {}, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda\n", - "import tidy3d.web as web\n", - "from tidy3d.plugins.adjoint.web import run" - ] - }, - { - "cell_type": "markdown", - "id": "6c95884d-328d-4834-8869-ec50262ce306", - "metadata": {}, - "source": [ - "## Set up\n", - "\n", - "Next we will define some basic parameters of the waveguide, such as the input and output waveguide dimensions, taper width, and taper length." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "e6f5cf37-ac64-4ab3-9659-6ad92994a22c", - "metadata": {}, - "outputs": [], - "source": [ - "wavelength = 1.0\n", - "freq0 = td.C_0 / wavelength\n", - "\n", - "wg_width_in = 0.5 * wavelength\n", - "wg_width_out = 5.0 * wavelength\n", - "\n", - "wg_medium = td.material_library[\"Si3N4\"][\"Philipp1973Sellmeier\"]\n", - "wg_jax_medium = td.material_library[\"Si3N4\"][\"Philipp1973Sellmeier\"]\n", - "\n", - "wg_length = 1 * wavelength\n", - "taper_length = 10.0\n", - "\n", - "spc_pml = 1.5 * wavelength\n", - "\n", - "Lx = wg_length + taper_length + wg_length\n", - "Ly = spc_pml + max(wg_width_in, wg_width_out) + spc_pml" - ] - }, - { - "cell_type": "markdown", - "id": "d31afd9e-2b8c-4205-859e-a0c6ca476937", - "metadata": {}, - "source": [ - "Our taper is defined as a set of `num_points` connected vertices in a polygon. We define the fixed x positions of each vertex and then construct the y positions for the starting device (linear taper)." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "2e069d59-6040-476b-92cb-46520184c156", - "metadata": {}, - "outputs": [], - "source": [ - "num_points = 101\n", - "x_start = -taper_length / 2\n", - "x_end = +taper_length / 2\n", - "xs = np.linspace(x_start, x_end, num_points)\n", - "\n", - "ys0 = (wg_width_in + (wg_width_out - wg_width_in) * (xs - x_start) / (x_end - x_start)) / 2.0" - ] - }, - { - "cell_type": "markdown", - "id": "88500eef-64a9-491c-8131-ff41ccf442ff", - "metadata": {}, - "source": [ - "Let's plot these points to make sure they seem reasonable." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "65d8bf65-53c7-4820-b396-cc9e7a19b72b", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(xs, +ys0, \"ko-\")\n", - "plt.plot(xs, -ys0, \"ko-\")\n", - "plt.xlabel(\"x\")\n", - "plt.ylabel(\"y\")\n", - "plt.title(\"taper points\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "7ecfdad5-32f7-47a2-b872-5ed70260268c", - "metadata": {}, - "source": [ - "Let's wrap this in a function that constructs these points and then creates a `JaxPolySlab` for use in the `JaxSimulation`." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "3b82f941-9c95-4993-96c5-01d7d194383e", - "metadata": {}, - "outputs": [], - "source": [ - "def make_taper(ys) -> tda.JaxPolySlab:\n", - " \"\"\"Create a JaxPolySlab for the taper based on the supplied y values.\"\"\"\n", - "\n", - " # note, jax doesn't work well with concatenating, so we just construct these vertices for Tidy3D in a loop.\n", - "\n", - " vertices = []\n", - " for x, y in zip(xs, ys):\n", - " vertices.append((x, y))\n", - " for x, y in zip(xs[::-1], ys[::-1]):\n", - " vertices.append((x, -y))\n", - "\n", - " return tda.JaxPolySlab(vertices=vertices, slab_bounds=(-1, 1), axis=2)" - ] - }, - { - "cell_type": "markdown", - "id": "9ed7c2e7-9cf9-4588-9d5a-18c123b4f4d2", - "metadata": {}, - "source": [ - "Now we'll call this function and plot the geometry for a sanity check." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "aa8ecb67-5d7e-4bab-b563-06ffc59a5167", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAF9CAYAAACJeyWnAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy88F64QAAAACXBIWXMAAA9hAAAPYQGoP6dpAAA8OElEQVR4nO3de3yT9d3/8XeSNmmhB4r0lLbKSZqKHDZO3oKjIFMYG6ATnIMJwnAiujnu6WT6k+E2mTdO2T0Hbvc22cPTEO4pm9w6URCaXGk5loHIaQLaAlIVWkDWlvb6/dE2ND0X2qZJXs/Ho48tyZXkkwb07fe6rvdlMU3TFAAAAIKeNdADAAAAoG0Q7AAAAEIEwQ4AACBEEOwAAABCBMEOAAAgRBDsAAAAQgTBDgAAIEQQ7AAAAEIEwQ4AACBEEOwAoI733ntPFotF7733XqBHAYBWIdgBCFvLly/XypUrAz3GJXn55Ze1bNmyQI8hSaqsrNR//dd/qVevXoqKitLAgQP1yiuvtPj5p0+f1t13363ExER17dpVY8aM0Y4dOxrc9m9/+5u+/OUvKyoqSldeeaUWLVqkCxcutNVHAYKehWvFAghX1157rXr06FFvZa6yslJlZWWy2+2yWjvnf/9+/etf1549e3TkyJFAj6KFCxfql7/8pebOnathw4Zp7dq1WrdunV555RV961vfavK5lZWVuuGGG7Rr1y49+OCD6tGjh5YvX66PP/5Y27dv19VXX+3b9s0339TEiROVnZ2tO+64Q7t379Zvf/tb3X333VqxYkV7f0wgKBDsgDB37tw5de3aNdBjBERjwS4YdJZgV1hYqF69eunuu+/Ws88+K0kyTVOjR4/W4cOHdeTIEdlstkaf/+qrr+r222/X6tWrddttt0mSioqK1K9fP02YMEEvv/yyb9v+/fsrMjJS27ZtU0REhCTp0Ucf1RNPPKG9e/fK5XK14ycFgkPn/E9RAJeksLBQc+bMkdPplMPhUK9evTRv3jyVlZVJklauXCmLxaJNmzbp3nvvVVJSktLT033PX758ufr37y+HwyGn06n58+fr9OnTfu9x8OBBffOb31RKSoqioqKUnp6ub33rWyouLvZts379eo0aNUrdunVTTEyMMjMz9ZOf/KTZ+VvyvNLSUi1atEh9+/aVw+FQRkaGHnroIZWWltZ7vRdffFHDhw9Xly5dlJCQoK985St6++23JUk9e/bU+++/r02bNslischisSg7O1tS48fYrV69WkOGDFF0dLR69OihGTNmqLCw0G+bWbNmKSYmRoWFhZoyZYpiYmKUmJioH/3oR6qoqGj2d7B27VpNnDjR9x326dNHP/vZz/yem52drXXr1uno0aO+2Xv27Nnoa86aNcu3Xd2fn/70p83O1Ny85eXluvfee333WSwWzZs3TwUFBfJ6vU0+f82aNUpOTtatt97quy8xMVHTpk3T2rVrfd/r3r17tXfvXt19992+UCdJ9957r0zT1Jo1ay7rcwChIqL5TQAEg2PHjmn48OG+45VcLpcKCwu1Zs0affHFF7Lb7b5t7733XiUmJuqxxx7TuXPnJEk//elPtXjxYo0bN07z5s3T/v37tWLFCm3dulUej0eRkZEqKyvTzTffrNLSUt1///1KSUlRYWGh3njjDZ0+fVrx8fF6//339fWvf10DBw7U448/LofDoUOHDsnj8TQ5f0ueV1lZqUmTJsntduvuu+9WVlaWdu/erWeeeUYHDhzQ66+/7tt28eLF+ulPf6rrr79ejz/+uOx2u/Ly8rRhwwbddNNNWrZsme6//37FxMTokUcekSQlJyc3Ot/KlSt11113adiwYVqyZIk++eQT/frXv5bH49HOnTvVrVs337YVFRW6+eabNWLECD311FN655139Ktf/Up9+vTRvHnzmvw9rFy5UjExMVqwYIFiYmK0YcMGPfbYYyopKdHSpUslSY888oiKi4tVUFCgZ555RpIUExPT6Gt+73vf07hx4/zue+utt/TSSy8pKSnJd9+nn37a5Gw1YmNj5XA4JEk7d+5U165dlZWV5bfN8OHDfY+PGjWq0dfauXOnvvzlL9fb5T18+HD9/ve/14EDBzRgwADt3LlTkjR06FC/7ZxOp9LT032PA2HPBBAS7rzzTtNqtZpbt26t91hlZaVpmqb5/PPPm5LMUaNGmRcuXPA9fvLkSdNut5s33XSTWVFR4bv/2WefNSWZf/rTn0zTNM2dO3eakszVq1c3OsczzzxjSjKLiopaNX9LnvfCCy+YVqvVzMnJ8bv/ueeeMyWZHo/HNE3TPHjwoGm1Ws1bbrnF7/OY5sXfhWmaZv/+/c3Ro0fXe5+NGzeaksyNGzeapmmaZWVlZlJSknnttdea58+f9233xhtvmJLMxx57zHffzJkzTUnm448/7veaX/rSl8whQ4Y0/UswTfOLL76od9/3vvc9s0uXLua///1v330TJ040r7rqqmZfryEHDx404+Pjza9+9at+fw4ktejn+eef95ujd+/e9d7j3LlzpiTz4YcfbnKWrl27mrNnz653/7p160xJ5ltvvWWapmkuXbrUlGR+9NFH9bYdNmyYed1117X04wMhjV2xQAiorKzU66+/rm984xv1VjSkql1jtc2dO9fvuKd33nlHZWVleuCBB/xWTubOnau4uDitW7dOkhQfHy9J+sc//qEvvviiwVlqVq7Wrl2rysrKFn+Gljxv9erVysrKksvl0qeffur7GTt2rCRp48aNkqTXX39dlZWVeuyxx+qtBNX9XbTEtm3bdPLkSd17772Kiory3T9x4kS5XC7f76e2e+65x+/2DTfcoA8//LDZ94qOjvb9/zNnzujTTz/VDTfcoC+++EL79u1r9ex1nTt3TrfccosSEhL0yiuv+P05WL9+fYt+br75Zt9zzp8/71u9q63m93T+/Pkm52np82v+t7Ftm3sfIFywKxYIAUVFRSopKdG1117bou179erld/vo0aOSpMzMTL/77Xa7evfu7Xu8V69eWrBggZ5++mm99NJLuuGGGzRp0iTNmDHDF/puv/12/eEPf9B3v/tdPfzww7rxxht166236rbbbmvyDNOWPO/gwYP64IMPlJiY2OBrnDx5UpL0r3/9S1arVddcc02Lfh/Naez3I0kul0tut9vvvqioqHozJiQk6NSpU82+1/vvv69HH31UGzZsUElJid9jtY9jvFRz587Vv/71LxmGoSuuuMLvsbq7a1siOjq6weMb//3vf/seb4vn1/xvY9s29z5AuCDYAWHocv4l+Ktf/UqzZs3S2rVr9fbbb+v73/++lixZotzcXKWnpys6OlqbN2/Wxo0btW7dOr311ltatWqVxo4dq7fffrvRMyRb8rzKykoNGDBATz/9dIOvkZGRccmfqy01dRZoU06fPq3Ro0crLi5Ojz/+uPr06aOoqCjt2LFDP/7xj1u1AtqQX//613rllVf04osvavDgwfUeP3HiRIteJz4+3vdnKDU1VRs3bpRpmn6rocePH5dUdQxcU1JTU33b1lb3+ampqb77637Px48f9x3TB4Q7dsUCISAxMVFxcXHas2fPJT3/qquukiTt37/f7/6ysjIdPnzY93iNAQMG6NFHH9XmzZuVk5OjwsJCPffcc77HrVarbrzxRj399NPau3evfvGLX2jDhg2+XaWNae55ffr00eeff64bb7xR48aNq/dTs6LWp08fVVZWau/evU2+X0t3yzb2+6m5r+7v51K99957+uyzz7Ry5Ur94Ac/0Ne//nWNGzdOCQkJ9bZt7S7lnJwc/ehHP9IDDzyg6dOnN7hNampqi35WrVrle87gwYP1xRdf6IMPPvB7rby8PN/jTRk8eLB27NhRL7Tm5eWpS5cu6tevn9/rbNu2zW+7Y8eOqaCgoNn3AcIFwQ4IAVarVVOmTNHf//73ev/ik6p6xZoybtw42e12/fd//7fftn/84x9VXFysiRMnSpJKSkrqtfwPGDBAVqvVt4vs888/r/f6Nf/SbWg3Wo2WPG/atGkqLCzU//zP/9Tb9vz5874zfKdMmSKr1arHH3+8XmCo/fm6du1ar86lIUOHDlVSUpKee+45v8/w5ptv6oMPPvD9fi5XzUpf7RnLysq0fPnyett27dq1xbtmjx8/rmnTpmnUqFG+M2sbcinH2E2ePFmRkZF+M5qmqeeee05paWm6/vrr/ebYt2+fysvLfffddttt+uSTT/TXv/7Vd9+nn36q1atX6xvf+IbvmLr+/fvL5XLp97//vV/1y4oVK2SxWHwdeEC4Y1csECKeeOIJvf322xo9erSvCuT48eNavXq13G63Xx1HXYmJiVq4cKEWL16s8ePHa9KkSdq/f7+WL1+uYcOGacaMGZKkDRs26L777tPUqVPVr18/XbhwQS+88IJsNpu++c1vSpIef/xxbd68WRMnTtRVV12lkydPavny5UpPT2+y9qIlz/vOd76jV199Vffcc482btyokSNHqqKiQvv27dOrr76qf/zjHxo6dKj69u2rRx55RD/72c90ww036NZbb5XD4dDWrVvldDq1ZMkSSdKQIUO0YsUK/fznP1ffvn2VlJTkOxGjtsjISD355JO66667NHr0aN1xxx2+upOePXvqhz/84aV+bX6uv/56JSQkaObMmfr+978vi8WiF154ocFgPmTIEK1atUoLFizQsGHDFBMTo2984xsNvu73v/99FRUV6aGHHtJf/vIXv8cGDhyogQMHSrq0Y+zS09P1wAMPaOnSpSovL9ewYcP0+uuvKycnRy+99JLfbumFCxfqz3/+sw4fPuzr3bvtttt03XXX6a677tLevXt9V56oqKjQ4sWL/d5r6dKlmjRpkm666SZ961vf0p49e/Tss8/qu9/9br26FSBsBfCMXABt7OjRo+add95pJiYmmg6Hw+zdu7c5f/58s7S01DTNi3UnDVWimGZVvYnL5TIjIyPN5ORkc968eeapU6d8j3/44Yfm7NmzzT59+phRUVFm9+7dzTFjxpjvvPOOb5t3333XnDx5sul0Ok273W46nU7zjjvuMA8cONDk7C19XllZmfnkk0+a/fv3Nx0Oh5mQkGAOGTLEXLx4sVlcXOy37Z/+9CfzS1/6km+70aNHm+vXr/c9fuLECXPixIlmbGysKclXfVK37qTGqlWrfK/XvXt3c/r06WZBQYHfNjNnzjS7du1a7/MtWrTIbMk/cj0ej3ndddeZ0dHRptPpNB966CHzH//4R715zp49a3772982u3XrZkpqsvpk9OjRjVaXLFq0qNmZmlNRUWE+8cQT5lVXXWXa7Xazf//+5osvvlhvu5oqmMOHD/vd//nnn5tz5swxr7jiCrNLly7m6NGjG/0z+tprr5mDBw82HQ6HmZ6ebj766KNmWVnZZX8GIFRwSTEAAIAQwTF2AAAAIYJgBwAAECIIdgAAACGCYAcAABAiCHYAAAAhgmAHAAAQIsKqoLiyslLHjh1TbGxsqy/HAwAAEAimaerMmTNyOp2yWptekwurYHfs2LFOc5FwAACA1vj444+Vnp7e5DZhFexiY2MlVf1i4uLiAjwNAABA80pKSpSRkeHLMU0Jq2BXs/s1Li6OYAcAAIJKSw4j4+QJAACAEEGwAwAACBEEOwAAgBBBsAMAAAgRBDsAAIAQQbADAAAIEQQ7AACAEEGwAwAACBEEOwAAgBBBsAMAAAgRBDsAAIAQQbADAABoRxUVFTJNs0Pei2AHAADQhk6dOqU333xT/+///T+NHXuj4uLjNWv2nA5574gOeRcAAIAQVFlZqf3798vr9cowDG12e3Rw/z5Jkr1rvCKcLl2Ic8rj8XTIPAQ7AACAFjp79qy2bNkiwzDkMQwZhlclxacli0XRyb1kS8nUFRMnyJGWpYhuqbJYLPp8wx+k03s7ZD6CHQAAQANM09Thw4dlGIYMw1COx9DePbtVWVmpyOgYRaZmKnLARCWlZcmR2k9WR5dAj0ywAwAAkKTz589r+/bt8nq98ngM5Xg8+vzTIklSdGKGrCmZ6nbTfDmcLkX2yJDF0vlOVSDYAQCAsFRQUOA7Ni7H7dGu/HxduFAumz1KDmemIq4eq8RslxzOTNmi4wI9bosQ7AAAQMgrLy9Xfn6+327V44UFkqSo7qmypfRT3Jg5cqRlKTKxpyxWW4AnvjQEOwAAEHJOnjwpr9crr9erHLdH27dvV+m/z8saYVdUal9FpA1X4rA75UjLki0mIdDjthmCHQAACGoVFRXas2ePDMOQ1+vV5hyPjh75UJLkiO+hiFSXulw/XQlpWbIn95bFFhngidsPwQ4AAASVU6dOKTc3V16vV26PR3l5efri3DlZbDZFJ/eRLbW/egy8TY40l2yxibJYLIEeucMQ7AAAQKdVtwA4x23owP4PJEn2mG6KSM2UfehUxaa5ZE/pK2tkVIAnDiyCHQAA6DRaVgA83q8AGBcR7AAAQEDULgD2er3a7PZ0+gLgzo5gBwAAOkTdAmC3YeizopOSgqcAuLMj2AEAgHZRUFDgW43LcXu0a1e+LpTXKgDum63Er7iqTnIIkgLgzo5gBwAALltZWZny8/N9Jzlsdnt04lihpFoFwNnBXwDc2RHsAABAq9UtAN62bZvKSv99sQA4fYQSR7jkcIZWAXBnR7ADAABNaq4A2JaSqa4jZ6h7GBQAd3YEOwAA4Of06dPKzc2VYRj1C4BT+sqWEr4FwJ0dwQ4AgDDWZAFw13hFOF0UAAcRgh0AAGGkdgGw2+OR15tbpwC4n6742ng50ikADkYEOwAAQhQFwOGHYAcAQIjwLwD2KMdj6PNPiyRJ0YlXyprSr6oAOC1LkVekUwAcgoIm2C1ZskR//etftW/fPkVHR+v666/Xk08+qczMzECPBgBAQNQuAN7s9uif+fm6cKFWAfDVY5WU7ZLd6ZItOjbQ46IDBE2w27Rpk+bPn69hw4bpwoUL+slPfqKbbrpJe/fuVdeuXQM9HgAA7aq8vFz5+fkyDMN3ksPxYwWSqguAUzMVN+a7cqS5KAAOY0ET7N566y2/2ytXrlRSUpK2b9+ur3zlKwGaCgCA9lFTAFx1koPRQAHwcCWOuJMCYPgJmmBXV3FxsSSpe/fujW5TWlqq0tJS3+2SkpJ2nwsAgNZqcQGw0yV7ch9ZIigARsOCMthVVlbqgQce0MiRI3Xttdc2ut2SJUu0ePHiDpwMAIDmnTp1Srm5ufJ6vfULgJP7yJbaXz0GfFOO9CwKgNEqQRns5s+frz179sjtdje53cKFC7VgwQLf7ZKSEmVkZLT3eAAA+NQtAN6c49HBA/skVRcAp2bKPvQ2xaZlUQCMyxZ0we6+++7TG2+8oc2bNys9Pb3JbR0OhxwORwdNBgDAxQLgmtU4w/BeLABO6ilbqktXTJwgRxoFwGh7QRPsTNPU/fffr9dee03vvfeeevXqFeiRAABhrukC4FhFpvajABgdKmiC3fz58/Xyyy9r7dq1io2N1YkTJyRJ8fHxio6ODvB0AIBwUFMA7KscoQAYnUzQBLsVK1ZIkrKzs/3uf/755zVr1qyOHwgAEPLqFQDvyteF8nJFOKJlT+2niKvHKjHbJYczU7bouECPCwRPsDNNM9AjAABCWFlZmfLz830nOeR4DB0vrFMAnD2najWOAmB0UkET7AAAaEvNFgCnDVficAqAEVwIdgCAkFdTAFy7cqTBAuC0LNmTelMAjKBFsAMAhJxGC4CtNkWnVBcAD7xNjjQXBcAIKQQ7AEBQq6ys1IEDBy6eqeo2dGD/B5Ike0y8IlNdFAAjbBDsAABBpaYA2DAMeQzDVwBssVgVldxTtpRMXTFxvBxOlyISnKzGIawQ7AAAnZZpmvrwww/9zlS9WAAco8jUTAqAgVoIdgCATsO/ANirHI+HAmCgFQh2AICAKSgouHimqtujf+bn68IF/wLgpGyX7E6XbNGxgR4X6PQIdgCADlGvANht6Pix6gLghBTZUl2KG0MBMHA5CHYAgHZRUwDs9XqV4/bULwBOH67EERQAA22JYAcAuGw1BcC+66pSAAwEBMEOANBqNQXANZUjvgJgm03RydUFwAO+KUd6FgXAQAci2AEAmlRZWan9+/dfXI1ze3Rw/z5Jkj2mmyJTMykABjoJgh0AwE/zBcD9dMXEr8mR5lJEt1RW44BOhGAHAGHMNE0dPnz44uW4KAAGghrBDgDCSE0BsNfrlcfjUY7HaLgA2OlSZI8MCoCBIEOwA4AQVlBQ4HdsXE0BsM0eJYczkwJgIMQQ7AAgRJSXlys/P99vt+rxwuoC4O6psqVmKm7MHNmdLtmTelEADIQggh0ABKlmC4DThitx2J1ypFEADIQLgh0ABIG6BcCbcjz6iAJgAHUQ7ACgE2pRAfDA2+RIc1EADMCHYAcAAVZTAOz1emUYhjbneHTwQE0BcLwiUl2yD52q2DQXBcAAmkSwA4AOVrsA2O3xyOvNVUnxacliUXRyL9lSMnXFxAlypGVRAAygVQh2ANCOahcA11SONFgA7HTJ4cykABjAZSHYAUAb8i8ANuQ2DH1WdFKSFJ2YIWtKprp99V450rIoAAbQ5gh2AHAZCgoKfMfG5bg92rUrXxfKaxUA981W4ugsOZyZskXHBXpcACGOYAcALVRTAOw7ycHt8S8ATumnuOw5VatxiT0pAAbQ4Qh2ANCIFhUAD79TDicFwAA6B4IdAKh+AfDmHI+O1ioAjkh1XSwATu4ti40CYACdD8EOQFiqKQD2er1yezwNFwAP+GbV5bjiKAAGEBwIdgBCXt0C4ByPoQP7PpBUVQAcSQEwgBBBsAMQcmoXAHsMQ4bhrVMA3E9XTBxPATCAkEOwAxDUagqAa5+p2mABcFqWHKn9KAAGENIIdgCCSpMFwD3SZU11qdtN8+VwuigABhB2CHYAOrXCwkIZhlF9XVVD+fk7KQAGgEYQ7AB0GjUFwLUrR44fq1UAnJpJATAANIFgByBgagqAa1bj6hUApw9X4ggKgAGgpQh2ADpEcwXAtpRMdb1+enUBcB9ZIigABoDWItgBaBenTp1SXl5e9WpcIwXAA2+TI80lWywFwADQFgh2AC5bvQJgt6ED+y8WAEekumQfepti07IoAAaAdkSwA9BqNQXANZfjql0A3CW5l6w1BcBOlyISnKzGAUAHIdgBaFJNAbDv2DgKgAGg0wqqYLd582YtXbpU27dv1/Hjx/Xaa69pypQpgR4LCCk1BcA13XE5HkOff1okSYpOzJA1JVPdvnqvHGkuRfa4kgJgAOhEgirYnTt3ToMGDdLs2bN16623BnocICQUFBT4VuNy3B7tys/XhQvlinBEy57aTxFXj1VitosCYAAIAkEV7CZMmKAJEyYEegwgaJWVlSk/P19er7fhAuCUfoob892q1TgKgAEg6ARVsGut0tJSlZaW+m6XlJQEcBqg41EADADhJaSD3ZIlS7R48eJAjwF0iJoC4Jog12AB8MgZVQXASb0pAAaAEBTSwW7hwoVasGCB73ZJSYkyMjICOBHQdk6dOqXc3Fxf5YivANhqU3QKBcAAEI5COtg5HA45HI5AjwFctsrKSh04cMDvTNUD+y4WAEfWFAA7XbKnXk0BMACEqZAOdkCwqikANgxDHsOQ15ur4tOnZLFYFZ3cU9aUzKoC4LQsRXRLZTUOACApyILd2bNndejQId/tw4cPKz8/X927d9eVV14ZwMmAS9eiAuBrv0YBMACgWUEV7LZt26YxY8b4btccPzdz5kytXLkyQFMBreNfAOxVjsfjXwCcnKluN82XIy1LkVekUwAMAGixoAp22dnZMk0z0GMArVJQUHDxTFW3R/+kABgA0E6CKtgBnV3tAmDDMJTjNvwLgFMzKQAGALQbgh1wGVpaAGx3uhQR0z3Q4wIAQhzBDmihegXAbkNHD/9LUp0CYKdL9uQ+FAADADocwQ5oRKMFwDabopP7yJZ6jXpce6sc6VkUAAMAOgWCHSD/AmCv16vNOR4d2H+xADgiNbOqADgtS/aUvhQAAwA6JYIdwlLdAmDD8Kqk+DQFwACAoEawQ8irXQBcczmuegXAAyZSAAwACHoEO4ScmgJgr9crj8eoUwB8pawp/aoKgJ0uRfbIoAAYABAyCHYIerULgHM8hnbl79SF8nLZ7FFyODNrFQC7ZIuODfS4AAC0G4Idgkp5ebny8/P9dqseL6xVAJzST7GjZysq/RoKgAEAYYdgh06tpgDY6/Uqx+2pXwCcNlyJw++Uw5klW0xCoMcFACCgCHboNGoKgGtXjhw98qEkCoABAGgJgh0C5vTp08rNzfVVjuTm5tYpAO6vHgO+SQEwAAAtRLBDh6isrNT+/fsvnuTgNmoVAHdTZGqm7EOnKjbNRQEwAACXiGCHdnHmzBlt2bKlqnKkVgGwLBZFJ/eSraYA2OlSRIKT1TgAANoAwQ6XrcUFwE6XHM5MCoABAGgnBDu0mn8BsEduw6vPik5KqlMAnJalyCvSKQAGAKCDEOzQrIKCAt+Zqjluj3bl5+vCheoC4NR+iug7Romjq1bjbNFxgR4XAICwRbCDn9oFwF6vV5vdHv8C4NRMxY2ZU7UaRwEwAACdCsEuzFEADABA6CDYhZG6BcCbcjz6qG4B8PXT1T3NJXtyXwqAAQAIMgS7EHbq1Cnl5ubK6/XK7fEoLy+PAmAAAEIYwS5ENFcAHJGaKfvQ2xSblkUBMAAAIYpgF6TOnj2rLVu2yDAMuT0eeb25dQqA+1UVAKdlKaJbKqtxAACEAYJdEKgpAK5Zjdvs9jRcAJyWJUdqPwqAAQAIUwS7Tsi/ANiQ2zBqFQBnyJqSSQEwAACoh2DXCRQUFNQ6Ns6jXbvydaG8XBGOaNkpAAYAAC1EsOtgLSoAzp4ju9Mle1IvCoABAECLEezaWU0BcNVJDgYFwAAAoN0Q7NpQ7QLgmsqRo3ULgEfOUPe0LNmTelMADAAA2hTB7jL4FQC7Pcrb0kAB8MDb5EhzUQAMAADaHcGuhUzT1L59+y4eG5fj0cED+yRJ9q7xinC6ZB86VbFpLgqAAQBAQBDsWqi4uFjXXHNNnQLgCXI4XYpIcLIaBwAAAo5g10o9vv4jdb1mdKDHAAAAqIdm29aifgQAAHRSBDsAAIAQQbADAAAIEQQ7AACAEEGwAwAACBEEOwAAgBBBsAMAAAgRBDsAAIAQEXTB7re//a169uypqKgojRgxQlu2bAn0SAAAAJ1Cq4PdzJkztXnz5vaYpVmrVq3SggULtGjRIu3YsUODBg3SzTffrJMnTwZkHgAAgM6k1cGuuLhY48aN09VXX60nnnhChYWF7TFXg55++mnNnTtXd911l6655ho999xz6tKli/70pz912AwAAACdVauD3euvv67CwkLNmzdPq1atUs+ePTVhwgStWbNG5eXl7TGjJKmsrEzbt2/XuHHjfPdZrVaNGzdOXq+3weeUlpaqpKTE7wcAACBUXdIxdomJiVqwYIF27dqlvLw89e3bV9/5znfkdDr1wx/+UAcPHmzrOfXpp5+qoqJCycnJfvcnJyfrxIkTDT5nyZIlio+P9/1kZGS0+VwAAACdxWWdPHH8+HGtX79e69evl81m09e+9jXt3r1b11xzjZ555pm2mvGSLVy4UMXFxb6fjz/+ONAjAQAAtJuI1j6hvLxcf/vb3/T888/r7bff1sCBA/XAAw/o29/+tuLi4iRJr732mmbPnq0f/vCHbTZojx49ZLPZ9Mknn/jd/8knnyglJaXB5zgcDjkcjjabAQAAoDNrdbBLTU1VZWWl7rjjDm3ZskWDBw+ut82YMWPUrVu3NhjvIrvdriFDhujdd9/VlClTJEmVlZV69913dd9997XpewEAAASjVge7Z555RlOnTlVUVFSj23Tr1k2HDx++rMEasmDBAs2cOVNDhw7V8OHDtWzZMp07d0533XVXm78XAABAsGl1sPvOd77THnO0yO23366ioiI99thjOnHihAYPHqy33nqr3gkVAAAA4ajVwS7Q7rvvPna9AgAANCDoLikGAACAhhHsAAAAQgTBDgAAIEQQ7AAAAEIEwQ4AACBEBN1ZsYF2asMfpcoKOdKzZItNlMViCfRIAAAAkgh2LdatWzf99a9/1Q8X/EhH/75UkuSIT5QtpZ8caVlypGXJntxbFltkgCcFAADhimDXCrfccotuueUWnTx5Ul6vV4ZhKMft0XbPizpV+m9ZI+yKSu2riFSXHGkuOZxZssUkBHpsAAAQJgh2lyApKUmTJ0/W5MmTJUllZWXKz8+X1+uVx2PI7TF0fMtfJUlR3VNlTemnqLQs2Z0u2ZN6yWK1BXJ8AAAQoiymaZqBHqKjlJSUKD4+XsXFxYqLi2vX9yooKLi4qucxtCt/py6UlyvCES17ar9aq3qZskW37ywAACBwPt/wByWd3qtDB/Zf0vNbk19YsWsn6enpmjp1qqZOnSpJOn/+vLZv3+5b1cvxbFCRd5UkKToxQ9aUTDmcLjnSshTZI0MWCycsAwCA1iHYdZDo6GiNGjVKo0aN0oMPSqZp6vDhwzIMQ16vV5vdHu1dv0GVlZWKjI5RZGqmIp2uqrDnzJTV0SXQHwEAAHRyBLsAsVgs6t27t3r37q0ZM2ZIks6cOaOtW7fKMAx5DEOGsU4n3S9JFouik3v5nYEb0S2VqhUAAOCHYNeJxMbGauzYsRo7dqwkqbKyUgcOHJBhGDIMQ5vdHh1c95Ykyd41XhFOl+zVu2/tKX1ljYwK5PgAACDACHadmNVqlcvlksvl0uzZsyVJp06dUm5urrxer9xuj/K2rNHpTedksdkUndxHttTM6lU9FwXKAACEGYJdkElISNCECRM0YcIESVJFRYX27NnjOwN3s9vQ0e1/l1SnQNnpkj25jywRFCgDABCqCHZBzmazadCgQRo0aJDuueceSdLJkyeVm5vrK1De1lCBstMle5pLETHdA/wJAABAWyHYhaCkpCRNmjRJkyZNklRVoLxr1y6/M3B9BcoJKbKmZiqq+qSMyMSeFCgDABCkKCgOUxQoAwDQMSgoRrtrqkDZ7fbIbVCgDABAsGHFDg1qsEB5z+76BcppWXKk9qNAGQCARrBih4BrqED57Nmz2rJlS/MFyk6XIhKcVK0AANDBCHZosZiYmHoFyvv37794rJ7b0IF6BcpVnXoUKAMA0P4IdrhkVqtVWVlZysrKarBA2eMxlJu3mgJlAAA6CMEObaqhAuX333/fd6zephwPBcoAALQTgh3alc1m08CBAzVw4EC/AmWv11t1UkaOW9sbKVB2pGXJFpMQ4E8AAEDwINihwyUlJWny5MmaPHmypGYKlLunypaSWdWpR4EyAABNou4EnVLzBcrVx+pRoAwA6OSoO0HYa6pA2eMx5DbeU5H3VUm1CpSrj9WjQBkAEK4IdggK0dHRGjVqlEaNGqUHH7xYoFyzqrfZ7dHetzdUFyjHKjK1HwXKAICwQ7BDUKpdoDx9+nRJ0pkzZ7R161Z5PB55DENebyMFymlZiuiWStUKACDkEOwQMmJjY1teoBzTTRGpmRQoAwBCCsEOIauxAuW8vDwZhiG326O8LQ0UKDtdcqRnUaAMAAg6BDuElYSEBI0fP17jx4+XVFWgvGfPnovH6vkVKPeoqlqpPlaPAmUAQGdHsENYs9lsGjRokAYNGtRggXKO26Ntxkv1C5TTXHI4KVAGAHQuBDugjoYKlPPz8y9eLYMCZQBAJ0VBMXAJCgoK/K6U8c9d+RQoAwAaREEx0Mmlp6dr2rRpmjZtmqSLBcqGYVRfLWNjrQLlK2WtqVqhQBkA0I4IdkAbqF2gLF0sUK5Z1duU49YHvgLlGEWmZlYVKDtdcjgzKVAGALQJgh3QDmoXKM+YMUPSxQJlwzDkMQwZRq0C5aSe1VUrVb16EQlOqlYAAK1GsAM6SEMFygcOHPA7Vu/A/9UUKMcrIiVT9uorZVCgDABoCYIdECBWq1Uul0sul6vBAmWPx1Bu3hoKlAEALRY0we4Xv/iF1q1bp/z8fNntdp0+fTrQIwFtrrECZd+qXkMFytUnZVCgDAAImmBXVlamqVOn6j/+4z/0xz/+MdDjAB2idoHyvHnzJF0sUDYMQ26PoW2eFylQBgBICqJgt3jxYknSypUrAzsIEGANFSjv2rWrVtWK4VegbE3pp6jqY/UoUAaA0BY0we5SlJaWqrS01He7pKQkgNMA7cNut2vYsGEaNmyYfvCDH0iqKlD2Xf/W7dE/N/5RFy7ULlCuWdVzyRYdG+BPAABoKyEd7JYsWeJb6QPCSXp6uqZOnaqpU6dKqipQ3rFjR/Wqnre6QHmVpFoFys7qy6JRoAwAQSugwe7hhx/Wk08+2eQ2H3zwgVwu1yW9/sKFC7VgwQLf7ZKSEmVkZFzSawHBLDo6WiNHjtTIkSMlXSxQrlnVy/EYen/9cgqUASDIBTTY/ed//qdmzZrV5Da9e/e+5Nd3OBxyOByX/HwgVNUuUJ4+fbok6ezZs8rLy5PX6228QLn6WL2IbqlUrQBAJxTQYJeYmKjExMRAjgCgWkxMjG688UbdeOONkhopUF5Xq0A51SV79e5bCpQBoHMImmPsPvroI33++ef66KOPVFFRofz8fElS3759FRMTE9jhgBDUXIGy2+1R3hYKlAGgM7GYpmkGeoiWmDVrlv785z/Xu3/jxo3Kzs5u0WuUlJQoPj5excXFiouLa+MJgfBTU6DsOwM3x6OjRz6UJDniE2VL6UeBMoCw9/mGPyjp9F4dOrD/kp7fmvwSNMGuLRDsgPZXU6Ds9XqV4/Zo27ZtKqtboFy9C5cCZQDhoCODXdDsigUQHJotUHb7FyhXXRbNRYEyALQBVuwAdLjaBco5HkO78nfqQnlDBcqZskXzdxVAcGPFDkBIa12BcoasKZkUKANACxDsAARcYwXKta9/u3f9BgqUAaAZBDsAnU7tAuUZM2ZIqipQ3rJli7xer9wej3+BcnKvi2fgUqAMIIwR7AAEhZiYGI0dO1Zjx46V5F+gXLOqR4EygHBHsAMQlBorUM7Nza1a1aNAGUAYItgBCBkJCQmaMGGCJkyYIKmRAuXtf5dEgTKA0ESwAxCybDabBg0apEGDBumee+6RVFWgXHP9W7fH0DbPizpVt0A5zSWHkwJlAMGHYAcgrCQlJWnKlCmaMmWKpLoFylVXy6BAGUCwoqAYAOqoKVD2er3alOPWP3fl1ylQzqzehUuBMoDmUVAMAAHUVIGyx2NUFyi/KokCZQCdC8EOAJpRu0D5wQf9C5S9Xq82uz0NFyinZcmR2o8CZQAdhmAHAK10WQXKTpciEpxUrQBoFwQ7AGgDjRUoezweeb1e5bhrFSh3jVeEkwJlAG2PYAcA7aB2gfKcOXMkVRUo5+Xl+Y7Vy82jQBlA2yLYAUAHSUhI0Pjx4zV+/HhJVQXK77//vu+yaJvdRv0C5ZpVPQqUAbQAwQ4AAsRms2ngwIEaOHCgX4FyTdXK5hy3thsv1S9QdrpkT3MpIqZ7gD8BgM6GYAcAnUhSUpImT56syZMnS/IvUK45A7d2gbI1pZ+i0rIoUAYgiYJiAAg6NQXKhmEox2NoV/5OCpSBToyCYgBAoxoqUN6+fbu8Xm/jBcrVVSsUKAOhjWAHAEEuOjpao0aN0qhRoxovUH67pkA5VpGp/ShQBkIUwQ4AQkxDBcpnzpzR1q1bq6pWDMNXoGyxWBWV3FO2lEw50qrCXkS3VKpWgCBFsAOAMBAbG1uvQHn//v2+Y/U253h0cN2bkiR7TDdFpGbK7sySI81FgTIQRAh2ABCGrFarsrKylJWVpdmzZ0tqqEB5NQXKQJAh2AEAJDVcoLxnzx6/Vb16BcrVJ2VQoAx0DgQ7AECDbDabBg0apEGDBvkKlD/55BPl5ubKMAy5PYa2eV6sX6Cc5pLDmSVbTEKAPwEQfgh2AIAWS05ObrRA2TC8yvH4FyjXPimDAmWg/VFQDABoUwUFBX5VK//Mz9eFC7ULlGtW9VyyRccGelyg3VFQDAAIWunp6Zo2bZqmTZsmqW6Bskc5ng0q8q6SJEUnXilrrWP1KFAGLg8rdgCADtVggfKe3fULlJ0uOZyZFCgj6LFiBwAIWc0VKLs9Hnm9VQXKslgUndyLAmWghQh2AICAa6pA2ev1anOORwcoUAaaRbADAHQ6jRUo5+bmVh+r10iBclpV2KNAGeGKYAcACAoJCQmaMGGCJkyYIKkVBcppWbIn95bFRoEyQh/BDgAQlBoqUD558mSt3bdubadAGWGGYAcACBlJSUlNFCgb2uz26EStAmVrSj9FVa/qUaCMUEDdCQAgrHz88ccXV/UoUEYHoO4EAIB2kpGRoYyMDL8C5R07dsgwDHk8htzGRgqUEbQIdgCAsBYdHa2RI0dq5MiRevDBiwXKtU/K2Pv2huoC5RhFpmYqsuYMXAqU0ckQ7AAAqKV2gfL06dMl+RcoewxDhvF/Oul5uapAOalnraoVCpQRWAQ7AACa0VCB8oEDB3wnZeS4DR1Y95YkyR4Tr4hUl+zOqitlUKCMjhQUwe7IkSP62c9+pg0bNujEiRNyOp2aMWOGHnnkEdnt9kCPBwAIM1arVS6XSy6Xy69AOS8vr+qyaG6P8rasqV+g7HTJkZ5FgTLaTVAEu3379qmyslK/+93v1LdvX+3Zs0dz587VuXPn9NRTTwV6PAAAlJCQoPHjx2v8+PGSmitQ7lF9/duqkzLsyX1kiaBAGZcvaOtOli5dqhUrVujDDz9sdJvS0lKVlpb6bpeUlCgjI4O6EwBAQJw8eVK5ubnVu2892rZtm8ooUA551J20QHFxsbp3797kNkuWLNHixYs7aCIAAJqWlJSkSZMmadKkSZL8C5Srrpbh0XEKlHEZgnLF7tChQxoyZIieeuopzZ07t9HtWLEDAASblhcoZ8oWzb/LgkHYrNg9/PDDevLJJ5vc5oMPPpDL5fLdLiws1Pjx4zV16tQmQ50kORwOORyONpkVAICO0LoC5QxZU6pPykjLokAZgV2xKyoq0meffdbkNr179/ad+Xrs2DFlZ2fruuuu08qVK2W1tu4PL5cUAwAEu5oCZd/uW7dHe/fspkC5E+vIFbug2RVbWFioMWPGaMiQIXrxxRdls7X+OAOCHQAgFNUUKHu9Xrk9HhmGVyXFp6sKlJN7yVZzWTQKlAMibHbFtlRhYaGys7N11VVX6amnnlJRUZHvsZSUlABOBgBA4DVboOypXaDcTRGpmRQoh6igCHbr16/XoUOHdOjQIaWnp/s9FiQLjgAAdJjGCpRzc3OrVvUaK1BOy5IjzUWBchALml2xbYFdsQAAVKkpUK5dtXL0SFU3rCM+8eLuWwqULxu7YgEAQLuy2WwaNGiQBg0apHnz5kmqKlCuuVKG22Nom+dFnaJAOagQ7AAAgKSqAuXJkydr8uTJkvwLlD2eqrBXu0DZd1m0NBcFyp0Eu2IBAECLFRQU+Fb1cjyGduXv1IVyCpSbwq5YAADQKaWnp2vq1KmaOnWqpPoFyjmeDRQoBxDBDgAAXLLo6GiNHDlSI0eO1IMPVrVVfPjhh36XRdu7foN/gXJ10HOk9qNAuY0R7AAAQJuxWCzq06eP+vTpoxkzZkiSzp49qy1bttQqUF6nk+6XKFBuBwQ7AADQrmJiYhosUPZ4PPJ6vcpx1ypQ7hqvCKeLAuVLRLADAAAdqnaB8pw5cyRVFSjn5eVVVa1QoHzJCHYAACDgEhISNH78eI0fP15S/QLlTTlufbT975IoUG4KwQ4AAHQ6l1WgnJYlW9fwLFAm2AEAgKDQVIGyYXiV4/E0UKBcXbUSJgXKFBQDAICQUVOgXFO1Ur9AufpYvQ4sUKagGAAA4BI0VKC8fft236qe23hPRd5XJYVmgTLBDgAAhKzo6GiNGjVKo0aNklRVoHz48GF5vV55PB7leIyQKlAm2AEAgLBhsVjUu3dv9e7dW9OnT5cknTlzRlu3bq0+KcMjrzd4C5QJdgAAIKzFxsbWK1Dev3+/7wzchguUqzr1OluBMsEOAACgFqvVqqysLGVlZWn27NmSGipQXl2/QNnpkiM9K6AFygQ7AACAZjRUoLx79+6LZ+DmeHS0oQLltCyp4kKHzUndCQAAQBuoKVCuuv6tR9u2bVNZ6b8lSf0ys7R/395Let3W5BeCHQAAQDuoXaCcnZ2tQYMGXdLr0GMHAAAQYHa7XcOGDdOwYcM67D2Du4UPAAAAPgQ7AACAEEGwAwAACBEEOwAAgBBBsAMAAAgRBDsAAIAQQbADAAAIEQQ7AACAEEGwAwAACBEEOwAAgBBBsAMAAAgRBDsAAIAQQbADAAAIERGBHqAjmaYpSSopKQnwJAAAAC1Tk1tqckxTwirYnTlzRpKUkZER4EkAAABa58yZM4qPj29yG4vZkvgXIiorK3Xs2DHFxsbKYrEEepyAKykpUUZGhj7++GPFxcUFehw0gu8pOPA9BQe+p86P76g+0zR15swZOZ1OWa1NH0UXVit2VqtV6enpgR6j04mLi+MvTxDgewoOfE/Bge+p8+M78tfcSl0NTp4AAAAIEQQ7AACAEEGwC2MOh0OLFi2Sw+EI9ChoAt9TcOB7Cg58T50f39HlCauTJwAAAEIZK3YAAAAhgmAHAAAQIgh2AAAAIYJgBwAAECIIdqintLRUgwcPlsViUX5+fqDHQbUjR45ozpw56tWrl6Kjo9WnTx8tWrRIZWVlgR4t7P32t79Vz549FRUVpREjRmjLli2BHgm1LFmyRMOGDVNsbKySkpI0ZcoU7d+/P9BjoRm//OUvZbFY9MADDwR6lKBCsEM9Dz30kJxOZ6DHQB379u1TZWWlfve73+n999/XM888o+eee04/+clPAj1aWFu1apUWLFigRYsWaceOHRo0aJBuvvlmnTx5MtCjodqmTZs0f/585ebmav369SovL9dNN92kc+fOBXo0NGLr1q363e9+p4EDBwZ6lKBD3Qn8vPnmm1qwYIH+93//V/3799fOnTs1ePDgQI+FRixdulQrVqzQhx9+GOhRwtaIESM0bNgwPfvss5KqrkmdkZGh+++/Xw8//HCAp0NDioqKlJSUpE2bNukrX/lKoMdBHWfPntWXv/xlLV++XD//+c81ePBgLVu2LNBjBQ1W7ODzySefaO7cuXrhhRfUpUuXQI+DFiguLlb37t0DPUbYKisr0/bt2zVu3DjffVarVePGjZPX6w3gZGhKcXGxJPF3p5OaP3++Jk6c6Pf3Ci0XEegB0DmYpqlZs2bpnnvu0dChQ3XkyJFAj4RmHDp0SL/5zW/01FNPBXqUsPXpp5+qoqJCycnJfvcnJydr3759AZoKTamsrNQDDzygkSNH6tprrw30OKjjL3/5i3bs2KGtW7cGepSgxYpdiHv44YdlsVia/Nm3b59+85vf6MyZM1q4cGGgRw47Lf2OaissLNT48eM1depUzZ07N0CTA8Fn/vz52rNnj/7yl78EehTU8fHHH+sHP/iBXnrpJUVFRQV6nKDFMXYhrqioSJ999lmT2/Tu3VvTpk3T3//+d1ksFt/9FRUVstlsmj59uv785z+396hhq6Xfkd1ulyQdO3ZM2dnZuu6667Ry5UpZrfz3WaCUlZWpS5cuWrNmjaZMmeK7f+bMmTp9+rTWrl0buOFQz3333ae1a9dq8+bN6tWrV6DHQR2vv/66brnlFtlsNt99FRUVslgsslqtKi0t9XsMDSPYQZL00UcfqaSkxHf72LFjuvnmm7VmzRqNGDFC6enpAZwONQoLCzVmzBgNGTJEL774Iv+Q6wRGjBih4cOH6ze/+Y2kql19V155pe677z5OnugkTNPU/fffr9dee03vvfeerr766kCPhAacOXNGR48e9bvvrrvuksvl0o9//GN2nbcQx9hBknTllVf63Y6JiZEk9enTh1DXSRQWFio7O1tXXXWVnnrqKRUVFfkeS0lJCeBk4W3BggWaOXOmhg4dquHDh2vZsmU6d+6c7rrrrkCPhmrz58/Xyy+/rLVr1yo2NlYnTpyQJMXHxys6OjrA06FGbGxsvfDWtWtXXXHFFYS6ViDYAUFi/fr1OnTokA4dOlQvbLPwHji33367ioqK9Nhjj+nEiRMaPHiw3nrrrXonVCBwVqxYIUnKzs72u//555/XrFmzOn4goB2xKxYAACBEcNQ1AABAiCDYAQAAhAiCHQAAQIgg2AEAAIQIgh0AAECIINgBAACECIIdAABAiCDYAQAAhAiCHQAAQIgg2AEAAIQIgh0AAECIINgBwCUqKipSSkqKnnjiCd99hmHIbrfr3XffDeBkAMKVxTRNM9BDAECw+r//+z9NmTJFhmEoMzNTgwcP1uTJk/X0008HejQAYYhgBwCXaf78+XrnnXc0dOhQ7d69W1u3bpXD4Qj0WADCEMEOAC7T+fPnde211+rjjz/W9u3bNWDAgECPBCBMcYwdAFymf/3rXzp27JgqKyt15MiRQI8DIIyxYgcAl6GsrEzDhw/X4MGDlZmZqWXLlmn37t1KSkoK9GgAwhDBDgAuw4MPPqg1a9Zo165diomJ0ejRoxUfH6833ngj0KMBCEPsigWAS/Tee+9p2bJleuGFFxQXFyer1aoXXnhBOTk5WrFiRaDHAxCGWLEDAAAIEazYAQAAhAiCHQAAQIgg2AEAAIQIgh0AAECIINgBAACECIIdAABAiCDYAQAAhAiCHQAAQIgg2AEAAIQIgh0AAECIINgBAACEiP8PwpVy6XoCoE0AAAAASUVORK5CYII=", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# sanity check to see the polyslab\n", - "taper_geo = make_taper(ys0)\n", - "ax = taper_geo.plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "be1105e9-bffb-4eca-8e8d-7802428f8e0f", - "metadata": {}, - "source": [ - "Next, let's write a function that generates a `JaxSimulation` given a set of y coordinates for the taper, including the monitors, sources, and waveguide geometries. The `JaxStructureStaticMedium` object allows to combine any Tidy3D medium and a `jax`-compatible geometry. This way, we can use dispersive material models from the Tidy3D material library or experimental data." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "a773bf03-5cf6-416c-a982-cc223cdc05d7", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim(ys, include_field_mnt: bool = False) -> tda.JaxSimulation:\n", - " \"\"\"Make a JaxSimulation for the taper.\"\"\"\n", - "\n", - " wg_in_box = td.Box.from_bounds(\n", - " rmin=(-Lx, -wg_width_in / 2, -td.inf),\n", - " rmax=(-Lx / 2 + wg_length + 0.01, +wg_width_in / 2, +td.inf),\n", - " )\n", - "\n", - " wg_out_box = td.Box.from_bounds(\n", - " rmin=(+Lx / 2 - wg_length - 0.01, -wg_width_out / 2, -td.inf),\n", - " rmax=(+Lx, +wg_width_out / 2, +td.inf),\n", - " )\n", - "\n", - " taper_geo = make_taper(ys)\n", - "\n", - " wg_in = td.Structure(geometry=wg_in_box, medium=wg_medium)\n", - " wg_out = td.Structure(geometry=wg_out_box, medium=wg_medium)\n", - " taper = tda.JaxStructureStaticMedium(geometry=taper_geo, medium=wg_jax_medium)\n", - "\n", - " mode_source = td.ModeSource(\n", - " center=(-Lx / 2 + wg_length / 2, 0, 0),\n", - " size=(0, td.inf, td.inf),\n", - " source_time=td.GaussianPulse(freq0=freq0, fwidth=freq0 / 10),\n", - " direction=\"+\",\n", - " )\n", - "\n", - " mode_monitor = td.ModeMonitor(\n", - " center=(+Lx / 2 - wg_length / 2, 0, 0),\n", - " size=(0, td.inf, td.inf),\n", - " freqs=[freq0],\n", - " mode_spec=td.ModeSpec(),\n", - " name=\"mode\",\n", - " )\n", - "\n", - " field_monitor = td.FieldMonitor(\n", - " center=(0, 0, 0),\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " name=\"field\",\n", - " )\n", - "\n", - " return tda.JaxSimulation(\n", - " size=(Lx, Ly, 0),\n", - " structures=[wg_in, wg_out],\n", - " input_structures=[taper],\n", - " output_monitors=[mode_monitor],\n", - " monitors=[field_monitor] if include_field_mnt else [],\n", - " sources=[mode_source],\n", - " run_time=100 / freq0,\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=30),\n", - " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=False),\n", - " )" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "b172f34b-7eb0-4562-8f56-fc3a8dddc6c1", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
14:32:12 JST WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:32:12 JST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim = make_sim(ys0)\n", - "ax = sim.plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "ff921bb6-2e73-4966-b12a-e2fac9fc1c31", - "metadata": {}, - "source": [ - "> Note: we get a warning because the polyslab edges in x intersect with the waveguides. We can ignore this warning because we don't actually care about the gradient w.r.t these edges." - ] - }, - { - "cell_type": "markdown", - "id": "93fe3cdc-b7dc-4d99-8098-efef06eb11c2", - "metadata": {}, - "source": [ - "## Defining Objective\n", - "\n", - "Now that we have a function to create our `JaxSimulation`, we need to define our objective function.\n", - "\n", - "We will try to optimize the power transmission into the fundamental mode on the output waveguide, so we write a function to postprocess a `JaxSimulationData` to give this result." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "cedf9565-02e0-441d-a485-12e15235de44", - "metadata": {}, - "outputs": [], - "source": [ - "def measure_transmission(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"Measure the first order transmission.\"\"\"\n", - " amp_data = sim_data[\"mode\"].amps\n", - " amp = amp_data.sel(f=freq0, direction=\"+\", mode_index=0)\n", - " return abs(amp) ** 2" - ] - }, - { - "cell_type": "markdown", - "id": "1ddb0e8e-2927-4ff4-8d8a-41a141fd8702", - "metadata": {}, - "source": [ - "Next, we will define a few convenience functions to generate our taper `y` values passed on our objective function parameters.\n", - "\n", - "We define a set of parameters that can range from -infinity to +infinity, but project onto the range [`wg_width_out` and `wg_width_in`] through a `tanh()` function.\n", - "\n", - "We do this to constrain the taper values within this range in a smooth and differentiable way.\n", - "\n", - "We also write an inverse function to get the parameters given a set of desired `y` values and assert that this function works properly." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "b014fa68-37df-40e6-88a7-60e572dd55e3", - "metadata": {}, - "outputs": [], - "source": [ - "def get_ys(parameters: np.ndarray) -> np.ndarray:\n", - " \"\"\"Convert arbitrary parameters to y values for the vertices (parameter (-inf, inf) -> wg width of (wg_width_out, wg_width_in).\"\"\"\n", - "\n", - " params_between_0_1 = (jnp.tanh(np.pi * parameters) + 1.0) / 2.0\n", - "\n", - " params_scaled = params_between_0_1 * (wg_width_out - wg_width_in) / 2.0\n", - " ys = params_scaled + wg_width_in / 2\n", - " return ys\n", - "\n", - "\n", - "def get_params(ys: np.ndarray) -> np.ndarray:\n", - " \"\"\"inverse of above, get parameters from ys\"\"\"\n", - " params_scaled = ys - wg_width_in / 2\n", - " params_between_0_1 = 2 * params_scaled / (wg_width_out - wg_width_in)\n", - " tanh_pi_params = 2 * params_between_0_1 - 1\n", - " params = np.arctanh(tanh_pi_params) / np.pi\n", - " return params\n", - "\n", - "\n", - "# assert that the inverse function works properly\n", - "params_test = 2 * (np.random.random((10,)) - 0.5)\n", - "ys_test = get_ys(params_test)\n", - "assert np.allclose(get_params(ys_test), params_test)" - ] - }, - { - "cell_type": "markdown", - "id": "6fe8c364-eb73-402d-9c49-70d298a4dfbc", - "metadata": {}, - "source": [ - "We then make a function that simply wraps our previously defined functions to generate a `JaxSimulation` given some parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "11f727a5-6c57-413c-ac36-35fb68b8db74", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim_params(parameters: np.ndarray, include_field_mnt: bool = False) -> tda.JaxSimulation:\n", - " \"\"\"Make the simulation out of raw parameters.\"\"\"\n", - " ys = get_ys(parameters)\n", - " return make_sim(ys, include_field_mnt=include_field_mnt)" - ] - }, - { - "cell_type": "markdown", - "id": "69d64efc-219f-48d5-8317-71cabd3c0686", - "metadata": {}, - "source": [ - "### Smoothness Penalty\n", - "\n", - "It is important to ensure that the final device does not contain feature sizes below a minimum radius of curvature, otherwise there could be considerable difficulty in fabricating the device reliably.\n", - "\n", - "For this, we implement a penalty function that approximates the radius of curvature about each vertex and introduces a significant penalty to the objective function if that value is below a minimum radius of 150nm. \n", - "\n", - "We also include some tunable parameters to adjust the characteristics of this penalty.\n", - "\n", - "The radii are determined by fitting a quadratic Bezier curve to each set of 3 adjacent points in the taper and analytically computing the radius of curvature from that curve fit. The details of this calculation are described in the paper linked at the introduction of this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "088a589b-29b8-4a31-93db-d5244fcb07af", - "metadata": {}, - "outputs": [], - "source": [ - "def smooth_penalty(\n", - " parameters: np.ndarray, min_radius: float = 0.150, alpha: float = 1.0, kappa: float = 10.0\n", - ") -> float:\n", - " \"\"\"Penalty between 0 and alpha based on radius of curvature.\"\"\"\n", - "\n", - " def quad_fit(p0, pc, p2):\n", - " \"\"\"Quadratic bezier fit (and derivatives) for three points.\n", - " (x(t), y(t)) = P(t) = P0*t^2 + P1*2*t*(1-t) + P2*(1-t)^2\n", - " t in [0, 1]\n", - " \"\"\"\n", - "\n", - " # ensure curve goes through (x1, y1) at t=0.5\n", - " p1 = 2 * pc - p0 / 2 - p2 / 2\n", - "\n", - " def p(t):\n", - " \"\"\"Bezier curve parameterization.\"\"\"\n", - " term0 = (1 - t) ** 2 * (p0 - p1)\n", - " term1 = p1\n", - " term2 = t**2 * (p2 - p1)\n", - " return term0 + term1 + term2\n", - "\n", - " def d_p(t):\n", - " \"\"\"First derivative function.\"\"\"\n", - " d_term0 = 2 * (1 - t) * (p1 - p0)\n", - " d_term2 = 2 * t * (p2 - p1)\n", - " return d_term0 + d_term2\n", - "\n", - " def d2_p(t):\n", - " \"\"\"Second derivative function.\"\"\"\n", - " d2_term0 = 2 * p0\n", - " d2_term1 = -4 * p1\n", - " d2_term2 = 2 * p2\n", - " return d2_term0 + d2_term1 + d2_term2\n", - "\n", - " return p, d_p, d2_p\n", - "\n", - " def get_fit_vals(xs, ys):\n", - " \"\"\"Get the values of the bezier curve and its derivatives at t=0.5 along the taper.\"\"\"\n", - "\n", - " ps = jnp.stack((xs, ys), axis=1)\n", - " p0 = ps[:-2]\n", - " pc = ps[1:-1]\n", - " p2 = ps[2:]\n", - "\n", - " p, d_p, d_2p = quad_fit(p0, pc, p2)\n", - "\n", - " ps = p(0.5)\n", - " dps = d_p(0.5)\n", - " d2ps = d_2p(0.5)\n", - " return ps.T, dps.T, d2ps.T\n", - "\n", - " def get_radii_curvature(xs, ys):\n", - " \"\"\"Get the radii of curvature at each (internal) point along the taper.\"\"\"\n", - " ps, dps, d2ps = get_fit_vals(xs, ys)\n", - " xp, yp = dps\n", - " xp2, yp2 = d2ps\n", - " num = (xp**2 + yp**2) ** (3.0 / 2.0)\n", - " den = abs(xp * yp2 - yp * xp2) + 1e-2\n", - " return num / den\n", - "\n", - " def penalty_fn(radius):\n", - " \"\"\"Get the penalty for a given radius.\"\"\"\n", - " arg = -kappa * (min_radius - radius)\n", - " return alpha * ((1 + jnp.exp(arg)) ** (-1))\n", - "\n", - " ys = get_ys(parameters)\n", - " rs = get_radii_curvature(xs, ys)\n", - "\n", - " # return the average penalty over the points\n", - " return jnp.sum(penalty_fn(rs)) / len(rs)" - ] - }, - { - "cell_type": "markdown", - "id": "6b1ab924-4dec-466b-8145-4c6cbfccd478", - "metadata": {}, - "source": [ - "### Using Tidy3d Convenience wrappers\n", - "\n", - "In Tidy3D 2.4.0, there are wrappers for the above operations which you may use instead for added convenience.\n", - "\n", - "We will implement the radius of curvature penalty using such a wrapper below." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "07417057-555e-4f52-beef-f0f590f4aaa1", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import RadiusPenalty\n", - "\n", - "penalty = RadiusPenalty(min_radius=0.150, alpha=1.0, kappa=10.0)\n", - "vertices0 = jnp.array(make_taper(ys0).vertices)\n", - "penalty_value = penalty.evaluate(vertices0)" - ] - }, - { - "cell_type": "markdown", - "id": "54f681ab-f956-40bc-a838-542ea9484ef0", - "metadata": {}, - "source": [ - "### Initial Starting Design\n", - "\n", - "As our initial design, we take a linear taper between the two waveguides. " - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "2c9c15e4-ec99-4e87-8bf5-665fddad0859", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:36:28.366457Z", - "iopub.status.busy": "2023-08-17T23:36:28.366314Z", - "iopub.status.idle": "2023-08-17T23:36:29.061502Z", - "shell.execute_reply": "2023-08-17T23:36:29.060892Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
17:53:04 -03 WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:04 -03\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# desired ys\n", - "ys0 = np.linspace(wg_width_in / 2 + 0.001, wg_width_out / 2 - 0.001, num_points)\n", - "\n", - "# corresponding parameters\n", - "params0 = get_params(ys0)\n", - "\n", - "# make the simulation corresponding to these parameters\n", - "sim = make_sim_params(params0, include_field_mnt=True)\n", - "ax = sim.plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "6b135ce1-1ed7-4131-b8ca-fd8a1e483c66", - "metadata": {}, - "source": [ - "Lets get the penalty value corresponding to this design, it should be relatively low, but the random variations could introduce a bit of penalty." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "83cad184-38ce-4d1c-b4db-2d06e1a5526d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:36:29.063631Z", - "iopub.status.busy": "2023-08-17T23:36:29.063403Z", - "iopub.status.idle": "2023-08-17T23:36:29.087175Z", - "shell.execute_reply": "2023-08-17T23:36:29.086649Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "starting penalty = 0.00e+00\n" - ] - } - ], - "source": [ - "penalty_value = penalty.evaluate(vertices0)\n", - "print(f\"starting penalty = {float(penalty_value):.2e}\")" - ] - }, - { - "cell_type": "markdown", - "id": "afc2768c-345d-40de-8126-b7cedf4e4a71", - "metadata": {}, - "source": [ - "Finally, let's run this simulation to get a feeling for the initial device performance." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "b12af10e-0d9c-4001-a353-b0edc286e592", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:36:29.089198Z", - "iopub.status.busy": "2023-08-17T23:36:29.089046Z", - "iopub.status.idle": "2023-08-17T23:37:06.285542Z", - "shell.execute_reply": "2023-08-17T23:37:06.284962Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
17:53:06 -03 Created task 'taper fields' with task_id                           \n",
-       "             'fdve-cf6300e1-3e08-4a63-a999-528a07f533bb' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:06 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'taper fields'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-cf6300e1-3e08-4a63-a999-528a07f533bb'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e0\n",
-       "             8-4a63-a999-528a07f533bb'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=759204;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=911666;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=759204;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=92002;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=759204;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32m-cf6300e1-3e0\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=759204;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[32m8-4a63-a999-528a07f533bb'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "94f8863937824741a864ac94cdf9e949", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:09 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:09 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ec3fb5b3c74342a0bfccfcde31c07ca4", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:18 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:18 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
17:53:26 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:26 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:27 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:27 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "8ec7b1a09b3d4322a2dd7cc7e2874835", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:35 -03 early shutoff detected at 40%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:35 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m40\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "7f17e3f64eb8456289e2e17447666404", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:45 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:45 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e0\n",
-       "             8-4a63-a999-528a07f533bb'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=370387;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=796552;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=370387;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=635224;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=370387;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34m-cf6300e1-3e0\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=370387;https://tidy3d.simulation.cloud/workbench?taskId=fdve-cf6300e1-3e08-4a63-a999-528a07f533bb\u001b\\\u001b[4;34m8-4a63-a999-528a07f533bb'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "58d84e282ed749bf890ae3a6b18116be", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:53:51 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:53:51 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data = web.run(sim.to_simulation()[0], task_name=\"taper fields\")" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "b991b676-1a04-49d3-b109-09562e0371c0", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:37:06.937506Z", - "iopub.status.busy": "2023-08-17T23:37:06.937366Z", - "iopub.status.idle": "2023-08-17T23:37:09.179997Z", - "shell.execute_reply": "2023-08-17T23:37:09.179295Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(10, 3))\n", - "sim_data.plot_field(field_monitor_name=\"field\", field_name=\"Ez\", val=\"real\", ax=ax1)\n", - "sim_data.plot_field(field_monitor_name=\"field\", field_name=\"E\", val=\"abs\", ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "6171eaae-0a50-4106-acef-c6554c26db59", - "metadata": {}, - "source": [ - "## Gradient-based Optimization\n", - "\n", - "Now that we have our design and post processing functions set up, we are finally ready to put everything together to start optimizing our device with inverse design.\n", - "\n", - "We first set up an objective function that takes the parameters, sets up and runs the simulation, and returns the transmission minus the penalty of the parameters.\n", - "\n", - "### IMPORTANT NOTE FOR <= 2.6 USERS \n", - "> In Tidy3D 2.7, the `adjoint` plugin internals were refactored for better performance and reliability. Several geometry and medium fields, such as `JaxPolySlab.vertices` no longer directly store the `jax-traced` values. Instead, they store regular `float` or `np.ndarray` values. As such, these fields should not be used in the objective function directly, or else they will give a gradient contribution of 0. The `jax`-traced fields are stored in a field with the same name but with `_jax` suffix, eg. `JaxPolySlab.vertices_jax`. You may use this in the objective function contributions, eg. `RadiusPenalty.evaluate()` as before.\n", - "\n", - "> If your previous scripts used `geometry.vertices` in the penalty function, please change it." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "c693a704-702b-4385-8eab-cd32dc4453a5", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:37:09.182707Z", - "iopub.status.busy": "2023-08-17T23:37:09.182523Z", - "iopub.status.idle": "2023-08-17T23:37:09.202919Z", - "shell.execute_reply": "2023-08-17T23:37:09.202432Z" - } - }, - "outputs": [], - "source": [ - "def objective(parameters: np.ndarray, verbose: bool = False) -> float:\n", - " \"\"\"Construct simulation, run, and measure transmission.\"\"\"\n", - " sim = make_sim_params(parameters, include_field_mnt=False)\n", - " sim_data = run(sim, task_name=\"adjoint_taper\", path=\"data/simulation.hdf5\", verbose=verbose)\n", - " return measure_transmission(sim_data) - penalty.evaluate(\n", - " sim.input_structures[0].geometry.vertices_jax\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "c1b5e21d-679d-403f-a498-2b0041eaf7d7", - "metadata": {}, - "source": [ - "To test our our objective, we will use jax to make and run a function that returns the objective value and its gradient." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "2674e8d2-c0af-407a-8b82-fb9c49c24cd6", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:37:09.205400Z", - "iopub.status.busy": "2023-08-17T23:37:09.205216Z", - "iopub.status.idle": "2023-08-17T23:37:09.224363Z", - "shell.execute_reply": "2023-08-17T23:37:09.223813Z" - } - }, - "outputs": [], - "source": [ - "grad_fn = jax.value_and_grad(objective)" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "d8936843-692f-4e3b-a4be-b900bf82396f", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:37:09.226666Z", - "iopub.status.busy": "2023-08-17T23:37:09.226496Z", - "iopub.status.idle": "2023-08-17T23:38:51.941589Z", - "shell.execute_reply": "2023-08-17T23:38:51.941048Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
17:54:39 -03 WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:54:39 -03\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:54:40 -03 Created task 'adjoint_taper' with task_id                          \n",
-       "             'fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:54:40 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'adjoint_taper'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f39\n",
-       "             4-4f6d-9b99-4e73b6d15623'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=314117;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=192523;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=314117;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=109192;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=314117;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32m-bb88979f-f39\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=314117;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[32m4-4f6d-9b99-4e73b6d15623'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "cf5088546caf412085d150cd625a2db8", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "117012e6ed8e41f2ab31360b46c8f36b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:54:44 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:54:44 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "47cc614c6c374f249f33c75f5b0afcb9", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:54:54 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:54:54 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
17:55:00 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:00 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "63ae5877043143209ccfb203739e3a9e", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:08 -03 early shutoff detected at 40%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:08 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m40\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:09 -03 status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:09 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ea1cb72e78fe492a9fd32e6b483a804c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:17 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:17 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f39\n",
-       "             4-4f6d-9b99-4e73b6d15623'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=891948;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=472716;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=891948;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=360361;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=891948;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34m-bb88979f-f39\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=891948;https://tidy3d.simulation.cloud/workbench?taskId=fdve-bb88979f-f394-4f6d-9b99-4e73b6d15623\u001b\\\u001b[4;34m4-4f6d-9b99-4e73b6d15623'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6b062df34de3488bae0f33971aed266b", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:19 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:19 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:21 -03 WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:21 -03\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[0]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             Created task 'adjoint_taper_adj' with task_id                      \n",
-       "             'fdve-829c708b-56bb-44a9-85eb-d12ead875af4' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'adjoint_taper_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-829c708b-56bb-44a9-85eb-d12ead875af4'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56b\n",
-       "             b-44a9-85eb-d12ead875af4'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=323271;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=479289;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=323271;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=908604;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=323271;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32m-829c708b-56b\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=323271;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[32mb-44a9-85eb-d12ead875af4'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "65bf916a17424ede80764d83cb9f883c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "bcb4f6eb484f46b395b2d89b2ed84b44", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:25 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:25 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "51e2fd01ac174209988cacd22d746846", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:34 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:34 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
17:55:37 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:37 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:38 -03 running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:38 -03\u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "b592644e9e3147758f76dfff890f7e91", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:46 -03 early shutoff detected at 8%, exiting.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:46 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m8\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a21defa14fa84af486e5cfc7f62230eb", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
17:55:53 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m17:55:53 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56b\n",
-       "             b-44a9-85eb-d12ead875af4'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=679975;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=249525;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=679975;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=963902;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=679975;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34m-829c708b-56b\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=679975;https://tidy3d.simulation.cloud/workbench?taskId=fdve-829c708b-56bb-44a9-85eb-d12ead875af4\u001b\\\u001b[4;34mb-44a9-85eb-d12ead875af4'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "5467f5cd10fc43899627539b381725ab", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "val, grad = grad_fn(params0, verbose=True)" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "d9612664-1030-4914-bb2a-03b4ffcefdaa", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:38:53.843364Z", - "iopub.status.busy": "2023-08-17T23:38:53.843115Z", - "iopub.status.idle": "2023-08-17T23:38:53.863543Z", - "shell.execute_reply": "2023-08-17T23:38:53.863099Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "objective = 7.19e-01\n", - "gradient = [ 2.71207769e-03 1.06148072e-01 4.84825969e-02 -1.41210541e-01\n", - " -2.67507136e-01 -3.53514314e-01 -4.04454678e-01 -3.16792846e-01\n", - " -2.08037823e-01 -8.59880447e-02 1.24843515e-01 1.69099435e-01\n", - " 4.28064093e-02 1.63800269e-02 9.93956625e-02 2.47591525e-01\n", - " 3.55272055e-01 3.94703865e-01 4.48716551e-01 3.94270569e-01\n", - " 2.96383291e-01 2.34637365e-01 1.15221784e-01 9.00208130e-02\n", - " 1.12314552e-01 4.43161428e-02 6.85510552e-03 -2.83381902e-02\n", - " -5.76341972e-02 -4.27867882e-02 -3.37758288e-02 9.33956075e-03\n", - " 3.78074571e-02 3.67486328e-02 0.00000000e+00 0.00000000e+00\n", - " 0.00000000e+00 0.00000000e+00 2.39209589e-02 8.26518703e-03\n", - " -7.68248085e-03 -3.43262851e-02 -4.34620529e-02 -4.13822420e-02\n", - " -4.34709378e-02 -4.43057232e-02 -4.08759601e-02 -3.75956520e-02\n", - " 0.00000000e+00 0.00000000e+00 0.00000000e+00 0.00000000e+00\n", - " 0.00000000e+00 -4.03490365e-02 -4.57080789e-02 -5.10892384e-02\n", - " -4.67417762e-02 -5.29423878e-02 -4.61914130e-02 -4.05969881e-02\n", - " -4.51477878e-02 -3.92075628e-02 -4.43347208e-02 -4.46286537e-02\n", - " -4.21377458e-02 -4.75024618e-02 -4.13158908e-02 -4.13195603e-02\n", - " -3.98531072e-02 -3.41202244e-02 -3.69236916e-02 -3.42656896e-02\n", - " -3.31429392e-02 -3.23140435e-02 -2.90086549e-02 -2.82389168e-02\n", - " -2.48387903e-02 -2.40148660e-02 -2.29546241e-02 -2.07484011e-02\n", - " -1.96003020e-02 -1.69872716e-02 -1.56198898e-02 -1.38210198e-02\n", - " -1.28083043e-02 -1.14396280e-02 -9.53127444e-03 -8.99357256e-03\n", - " -6.99131191e-03 -6.39675511e-03 -5.54914912e-03 -4.02912172e-03\n", - " -3.70020024e-03 0.00000000e+00 0.00000000e+00 0.00000000e+00\n", - " 0.00000000e+00 0.00000000e+00 -1.74395434e-04 -7.77108871e-05\n", - " -2.65569292e-06]\n" - ] - } - ], - "source": [ - "print(f\"objective = {val:.2e}\")\n", - "print(f\"gradient = {np.nan_to_num(grad)}\")" - ] - }, - { - "cell_type": "markdown", - "id": "6613a956-3263-4904-b520-10722f12d83e", - "metadata": {}, - "source": [ - "Now we can run `optax`'s Adam optimization loop with this `value_and_grad()` function. See tutorial 3 for more details on the implementation." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "6d93407b-bb18-4b17-a073-c97b980c810b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-17T23:38:53.865446Z", - "iopub.status.busy": "2023-08-17T23:38:53.865304Z", - "iopub.status.idle": "2023-08-18T00:22:17.548799Z", - "shell.execute_reply": "2023-08-18T00:22:17.548412Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tJ = 7.1900e-01\n", - "\tgrad_norm = 1.2431e+00\n", - "step = 2\n", - "\tJ = 7.4457e-01\n", - "\tgrad_norm = 3.0956e+00\n", - "step = 3\n", - "\tJ = 7.8507e-01\n", - "\tgrad_norm = 3.9803e+00\n", - "step = 4\n", - "\tJ = 8.2712e-01\n", - "\tgrad_norm = 2.8943e+00\n", - "step = 5\n", - "\tJ = 8.5855e-01\n", - "\tgrad_norm = 3.0015e+00\n", - "step = 6\n", - "\tJ = 8.8245e-01\n", - "\tgrad_norm = 3.9600e+00\n", - "step = 7\n", - "\tJ = 8.7541e-01\n", - "\tgrad_norm = 5.5646e+00\n", - "step = 8\n", - "\tJ = 8.9146e-01\n", - "\tgrad_norm = 5.3756e+00\n", - "step = 9\n", - "\tJ = 8.9377e-01\n", - "\tgrad_norm = 6.2556e+00\n", - "step = 10\n", - "\tJ = 9.1782e-01\n", - "\tgrad_norm = 4.8957e+00\n", - "step = 11\n", - "\tJ = 9.2116e-01\n", - "\tgrad_norm = 4.9372e+00\n", - "step = 12\n", - "\tJ = 9.2062e-01\n", - "\tgrad_norm = 6.2027e+00\n", - "step = 13\n", - "\tJ = 9.4761e-01\n", - "\tgrad_norm = 4.1500e+00\n", - "step = 14\n", - "\tJ = 9.4234e-01\n", - "\tgrad_norm = 4.1013e+00\n", - "step = 15\n", - "\tJ = 9.3209e-01\n", - "\tgrad_norm = 4.6997e+00\n", - "step = 16\n", - "\tJ = 9.3425e-01\n", - "\tgrad_norm = 4.5537e+00\n", - "step = 17\n", - "\tJ = 9.4294e-01\n", - "\tgrad_norm = 4.1936e+00\n", - "step = 18\n", - "\tJ = 9.4867e-01\n", - "\tgrad_norm = 4.1816e+00\n", - "step = 19\n", - "\tJ = 9.5344e-01\n", - "\tgrad_norm = 4.0164e+00\n", - "step = 20\n", - "\tJ = 9.5720e-01\n", - "\tgrad_norm = 3.5860e+00\n", - "step = 21\n", - "\tJ = 9.5600e-01\n", - "\tgrad_norm = 3.8795e+00\n", - "step = 22\n", - "\tJ = 9.5947e-01\n", - "\tgrad_norm = 3.7678e+00\n", - "step = 23\n", - "\tJ = 9.6865e-01\n", - "\tgrad_norm = 3.2645e+00\n", - "step = 24\n", - "\tJ = 9.7231e-01\n", - "\tgrad_norm = 3.0108e+00\n", - "step = 25\n", - "\tJ = 9.7019e-01\n", - "\tgrad_norm = 3.5280e+00\n", - "step = 26\n", - "\tJ = 9.7600e-01\n", - "\tgrad_norm = 2.7345e+00\n", - "step = 27\n", - "\tJ = 9.7893e-01\n", - "\tgrad_norm = 2.8836e+00\n", - "step = 28\n", - "\tJ = 9.8154e-01\n", - "\tgrad_norm = 2.0772e+00\n", - "step = 29\n", - "\tJ = 9.8094e-01\n", - "\tgrad_norm = 2.2520e+00\n", - "step = 30\n", - "\tJ = 9.8241e-01\n", - "\tgrad_norm = 2.3039e+00\n", - "step = 31\n", - "\tJ = 9.8696e-01\n", - "\tgrad_norm = 1.2431e+00\n", - "step = 32\n", - "\tJ = 9.8657e-01\n", - "\tgrad_norm = 1.8214e+00\n", - "step = 33\n", - "\tJ = 9.8649e-01\n", - "\tgrad_norm = 1.7739e+00\n", - "step = 34\n", - "\tJ = 9.8759e-01\n", - "\tgrad_norm = 1.7228e+00\n", - "step = 35\n", - "\tJ = 9.8928e-01\n", - "\tgrad_norm = 1.1017e+00\n", - "step = 36\n", - "\tJ = 9.8976e-01\n", - "\tgrad_norm = 1.0232e+00\n", - "step = 37\n", - "\tJ = 9.8942e-01\n", - "\tgrad_norm = 1.5094e+00\n", - "step = 38\n", - "\tJ = 9.9082e-01\n", - "\tgrad_norm = 8.2405e-01\n", - "step = 39\n", - "\tJ = 9.9057e-01\n", - "\tgrad_norm = 1.2295e+00\n", - "step = 40\n", - "\tJ = 9.9132e-01\n", - "\tgrad_norm = 8.7248e-01\n", - "step = 41\n", - "\tJ = 9.9202e-01\n", - "\tgrad_norm = 7.9684e-01\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# turn off warnings to reduce verbosity\n", - "td.config.logging_level = \"ERROR\"\n", - "\n", - "# hyperparameters\n", - "num_steps = 41\n", - "learning_rate = 0.01\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = np.array(params0).copy()\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "objective_history = []\n", - "param_history = [params]\n", - "\n", - "for i in range(num_steps):\n", - " # compute gradient and current objective function value\n", - " value, gradient = grad_fn(params, verbose=False)\n", - "\n", - " # convert nan to 0 (infinite radius of curvature) and multiply all by -1 to maximize obj_fn.\n", - " gradient = -1 * np.nan_to_num(np.array(gradient.copy()))\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient\n", - " updates, opt_state = optimizer.update(gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # save history\n", - " objective_history.append(value)\n", - " param_history.append(params)" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "307fac85-849f-46e8-bff5-8eb326e51df5", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:22:17.550748Z", - "iopub.status.busy": "2023-08-18T00:22:17.550579Z", - "iopub.status.idle": "2023-08-18T00:22:17.622120Z", - "shell.execute_reply": "2023-08-18T00:22:17.621217Z" - } - }, - "outputs": [], - "source": [ - "params_best = param_history[-1]" - ] - }, - { - "cell_type": "markdown", - "id": "4f69997e-65ea-417d-ab63-c0028e169734", - "metadata": {}, - "source": [ - "We see that our objective has increased steadily from a transmission of 56% to about 95%!" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "4dfdc602-8f1d-455d-9fd9-a3b09bca3554", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:22:17.625383Z", - "iopub.status.busy": "2023-08-18T00:22:17.625140Z", - "iopub.status.idle": "2023-08-18T00:22:17.744167Z", - "shell.execute_reply": "2023-08-18T00:22:17.743617Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = plt.plot(objective_history)\n", - "plt.xlabel(\"iteration number\")\n", - "plt.ylabel(\"objective function\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "b39dc94f-4695-4d1f-b0e3-afdacbd9ecc7", - "metadata": {}, - "source": [ - "Our final device displays smooth features and no sharp corners. Without our penalty this would have not been the case!" - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "bdfc8ca2-95f5-430e-9ac4-6e029a953562", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:22:17.746313Z", - "iopub.status.busy": "2023-08-18T00:22:17.746143Z", - "iopub.status.idle": "2023-08-18T00:22:18.036595Z", - "shell.execute_reply": "2023-08-18T00:22:18.036092Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_best = make_sim_params(param_history[-1], include_field_mnt=True)\n", - "ax = sim_best.plot(z=0.01)" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "841a07a1-0a3b-47ce-b08c-a82495c1f04d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:22:18.038440Z", - "iopub.status.busy": "2023-08-18T00:22:18.038271Z", - "iopub.status.idle": "2023-08-18T00:22:57.133784Z", - "shell.execute_reply": "2023-08-18T00:22:57.133191Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
18:49:46 -03 Created task 'taper final' with task_id                            \n",
-       "             'fdve-189329bc-803d-45a7-9385-fe224c400888' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:49:46 -03\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'taper final'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-189329bc-803d-45a7-9385-fe224c400888'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803\n",
-       "             d-45a7-9385-fe224c400888'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=966487;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=109609;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=966487;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=340334;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=966487;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32m-189329bc-803\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=966487;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[32md-45a7-9385-fe224c400888'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "62278c69e197452cbf7830896e8d7baf", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
18:49:49 -03 status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:49:49 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a1077c43dee84975a2b73d0f60e58100", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
18:50:02 -03 status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:50:02 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
18:50:06 -03 Maximum FlexCredit cost: 0.025. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:50:06 -03\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "93f7f29ece3e49468b9ea77b7e8e957a", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
18:50:13 -03 early shutoff detected at 44%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:50:13 -03\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m44\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ef8037fe952a4801af22c1f91a73e517", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
18:50:23 -03 status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:50:23 -03\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803\n",
-       "             d-45a7-9385-fe224c400888'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=695283;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=382776;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=695283;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=708613;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=695283;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34m-189329bc-803\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=695283;https://tidy3d.simulation.cloud/workbench?taskId=fdve-189329bc-803d-45a7-9385-fe224c400888\u001b\\\u001b[4;34md-45a7-9385-fe224c400888'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "73214ae75ec14cfb8d0bc30f8dd1ad4f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
18:50:28 -03 loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m18:50:28 -03\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_best = td.web.run(sim_best.to_simulation()[0], task_name=\"taper final\")" - ] - }, - { - "cell_type": "markdown", - "id": "85af7a2f-00a1-4d64-8cf3-7f34b56e5e4e", - "metadata": {}, - "source": [ - "Comparing the field patterns, we see that the optimized device gives a much more uniform field profile at the output waveguide, as desired. One can further check that this device and field pattern matches the referenced paper quite nicely!" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "969473fb-e7ef-457d-8d65-f55a35e1dfe4", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:22:57.832369Z", - "iopub.status.busy": "2023-08-18T00:22:57.832105Z", - "iopub.status.idle": "2023-08-18T00:23:02.189965Z", - "shell.execute_reply": "2023-08-18T00:23:02.189442Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, ((ax1, ax2), (ax3, ax4)) = plt.subplots(2, 2, tight_layout=True, figsize=(11, 7))\n", - "\n", - "# plot original\n", - "sim_data.plot_field(field_monitor_name=\"field\", field_name=\"Ez\", val=\"real\", ax=ax1)\n", - "sim_data.plot_field(field_monitor_name=\"field\", field_name=\"E\", val=\"abs\", ax=ax2)\n", - "\n", - "# plot optimized\n", - "sim_data_best.plot_field(field_monitor_name=\"field\", field_name=\"Ez\", val=\"real\", ax=ax3)\n", - "sim_data_best.plot_field(field_monitor_name=\"field\", field_name=\"E\", val=\"abs\", ax=ax4)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "f028eb77-cb49-4ca4-8659-e9cd157a8724", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-18T00:23:02.193508Z", - "iopub.status.busy": "2023-08-18T00:23:02.193358Z", - "iopub.status.idle": "2023-08-18T00:23:02.228195Z", - "shell.execute_reply": "2023-08-18T00:23:02.227700Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Transmission improved from 71.90% to 99.48%\n" - ] - } - ], - "source": [ - "transmission_start = float(measure_transmission(sim_data))\n", - "transmission_end = float(measure_transmission(sim_data_best))\n", - "print(\n", - " f\"Transmission improved from {(transmission_start * 100):.2f}% to {(transmission_end * 100):.2f}%\"\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates how to perform inverse design optimization of a waveguide taper using boundary gradients in Tidy3D FDTD using the adjoint plugin.", - "feature_image": "./img/adjoint_5.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "boundary gradients, adjoint optimization, inverse design, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "title": "Inverse Design of a Waveguide Taper in Tidy3D Using the Adjoint Plugin | Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "02166fa4990b4728b5bef9f75c9c33e3": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_ebeb2d08d21a43ceb8d0f4b4db614296", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Finishing 'adjoint_taper_adj'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mFinishing 'adjoint_taper_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "028c2e27bff24509ae42239106fcdece": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_89b318f0ee6f44ca97b74ea22e361a1f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "0c0cbb3632664b6cb5969aff145938fe": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "15ae482e0e284ab2b0a93cce6f3c654d": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6bfe5141b2a34599b09fc4749a05cdce", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'taper fields'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'taper fields'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "1d909878ef224dac8f438f35a8c51751": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2572ac3c9a6f409099df139caa353951": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_7238bdaff1744e8196b32b4a8978b7a1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "27a1b18173f04592b64fa75a0eeff4b4": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "2d753d4a4b1845ff8b492aaf41044a4e": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_c306e3b2c5e04a1c9b3da62e836b0863", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_info.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%174/174 bytes?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34mjax_info.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m174/174 bytes\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "2e345efb7a5846dca40eadffda4493b5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "33497aba844c4c569b0af924f6d244ea": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6f9dfdc129df4bc29753f91bda50ab4e", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'taper fields'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'taper fields'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "4fa7cb073e8140d191240554892b791e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "5da3303bce494fcbafcb317608ee529a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "635ec4de2ca64fa18401065bf4b30463": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6bfe5141b2a34599b09fc4749a05cdce": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6ea2d9dbc98541f490f48d2b93c00ff0": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_635ec4de2ca64fa18401065bf4b30463", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'adjoint_taper'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'adjoint_taper'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "6f9dfdc129df4bc29753f91bda50ab4e": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7238bdaff1744e8196b32b4a8978b7a1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7d650aceb47f4289b05bdd2979ac1e20": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_4fa7cb073e8140d191240554892b791e", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━ 100.0%15.1/15.1 MB41.9 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m15.1/15.1 MB\u001b[0m • \u001b[31m41.9 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "7f7a52f041a84753921d384290a3d871": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "80eac17854a94503b329d893d740e040": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_b469968df1b540379d261de9cd4c71a3", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'adjoint_taper_adj'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'adjoint_taper_adj'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "83d8ad8a95ea45349606ecd0962787e8": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_9eae4d69a4ce413287e18bf7b1e7517f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━ 100.0%15.1/15.1 MB13.6 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m15.1/15.1 MB\u001b[0m • \u001b[31m13.6 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "857da452c4fb46d389bf33ed945ea4f8": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "89b318f0ee6f44ca97b74ea22e361a1f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "8aee04db7c884e77a99fa91d04f88381": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_27a1b18173f04592b64fa75a0eeff4b4", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "8efdfde4f4474d6695c53b76cfc9d228": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_0c0cbb3632664b6cb5969aff145938fe", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "917bb97f4e284e06bd0dd9c337c8b312": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "940878e02881474cbd7c95ec134854fb": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_bf56fd0661514c15923ff87120635d39", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'taper final'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'taper final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "9b8ce2d22bdc46c3a32630fd07534078": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_5da3303bce494fcbafcb317608ee529a", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Finishing 'taper final'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mFinishing 'taper final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "9d328cb5eb394bc397a917817bf9d5b8": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_9e691928d62a4bef8ede38393b5ff98a", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%3.8/3.8 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m3.8/3.8 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "9e691928d62a4bef8ede38393b5ff98a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "9eae4d69a4ce413287e18bf7b1e7517f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "a45a72bdc08f429689efa52e0435e251": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_917bb97f4e284e06bd0dd9c337c8b312", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%3.8/3.8 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m3.8/3.8 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "b469968df1b540379d261de9cd4c71a3": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "b593f145d05a4dcd967613ebd0d9b1cf": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_ebd9dfdea74e49fabfd92488955ea075", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%55.7/55.7 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m55.7/55.7 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "bf56fd0661514c15923ff87120635d39": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c306e3b2c5e04a1c9b3da62e836b0863": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c4b97b447fbd48a589f7ba5f92106f87": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d1009632eceb486ca076c67e15d35a35", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Finishing 'adjoint_taper'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mFinishing 'adjoint_taper'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "c6cf6b5ca4384a55ac5ac392ec4250fe": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_857da452c4fb46d389bf33ed945ea4f8", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 0.00e+00) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 0.00e+00) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d06d9943f6a24e10954e5c733c1b7fd4": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_2e345efb7a5846dca40eadffda4493b5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%3.8/3.8 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m3.8/3.8 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d1009632eceb486ca076c67e15d35a35": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "e376a357828c4909806b385bcba42e2b": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_7f7a52f041a84753921d384290a3d871", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 jax_sim_vjp.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%15.5/15.5 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mjax_sim_vjp.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m15.5/15.5 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "ebd9dfdea74e49fabfd92488955ea075": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "ebeb2d08d21a43ceb8d0f4b4db614296": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "fc70e7f5009843198b312be1a9bb9d11": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_1d909878ef224dac8f438f35a8c51751", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%3.8/3.8 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m3.8/3.8 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin6GratingCoupler.ipynb b/AdjointPlugin6GratingCoupler.ipynb deleted file mode 100644 index 26eb3bf5..00000000 --- a/AdjointPlugin6GratingCoupler.ipynb +++ /dev/null @@ -1,1674 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Inverse design optimization of a compact grating coupler\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd6GratingCoupler/).\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "**This notebook contains a long optimization. Running the entire notebook will cost about 10 FlexCredits and take a few hours.**\n", - "\n", - "The ability to couple light in and out of photonic integrated circuits (PICs) is crucial for developing wafer-scale systems and tests. This need makes designing efficient and compact grating couplers an important task in the PIC development cycle. In this notebook, we will demonstrate how to use [Tidy3D's adjoint plugin](../notebooks/AdjointPlugin1Intro.html) to perform the inverse design of a compact 3D grating coupler. We will show how to improve design fabricability by enhancing permittivity binarization and controlling the device's minimum feature size.\n", - "\n", - "\"Schematic\n", - "\n", - "In addition, if you are interested in more conventional designs, we modeled an [uniform grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/GratingCoupler/) and a [Focusing apodized grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/FocusedApodGC/) in previous case studies. For more integrated photonic examples, please visit our [examples page](https://www.flexcompute.com/tidy3d/examples/). If you are new to the finite-difference time-domain (FDTD) method, we highly recommend going through our [FDTD101](https://www.flexcompute.com/fdtd101/) tutorials. FDTD simulations can diverge due to various reasons. If you run into any simulation divergence issues, please follow the steps outlined in our [troubleshooting guide](https://www.flexcompute.com/tidy3d/examples/notebooks/DivergedFDTDSimulation/) to resolve it.\n", - "\n", - "We start by importing our typical python packages, `jax`, `tidy3d` and its `adjoint` plugin." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "# Standard python imports.\n", - "import json\n", - "import os\n", - "from typing import Callable, List\n", - "\n", - "# Import jax to be able to use automatic differentiation.\n", - "import jax.numpy as jnp\n", - "import jax.scipy as jsp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "import pydantic as pd\n", - "import scipy as sp\n", - "\n", - "# Import regular tidy3d.\n", - "import tidy3d as td\n", - "import tidy3d.web as web\n", - "from jax import value_and_grad\n", - "\n", - "# Import the components we need from the adjoint plugin.\n", - "from tidy3d.plugins.adjoint import (\n", - " JaxBox,\n", - " JaxCustomMedium,\n", - " JaxDataArray,\n", - " JaxPermittivityDataset,\n", - " JaxSimulation,\n", - " JaxSimulationData,\n", - " JaxStructure,\n", - ")\n", - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "from tidy3d.plugins.adjoint.web import run" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Grating Coupler Inverse Design Configuration\n", - "\n", - "The grating coupler inverse design begins with a rectangular design region connected to a $Si$ waveguide. Throughout the optimization process, this initial structure evolves to convert a vertically incident Gaussian-like mode from an optical fiber into a guided mode and then funnel it into the $Si$ waveguide.\n", - "\n", - "We are considering a full-etched grating structure, so a $SiO_{2}$ BOX layer is included. To reduce backreflection, we adjusted the fiber tilt angle to $10^{\\circ}$ [[1](https://doi.org/10.1364/OE.23.022628), [2](https://doi.org/10.3390/mi11070666)].\n", - "\n", - "In the following block of code, you can find the parameters that can be modified to configure the grating coupler structure, optimization, and simulation setup. Special care should be devoted to the `it_per_step` and `opt_steps` variables below." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [], - "source": [ - "# Geometric parameters.\n", - "w_thick = 0.22 # Waveguide thickness (um).\n", - "w_width = 0.5 # Waveguide width (um).\n", - "w_length = 1.0 # Waveguide length (um).\n", - "box_thick = 1.6 # SiO2 BOX thickness (um).\n", - "spot_size = 2.5 # Spot size of the input Gaussian field regarding a lensed fiber (um).\n", - "fiber_tilt = 10.0 # Fiber tilt angle (degrees).\n", - "src_offset = 0.05 # Distance between the source focus and device (um).\n", - "\n", - "# Material.\n", - "nSi = 3.48 # Silicon refractive index.\n", - "nSiO2 = 1.44 # Silica refractive index.\n", - "\n", - "# Design region parameters.\n", - "gc_width = 4.0 # Grating coupler width (um).\n", - "gc_length = 4.0 # Grating coupler length (um).\n", - "dr_grid_size = 0.02 # Grid size within the design region (um).\n", - "\n", - "# Inverse design set up parameters.\n", - "#################################################################\n", - "# Total number of iterations = opt_steps x it_per_step.\n", - "it_per_step = 1 # Number of iterations per optimization step.\n", - "opt_steps = 75 # Number of optimization steps.\n", - "#################################################################\n", - "eta = 0.50 # Threshold value for the projection filter.\n", - "fom_name = \"fom_field\" # Name of the monitor used to compute the objective function.\n", - "\n", - "# Simulation wavelength.\n", - "wl = 1.55 # Central simulation wavelength (um).\n", - "bw = 0.06 # Simulation bandwidth (um).\n", - "n_wl = 61 # Number of wavelength points within the bandwidth.\n", - "\n", - "# feature size\n", - "min_feature_size = 0.080\n", - "filter_radius = min_feature_size\n", - "\n", - "# Buffer layer thickness\n", - "border_buffer = 0.16\n", - "\n", - "# projection\n", - "beta_min = 1.0\n", - "beta_max = 30.0" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Total iterations = 75\n" - ] - } - ], - "source": [ - "total_iter = opt_steps * it_per_step\n", - "print(f\"Total iterations = {total_iter}\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Inverse Design Optimization Set Up\n", - "\n", - "We will calculate the values of some parameters used throughout the inverse design set up." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [], - "source": [ - "# Minimum and maximum values for the permittivities.\n", - "eps_max = nSi**2\n", - "eps_min = 1.0\n", - "\n", - "# Material definitions.\n", - "mat_si = td.Medium(permittivity=eps_max) # Waveguide material.\n", - "mat_sio2 = td.Medium(permittivity=nSiO2**2) # Substrate material.\n", - "\n", - "# Wavelengths and frequencies.\n", - "wl_max = wl + bw / 2\n", - "wl_min = wl - bw / 2\n", - "wl_range = np.linspace(wl_min, wl_max, n_wl)\n", - "freq = td.C_0 / wl\n", - "freqs = td.C_0 / wl_range\n", - "freqw = 0.5 * (freqs[0] - freqs[-1])\n", - "run_time = 5e-12\n", - "\n", - "# Computational domain size.\n", - "pml_spacing = 0.6 * wl\n", - "size_x = pml_spacing + w_length + gc_length + 2 * border_buffer\n", - "size_y = gc_width + 2 * pml_spacing + 2 * border_buffer\n", - "size_z = w_thick + box_thick + 2 * pml_spacing\n", - "center_z = size_z / 2 - pml_spacing - w_thick / 2\n", - "eff_inf = 1000\n", - "\n", - "# Inverse design variables.\n", - "src_pos_z = w_thick / 2 + src_offset\n", - "mon_pos_x = -size_x / 2 + 0.25 * wl\n", - "mon_w = int(3 * w_width / dr_grid_size) * dr_grid_size\n", - "mon_h = int(5 * w_thick / dr_grid_size) * dr_grid_size\n", - "nx = int((gc_length + 2 * border_buffer) / dr_grid_size)\n", - "ny = int((gc_width + 2 * border_buffer) / dr_grid_size / 2.0)\n", - "npar = int(nx * ny)\n", - "dr_size_x = nx * dr_grid_size\n", - "dr_size_y = 2 * ny * dr_grid_size\n", - "dr_center_x = -size_x / 2 + w_length + dr_size_x / 2\n", - "n_border = int(border_buffer / dr_grid_size)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "First, we will introduce the simulation components that do not change during optimization, such as the $Si$ waveguide and $SiO_{2}$ BOX layer. Additionally, we will include a Gaussian source to drive the simulations, and a mode monitor to compute the objective function." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "# Input/output waveguide.\n", - "waveguide = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -w_width / 2, -w_thick / 2),\n", - " rmax=(-size_x / 2 + w_length, w_width / 2, w_thick / 2),\n", - " ),\n", - " medium=mat_si,\n", - ")\n", - "\n", - "# SiO2 BOX layer.\n", - "sio2_substrate = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -eff_inf, -w_thick / 2 - box_thick),\n", - " rmax=(eff_inf, eff_inf, -w_thick / 2),\n", - " ),\n", - " medium=mat_sio2,\n", - ")\n", - "\n", - "# Si substrate.\n", - "si_substrate = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-eff_inf, -eff_inf, -eff_inf),\n", - " rmax=(eff_inf, eff_inf, -w_thick / 2 - box_thick),\n", - " ),\n", - " medium=mat_si,\n", - ")\n", - "\n", - "# Gaussian source focused above the grating coupler.\n", - "gauss_source = td.GaussianBeam(\n", - " center=(dr_center_x, 0, src_pos_z),\n", - " size=(dr_size_x - 2 * border_buffer, dr_size_y - 2 * border_buffer, 0),\n", - " source_time=td.GaussianPulse(freq0=freq, fwidth=freqw),\n", - " pol_angle=np.pi / 2,\n", - " angle_theta=fiber_tilt * np.pi / 180.0,\n", - " direction=\"-\",\n", - " num_freqs=7,\n", - " waist_radius=spot_size / 2,\n", - ")\n", - "\n", - "# Monitor where we will compute the objective function from.\n", - "mode_spec = td.ModeSpec(num_modes=1, target_neff=nSi)\n", - "fom_monitor = td.ModeMonitor(\n", - " center=[mon_pos_x, 0, 0],\n", - " size=[0, mon_w, mon_h],\n", - " freqs=[freq],\n", - " mode_spec=mode_spec,\n", - " name=fom_name,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now, we will define a random vector of initial design parameters or load a previously designed structure.\n", - "\n", - "> Note: if a previous optimization file is found, the optimizer will pick up where that left off instead." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "init_par = np.random.uniform(0, 1, int(npar))\n", - "init_par = sp.ndimage.gaussian_filter(init_par, 1)\n", - "init_par = init_par.reshape((nx, ny))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Fabrication Constraints\n", - "\n", - "We will use `jax` to build functions that improve device fabricability. A classical conic density filter, which is popular in topology optimization problems, is used to enforce a minimum feature size specified by the `filter_radius` variable. Next, a hyperbolic tangent projection function is applied to eliminate grayscale and obtain a binarized permittivity pattern. The `beta` parameter controls the sharpness of the transition in the projection function, and for better results, this parameter should be gradually increased throughout the optimization process. Finally, the design parameters are transformed into permittivity values. For a detailed review of these methods, refer to [[3](https://doi.org/10.1007/s00419-015-1106-4)].\n", - "\n", - "We will also introduce a buffer layer around the design region to enhance fabricability at the interfaces. The permittivity is enforced to lower values within the buffer layer, except at the output waveguide connection where we want a smooth transition." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.filter import BinaryProjector, ConicFilter\n", - "\n", - "conic_filter = ConicFilter(radius=filter_radius, design_region_dl=dr_grid_size)\n", - "\n", - "\n", - "def tanh_projection(x, beta, eta=0.5):\n", - " tanhbn = jnp.tanh(beta * eta)\n", - " num = tanhbn + jnp.tanh(beta * (x - eta))\n", - " den = tanhbn + jnp.tanh(beta * (1 - eta))\n", - " return num / den\n", - "\n", - "\n", - "def filter_project(x, beta, eta=0.5):\n", - " x = conic_filter.evaluate(x)\n", - " return tanh_projection(x, beta=beta, eta=eta)\n", - "\n", - "\n", - "def interface_buffer(params):\n", - " \"\"\"Introduce a buffer around design to enhance fabricability at the interfaces.\"\"\"\n", - " par = jnp.asarray(params)\n", - " par = par.at[0:n_border, :].set(0)\n", - " par = par.at[nx - n_border :, :].set(0)\n", - " par = par.at[:, ny - n_border :].set(0)\n", - " par = par.at[0:n_border, 0 : int((w_width / 2) / dr_grid_size) + 1].set(1)\n", - " return par\n", - "\n", - "\n", - "def pre_process(params, beta):\n", - " \"\"\"Get the permittivity values (1, eps_wg) array as a function of the parameters (0,1)\"\"\"\n", - " params1 = interface_buffer(params)\n", - " params2 = filter_project(params1, beta=beta)\n", - " params3 = filter_project(params2, beta=beta)\n", - " return params3\n", - "\n", - "\n", - "def get_eps_values(params, beta):\n", - " params = pre_process(params, beta=beta)\n", - " eps_values = eps_min + (eps_max - eps_min) * params\n", - " return eps_values" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "def get_eps(design_param, beta: float = 1.00, binarize: bool = False) -> np.ndarray:\n", - " \"\"\"Returns the permittivities after applying a conic density filter on design parameters\n", - " to enforce fabrication constraints, followed by a binarization projection function\n", - " which reduces grayscale.\n", - " Parameters:\n", - " design_param: np.ndarray\n", - " Vector of design parameters.\n", - " beta: float = 1.0\n", - " Sharpness parameter for the projection filter.\n", - " binarize: bool = False\n", - " Enforce binarization.\n", - " Returns:\n", - " eps: np.ndarray\n", - " Permittivity vector.\n", - " \"\"\"\n", - "\n", - " # Calculates the permittivities from the transformed design parameters.\n", - " eps = get_eps_values(design_param, beta=beta)\n", - " if binarize:\n", - " eps = jnp.where(eps < (eps_min + eps_max) / 2, eps_min, eps_max)\n", - " else:\n", - " eps = jnp.where(eps < eps_min, eps_min, eps)\n", - " eps = jnp.where(eps > eps_max, eps_max, eps)\n", - " return eps" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The permittivity values obtained from the design parameters are then used to build a [JaxCustomMedium](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxCustomMedium.html). As we will consider symmetry about the x-axis in the simulations, only the upper-half part of the design region needs to be populated. A `JaxStructure` built using the `JaxCustomMedium` will be returned by the following function: " - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "def update_design(eps, unfold: bool = False) -> List[JaxStructure]:\n", - " # Reflects the structure about the x-axis.\n", - " nyii = ny\n", - " y_min = 0\n", - " dr_s_y = dr_size_y / 2\n", - " dr_c_y = dr_s_y / 2\n", - " eps_val = jnp.array(eps).reshape((nx, ny, 1, 1))\n", - " if unfold:\n", - " nyii = 2 * ny\n", - " y_min = -dr_size_y / 2\n", - " dr_s_y = dr_size_y\n", - " dr_c_y = 0\n", - " eps_val = np.concatenate((np.fliplr(np.copy(eps_val)), eps_val), axis=1)\n", - "\n", - " # Definition of the coordinates x,y along the design region.\n", - " coords_x = [(dr_center_x - dr_size_x / 2) + ix * dr_grid_size for ix in range(nx)]\n", - " coords_y = [y_min + iy * dr_grid_size for iy in range(nyii)]\n", - " coords = dict(x=coords_x, y=coords_y, z=[0], f=[freq])\n", - "\n", - " # Creation of a custom medium using the values of the design parameters.\n", - " eps_components = {\n", - " f\"eps_{dim}{dim}\": JaxDataArray(values=eps_val, coords=coords) for dim in \"xyz\"\n", - " }\n", - " eps_dataset = JaxPermittivityDataset(**eps_components)\n", - " eps_medium = JaxCustomMedium(eps_dataset=eps_dataset)\n", - " box = JaxBox(center=(dr_center_x, dr_c_y, 0), size=(dr_size_x, dr_s_y, w_thick))\n", - " design_structure = JaxStructure(geometry=box, medium=eps_medium)\n", - " return [design_structure]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we will write a function to return the `JaxSimulation` object. Note that we are using a `MeshOverrideStructure` to obtain a uniform mesh over the design region." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [], - "source": [ - "def make_adjoint_sim(\n", - " design_param, beta: float = 1.00, unfold: bool = False, binarize: bool = False\n", - ") -> JaxSimulation:\n", - " # Builds the design region from the design parameters.\n", - " eps = get_eps(design_param, beta, binarize)\n", - " design_structure = update_design(eps, unfold=unfold)\n", - "\n", - " # Creates a uniform mesh for the design region.\n", - " adjoint_dr_mesh = td.MeshOverrideStructure(\n", - " geometry=td.Box(center=(dr_center_x, 0, 0), size=(dr_size_x, dr_size_y, w_thick)),\n", - " dl=[dr_grid_size, dr_grid_size, dr_grid_size],\n", - " enforce=True,\n", - " )\n", - "\n", - " return JaxSimulation(\n", - " size=[size_x, size_y, size_z],\n", - " center=[0, 0, -center_z],\n", - " grid_spec=td.GridSpec.auto(\n", - " wavelength=wl_max,\n", - " min_steps_per_wvl=15,\n", - " override_structures=[adjoint_dr_mesh],\n", - " ),\n", - " symmetry=(0, -1, 0),\n", - " structures=[waveguide, sio2_substrate, si_substrate],\n", - " input_structures=design_structure,\n", - " sources=[gauss_source],\n", - " monitors=[],\n", - " output_monitors=[fom_monitor],\n", - " run_time=run_time,\n", - " subpixel=True,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Let's visualize the simulation set up and verify if all the elements are in their correct places." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "init_design = make_adjoint_sim(init_par, beta=beta_min)\n", - "\n", - "fig, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(10, 10))\n", - "init_design.plot_eps(z=0, ax=ax1)\n", - "init_design.plot_eps(y=0, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Optimization\n", - "\n", - "We need to provide an objective function and its gradients with respect to the design parameters of the optimization algorithm.\n", - "\n", - "Our figure-of-merit (FOM) is the coupling efficiency of the incident power into the fundamental transverse electric mode of the $Si$ waveguide. The optimization algorithm will call the objective function at each iteration step. Therefore, the objective function will create the adjoint simulation, run it, and return the FOM value." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "# Figure of Merit (FOM) calculation.\n", - "def fom(sim_data: JaxSimulationData) -> float:\n", - " \"\"\"Return the power at the mode index of interest.\"\"\"\n", - " output_amps = sim_data.output_data[0].amps\n", - " amp = output_amps.sel(direction=\"-\", f=freq, mode_index=0)\n", - " return jnp.sum(jnp.abs(amp) ** 2)\n", - "\n", - "\n", - "def penalty(params, beta) -> float:\n", - " \"\"\"Penalty function based on amount of change in parameters after erosion and dilation.\"\"\"\n", - " params_processed = pre_process(params, beta=beta)\n", - " ed_penalty = ErosionDilationPenalty(length_scale=filter_radius, pixel_size=dr_grid_size)\n", - " return ed_penalty.evaluate(params_processed)\n", - "\n", - "\n", - "# Objective function to be passed to the optimization algorithm.\n", - "def obj(design_param, beta: float = 1.0, step_num: int = None, verbose: bool = False) -> float:\n", - " sim = make_adjoint_sim(design_param, beta)\n", - " task_name = \"inv_des\"\n", - " if step_num:\n", - " task_name += f\"_step_{step_num}\"\n", - " sim_data = run(sim, task_name=task_name, verbose=verbose)\n", - " fom_val = fom(sim_data)\n", - " feature_size_penalty = penalty(design_param, beta=beta)\n", - " J = fom_val - feature_size_penalty\n", - " return J, sim_data\n", - "\n", - "\n", - "# Function to calculate the objective function value and its\n", - "# gradient with respect to the design parameters.\n", - "obj_grad = value_and_grad(obj, has_aux=True)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next we will define the optimizer using `optax`. We will save the optimization progress in a `pickle` file. If that file is found, it will pick up the optimization from the last state. Otherwise, we will create a blank history." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "import pickle\n", - "\n", - "import optax\n", - "\n", - "# hyperparameters\n", - "learning_rate = 0.3\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "\n", - "# where to store history\n", - "history_fname = \"misc/grating_coupler_history.pkl\"\n", - "\n", - "\n", - "def save_history(history_dict: dict) -> None:\n", - " \"\"\"Convenience function to save the history to file.\"\"\"\n", - " with open(history_fname, \"wb\") as file:\n", - " pickle.dump(history_dict, file)\n", - "\n", - "\n", - "def load_history() -> dict:\n", - " \"\"\"Convenience method to load the history from file.\"\"\"\n", - " with open(history_fname, \"rb\") as file:\n", - " history_dict = pickle.load(file)\n", - " return history_dict" - ] - }, - { - "cell_type": "markdown", - "metadata": { - "tags": [] - }, - "source": [ - "### Checking For a Previous Optimization\n", - "\n", - "If `history_fname` is a valid file, the results of a previous optimization are loaded, then the optimization will continue from the last iteration step. If the optimization was completed, only the final structure will be simulated. The pickle file used in this notebook can be downloaded from our documentation [repo](https://github.com/flexcompute/tidy3d-notebooks/tree/develop/misc)." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Loaded optimization checkpoint from file.\n", - "Found 75 iterations previously completed out of 75 total.\n", - "Optimization completed, will return results.\n" - ] - } - ], - "source": [ - "try:\n", - " history_dict = load_history()\n", - " opt_state = history_dict[\"opt_states\"][-1]\n", - " params = history_dict[\"params\"][-1]\n", - " num_iters_completed = len(history_dict[\"params\"])\n", - " print(\"Loaded optimization checkpoint from file.\")\n", - " print(f\"Found {num_iters_completed} iterations previously completed out of {total_iter} total.\")\n", - " if num_iters_completed < total_iter:\n", - " print(\"Will resume optimization.\")\n", - " else:\n", - " print(\"Optimization completed, will return results.\")\n", - "\n", - "except FileNotFoundError:\n", - " params = np.array(init_par)\n", - " opt_state = optimizer.init(params)\n", - " history_dict = dict(\n", - " values=[],\n", - " params=[],\n", - " gradients=[],\n", - " opt_states=[opt_state],\n", - " data=[],\n", - " beta=[],\n", - " )" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": { - "tags": [] - }, - "outputs": [], - "source": [ - "iter_done = len(history_dict[\"values\"])\n", - "\n", - "for i in range(iter_done, total_iter):\n", - " print(f\"iteration = ({i + 1} / {total_iter})\")\n", - "\n", - " # compute gradient and current objective function value\n", - " perc_done = i / (total_iter - 1)\n", - " beta_i = beta_min * (1 - perc_done) + beta_max * perc_done\n", - " (value, sim_data_i), gradient = obj_grad(params, beta=beta_i)\n", - "\n", - " # outputs\n", - " print(f\"\\tbeta = {beta_i}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # cap parameters between 0 and 1\n", - " params = jnp.minimum(params, 1.0)\n", - " params = jnp.maximum(params, 0.0)\n", - "\n", - " # save history\n", - " history_dict[\"values\"].append(value)\n", - " history_dict[\"params\"].append(params)\n", - " history_dict[\"beta\"].append(beta_i)\n", - " history_dict[\"gradients\"].append(gradient)\n", - " history_dict[\"opt_states\"].append(opt_state)\n", - " # history_dict[\"data\"].append(sim_data_i) # uncomment to store data, can create large files\n", - " save_history(history_dict)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Optimization Results\n", - "\n", - "After 150 iterations, a coupling efficiency value of 0.71 (-1.48 dB) was achieved at the central wavelength." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [], - "source": [ - "obj_vals = np.array(history_dict[\"values\"])\n", - "final_par = history_dict[\"params\"][-1]\n", - "final_beta = history_dict[\"beta\"][-1]" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAiwAAAGJCAYAAACpTmgpAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAABX1klEQVR4nO3deVyU1f4H8M8wwgAqi8oqCO77FiShkhgUmLcktMQs1Exvprlgpd5+7iWaS2hZppnoLdRUsGyxTHGpyB3JNTVUJJaUYAQVdOb8/pjLxDgzMAMzMAOf9+v1vHDOc54z58yMzJezPRIhhAARERGRBbOp6woQERERVYUBCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBaPAQtZrStXrkAikSAxMdGsz+Pv74/Ro0ebvNzy+i9btqzKvPPmzYNEIjF5HQwhkUgwb968OnluaxMaGorQ0NC6roZJ8H0nS8OAhSxWYmIiJBKJzmPmzJl1XT2dSkpKsHDhQvTo0QOOjo5wdnZGSEgINm3aBEu+C8a3335rcV9O+t57T0/POq3X2bNnMW/ePFy5cqVO61Fu8uTJkEgkuHTpkt48b731FiQSCTIyMmqxZqaXnZ2N5557Di4uLnBycsKQIUPwxx9/GHRtaGiozs9TZGRkpde98847kEgk6NatmymaQDXQqK4rQFSVBQsWoHXr1hpp3bp1g5+fH+7cuQNbW9s6qpmmvLw8hIWF4dy5c4iJicGkSZNw9+5d7NixA6NGjcK3336Lzz//HFKp1Oiy/+///s+sQdq3336L1atX6wxa7ty5g0aN6uZXxeOPP47Y2FiNNAcHhzqpS7mzZ89i/vz5CA0Nhb+/v8a5H374odbrM3LkSLz//vtISkrCnDlzdObZvHkzunfvjh49etRy7UynuLgYAwcORFFREf7zn//A1tYW7733HgYMGID09HQ0b968yjJ8fHwQHx+vkebt7a03//Xr17Fo0SI0bty4xvWnmmPAQhZv0KBBCAwM1HnO3t6+lmuj36hRo3Du3DmkpKTg6aefVqdPnjwZb7zxBpYtW4bevXtjxowZRpfdqFGjOgsa6vI17tChA1544YU6e35j2dnZ1fpzBgUFoV27dti8ebPOgCUtLQ2ZmZlYvHhxrdfNlD788ENcvHgRR44cwcMPPwxA9buhW7duWL58ORYtWlRlGc7OzkZ9nl5//XU88sgjUCgUuHHjRrXrTqbBISGyWrrmsIwePRpNmjRBdnY2oqKi0KRJE7i5ueH111+HQqHQuH7ZsmXo27cvmjdvDgcHBwQEBGD79u3Vqsuvv/6K77//HqNHj9YIVsrFx8ejffv2WLJkCe7cuaN1/r333oOfnx8cHBwwYMAAnD59WuO8vjksn332GQICAuDg4IBmzZohJiYGWVlZWvkOHz6MJ598Eq6urmjcuDF69OiBlStXAlC9ZqtXrwagOQxTruJchu3bt0MikeDAgQNaz/Hxxx9DIpFo1P38+fMYNmwYmjVrBnt7ewQGBuKrr77S9RIabfTo0Vo9HIDu10oikWDSpEnYuXMnunXrBplMhq5du2L37t1a12dnZ2Ps2LHw9vaGTCZD69atMWHCBJSVlSExMRHPPvssAGDgwIHq12r//v0AdM9hyc/Px9ixY+Hh4QF7e3v07NkTGzdu1MhTcT7T2rVr0bZtW8hkMjz88MM4evRola/FyJEjcf78eZw4cULrXFJSEiQSCUaMGIGysjLMmTMHAQEBcHZ2RuPGjRESEoLU1NQqn8OY1xsw7LN5+/ZtnD9/3qBgYPv27Xj44YfVwQoAdOrUCWFhYfjiiy+qvL7c/fv3UVxcXGW+gwcPYvv27UhISDC4bDIvBixk8YqKinDjxg2NozIKhQIRERFo3rw5li1bhgEDBmD58uVYu3atRr6VK1eid+/eWLBgARYtWoRGjRrh2WefxTfffGN0HXft2gUAWsMX5Ro1aoTnn38ef//9N37++WeNc5s2bcKqVaswceJEzJo1C6dPn8Zjjz2GvLy8Sp/znXfeQWxsLNq3b48VK1Zg6tSp2Lt3Lx599FEUFhaq8+3ZswePPvoozp49iylTpmD58uUYOHAgvv76awDAv//9bzz++OMAgP/+97/qQ5fBgwejSZMmOr8gtm7diq5du6rH+s+cOYNHHnkE586dw8yZM7F8+XI0btwYUVFRSElJqbRt5e7evav13peWlhp07YN++uknvPrqq4iJicG7776Lu3fvYujQobh586Y6z59//ok+ffpgy5YtGD58OFatWoUXX3wRBw4cwO3bt/Hoo49i8uTJAID//Oc/6teqc+fOOp/zzp07CA0NxX//+1+MHDkSS5cuhbOzM0aPHq0OGCtKSkrC0qVL8e9//xtvv/02rly5gujoaNy7d6/Sto0cOVJ9fUUKhQJffPEFQkJC0KpVK8jlcnzyyScIDQ3FkiVLMG/ePPz111+IiIhAenq6MS9npQz9bB45cgSdO3fGBx98UGl5SqUSGRkZOnta+/Tpg8uXL+PWrVtV1uv3339H48aN0bRpU3h6emL27Nk6X1uFQoHXXnsNL7/8Mrp37151g6l2CCILtWHDBgFA5yGEEJmZmQKA2LBhg/qaUaNGCQBiwYIFGmX17t1bBAQEaKTdvn1b43FZWZno1q2beOyxxzTS/fz8xKhRoyqta1RUlAAg/v77b715kpOTBQCxatUqjfo7ODiI69evq/MdPnxYABDTpk1Tp82dO1dU/O965coVIZVKxTvvvKPxHL/99pto1KiROv3+/fuidevWws/PT6tuSqVS/e+JEycKfb8OAIi5c+eqH48YMUK4u7uL+/fvq9NycnKEjY2NxuseFhYmunfvLu7evavxnH379hXt27fX+zpVfF5dR/n7PWrUKOHn56d13YOvVXlZdnZ24tKlS+q0U6dOCQDi/fffV6fFxsYKGxsbcfToUa1yy1+vbdu2CQAiNTVVK8+AAQPEgAED1I8TEhIEAPHZZ5+p08rKykRwcLBo0qSJkMvlQoh/PgvNmzcXBQUF6rxffvmlACB27dql/4X6n4cfflj4+PgIhUKhTtu9e7cAID7++GMhhOrzUFpaqnHd33//LTw8PMRLL72kkf7g+27o623oZ1MIIVJTU7WeR5e//vpL5/9rIYRYvXq1ACDOnz9faRkvvfSSmDdvntixY4fYtGmTePrppwUA8dxzz2nl/eCDD4Szs7PIz88XQqje165du1ZaPpkfe1jI4q1evRp79uzROKryyiuvaDwOCQnRWk1QcfLm33//jaKiIoSEhOjsVq9K+V93TZs21Zun/JxcLtdIj4qKQsuWLdWP+/Tpg6CgIHz77bd6y0pOToZSqcRzzz2n0fvg6emJ9u3bq7v4T548iczMTEydOhUuLi4aZVR3mfTw4cORn5+vHgYBVN31SqUSw4cPBwAUFBRg3759eO6553Dr1i11/W7evImIiAhcvHgR2dnZVT7XkCFDtN77iIiIatU7PDwcbdu2VT/u0aMHnJyc1J8LpVKJnTt34qmnntL5l3x1Xq9vv/0Wnp6eGDFihDrN1tYWkydPRnFxsdbQ2vDhw+Hq6qp+HBISAgAGrYR54YUXcP36dRw8eFCdlpSUBDs7O/UwllQqVc+zUSqVKCgowP379xEYGFitz70uhn42AdUQmhCiyhVq5cOoMplM61z5HCtdQ60VrV+/HnPnzkV0dDRefPFFfPnllxg3bhy++OIL/Prrr+p8N2/exJw5czB79my4ubkZ2myqBZx0SxavT58+eifd6mJvb6/1i8bV1RV///23RtrXX3+Nt99+G+np6RrDDNX5YioPRm7duqUVGJTTF9S0b99eK2+HDh0qHZe/ePEihBA6rwWgXjl1+fJlADDpkszIyEg4Oztj69atCAsLA6AaDurVqxc6dOgAALh06RKEEJg9ezZmz56ts5z8/HyNQE0XHx8fhIeHm6TerVq10kqr+Ln466+/IJfLTfpaXb16Fe3bt4eNjebfhuVDSFevXq20juXBy4OfXV1iYmIQFxeHpKQkhIaG4u7du0hJScGgQYM0gqCNGzdi+fLlOH/+vMZwyIMr8arL0M+mMcr/uNA1HHj37l2NPMaYPn061q1bhx9//BGPPPIIANWKvGbNmuG1114zujwyLwYsVO8Ysmz40KFDePrpp/Hoo4/iww8/hJeXF2xtbbFhwwateQCG6Ny5M3bu3ImMjAw8+uijOvOU74HRpUsXo8t/kFKphEQiwXfffaezvU2aNKnxc+gjk8nU81A+/PBD5OXl4eeff9ZYpaFUKgGoVlno6xFp165djeqhL7B8cHJ1OX2fC2FB++PUpI7u7u54/PHHsWPHDqxevRq7du3CrVu31PNbANVE2NGjRyMqKgpvvPEG3N3dIZVKER8frw5u9TH09TbHZ7NZs2aQyWTIycnROleeVtnyZH18fX0BqHoEAVWwtXbtWiQkJODPP/9U57t79y7u3buHK1euwMnJCc2aNTP6uajmGLBQg7Rjxw7Y29vj+++/1+hm3rBhQ7XK+9e//oX4+Hhs2rRJZ8CiUCiQlJQEV1dX9OvXT+PcxYsXtfL//vvvOldklGvbti2EEGjdurW6V0NfPgA4ffp0pT0VxvYqDR8+HBs3bsTevXtx7tw5CCHUw0EA0KZNGwCqv6ZN1UPyIFdXV40JnOUe7LUwlJubG5ycnLRWaD3ImNfKz88PGRkZUCqVGr0s58+fV583pZEjR2L37t347rvvkJSUBCcnJzz11FPq89u3b0ebNm2QnJys0Y65c+dWWbahr7ehn01j2NjYoHv37jh27JjWucOHD6NNmzaVDsfqUz7UVt4jm52dDaVSicmTJ6snV1fUunVrTJkyhSuH6gjnsFCDJJVKIZFINP46vHLlCnbu3Fmt8vr27Yvw8HBs2LBBvfqmorfeegu///473nzzTa2u6507d2rM5zhy5AgOHz6MQYMG6X2+6OhoSKVSzJ8/X+uvbyGEeuXLQw89hNatWyMhIUHry6bideUbY+n6QtIlPDwczZo1w9atW7F161b06dNHY0jB3d0doaGh+Pjjj3X+VfzXX38Z9DyVadu2LYqKijR2b83JyTF4BdKDbGxsEBUVhV27dun8Yix/vYx5rZ588knk5uZi69at6rT79+/j/fffR5MmTTBgwIBq1VWfqKgoODo64sMPP8R3332H6OhojX10yns8Kr73hw8fRlpaWpVlG/p6G/rZBIxb1jxs2DAcPXpU4725cOEC9u3bp56jU+78+fO4du2a+rFcLtcaThJC4O233wYAdS9gt27dkJKSonV07doVrVq1QkpKCsaOHVtlXck82MNCDdLgwYOxYsUKREZG4vnnn0d+fj5Wr16Ndu3aVXv78k2bNiEsLAxDhgzB888/j5CQEJSWliI5ORn79+/H8OHD8cYbb2hd165dO/Tv3x8TJkxAaWkpEhIS0Lx5c7z55pt6n6tt27Z4++23MWvWLFy5cgVRUVFo2rQpMjMzkZKSgvHjx+P111+HjY0NPvroIzz11FPo1asXxowZAy8vL5w/fx5nzpzB999/DwAICAgAoNrkLiIiAlKpFDExMXqf39bWFtHR0diyZQtKSkp03g9p9erV6N+/P7p3745x48ahTZs2yMvLQ1paGq5fv45Tp04Z+xJriImJwYwZM/DMM89g8uTJuH37Nj766CN06NCh2hNIFy1ahB9++AEDBgzA+PHj0blzZ+Tk5GDbtm346aef4OLigl69ekEqlWLJkiUoKiqCTCbDY489Bnd3d63yxo8fj48//hijR4/G8ePH4e/vj+3bt+Pnn39GQkJCtXoFKtOkSRNERUWphzUrDgcBqp7A5ORkPPPMMxg8eDAyMzOxZs0adOnSpcq9SQx9vQ39bAKq4HzgwIGYO3dulRNvX331Vaxbtw6DBw/G66+/DltbW6xYsQIeHh6YPn26Rt7OnTtjwIAB6onhJ06cwIgRIzBixAi0a9cOd+7cQUpKCn7++WeMHz8eDz30EACgRYsWiIqK0nru8h4VXeeoFtX6uiQiA5Uva9a1xFQI/cuaGzdurJVX11LX9evXi/bt2wuZTCY6deokNmzYoDOfIcuay926dUvMmzdPdO3aVTg4OIimTZuKfv36icTERI1lxBXrv3TpUrF8+XLh6+srZDKZCAkJEadOnaqy/kIIsWPHDtG/f3/RuHFj0bhxY9GpUycxceJEceHCBY18P/30k3j88cdF06ZNRePGjUWPHj00lvPev39fvPbaa8LNzU1IJBKN54KeZad79uwRAIREIhFZWVk6X4/Lly+L2NhY4enpKWxtbUXLli3Fv/71L7F9+/YqX0sAYuLEiZXm+eGHH0S3bt2EnZ2d6Nixo/jss8/0LmvWVZau9/bq1asiNjZWuLm5CZlMJtq0aSMmTpyosRx43bp1ok2bNkIqlWoscX5wWbMQQuTl5YkxY8aIFi1aCDs7O9G9e3eNz6wQmp8FXa9DVct+K/rmm28EAOHl5aWxxFkI1dLsRYsWCT8/PyGTyUTv3r3F119/rXPJsq7nNfT1FsKwz6ahy5rLZWVliWHDhgknJyfRpEkT8a9//UtcvHhRKx8Ajffhjz/+EM8++6zw9/cX9vb2wtHRUQQEBIg1a9Zo/b/UhcuaLYNECAuacUZEOs2ePRvx8fG4f/9+XVeFiKhOcA4LkRXIyclBixYt6roaRER1hnNYiCzYH3/8gZSUFGzbtg3/+te/6ro6RER1hj0sRBbs4MGDmD9/PgYMGIAVK1bUdXWIiOqMVQUsBw8exFNPPQVvb29IJBKDlqDu378fDz30EGQyGdq1a6dxZ99yq1evhr+/P+zt7REUFIQjR46YvvJE1TB69GjI5XJ89dVX8PDwqOvqEBHVGasKWEpKStCzZ0+sXr3aoPyZmZkYPHgwBg4ciPT0dEydOhUvv/yyeiknoNpSPC4uDnPnzsWJEyfQs2dPREREID8/31zNICIiIiNZ7SohiUSClJSUStfFz5gxA998843GzpUxMTEoLCzE7t27AQBBQUF4+OGH1bc3VyqV8PX1xWuvvYaZM2eatQ1ERERkmHo96TYtLU1rW/CIiAhMnToVAFBWVobjx49j1qxZ6vM2NjYIDw+vdOfH0tJSjV0Ty+962rx582rfAZeIiKghEkLg1q1b8Pb21rpRaEX1OmDJzc3VGvf38PCAXC7HnTt38Pfff0OhUOjMU36vD13i4+Mxf/58s9SZiIioIcrKyoKPj4/e8/U6YDGXWbNmIS4uTv24qKgIrVq1QlZWFpycnOqwZkRERNZFLpfD19e3yltV1OuAxdPTE3l5eRppeXl5cHJygoODA6RSKaRSqc48np6eesuVyWQad/gt5+TkxICFiIioGqqaUmFVq4SMFRwcjL1792qk7dmzB8HBwQAAOzs7BAQEaORRKpXYu3evOg8RERHVPasKWIqLi5Geno709HQAqmXL6enp6tuIz5o1C7Gxser8r7zyCv744w+8+eabOH/+PD788EN88cUXmDZtmjpPXFwc1q1bh40bN+LcuXOYMGECSkpKMGbMmFptGxEREelnVUNCx44dw8CBA9WPy+eRjBo1ComJicjJyVEHLwDQunVrfPPNN5g2bRpWrlwJHx8ffPLJJ4iIiFDnGT58OP766y/MmTMHubm56NWrF3bv3s1NuoiIiCyI1e7DYknkcjmcnZ1RVFTEOSxERERGMPQ71KqGhIiIiKhhYsBCREREFo8BCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBaPAQsRERFZPAYsREREZPEYsBAREZHFY8BCREREFo8BCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBaPAQsRERFZPAYsREREZPEYsBAREZHFY8BCREREFo8BCxEREVk8qwtYVq9eDX9/f9jb2yMoKAhHjhzRmzc0NBQSiUTrGDx4sDrP6NGjtc5HRkbWRlOIiIjIQI3qugLG2Lp1K+Li4rBmzRoEBQUhISEBERERuHDhAtzd3bXyJycno6ysTP345s2b6NmzJ5599lmNfJGRkdiwYYP6sUwmM18jiIiIyGhW1cOyYsUKjBs3DmPGjEGXLl2wZs0aODo64tNPP9WZv1mzZvD09FQfe/bsgaOjo1bAIpPJNPK5urrWRnOIiIjIQFYTsJSVleH48eMIDw9Xp9nY2CA8PBxpaWkGlbF+/XrExMSgcePGGun79++Hu7s7OnbsiAkTJuDmzZuVllNaWgq5XK5xEBERkflYTcBy48YNKBQKeHh4aKR7eHggNze3yuuPHDmC06dP4+WXX9ZIj4yMxKZNm7B3714sWbIEBw4cwKBBg6BQKPSWFR8fD2dnZ/Xh6+tbvUYRERGRQaxqDktNrF+/Ht27d0efPn000mNiYtT/7t69O3r06IG2bdti//79CAsL01nWrFmzEBcXp34sl8sZtBAREZmR1fSwtGjRAlKpFHl5eRrpeXl58PT0rPTakpISbNmyBWPHjq3yedq0aYMWLVrg0qVLevPIZDI4OTlpHERERGQ+VhOw2NnZISAgAHv37lWnKZVK7N27F8HBwZVeu23bNpSWluKFF16o8nmuX7+OmzdvwsvLq8Z1JiIiItOwmoAFAOLi4rBu3Tps3LgR586dw4QJE1BSUoIxY8YAAGJjYzFr1iyt69avX4+oqCg0b95cI724uBhvvPEGfv31V1y5cgV79+7FkCFD0K5dO0RERNRKm4iIiKhqVjWHZfjw4fjrr78wZ84c5ObmolevXti9e7d6Iu61a9dgY6MZg124cAE//fQTfvjhB63ypFIpMjIysHHjRhQWFsLb2xtPPPEEFi5cyL1YiIiILIhECCHquhLWTi6Xw9nZGUVFRZzPQkREZARDv0OtakiIiIiIGiYGLERERGTxGLAQERGRxWPAQkRERBaPAQsRERFZPAYsREREZPEYsBAREZHFY8BCREREFo8BCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBaPAQsRERFZPAYsREREZPEYsBAREZHFY8BCREREFo8BCxEREVm8RnVdASIiIjIRhQI4dAjIyQG8vICQEEAqNU0Zpii7BhiwEBERPciYL2djv8iNCQgAw/N++SUwZQpw/fo/z+XjA6xYAbi51ayMESOAzZu101euBKKjq/86G0EihBC18kz1mFwuh7OzM4qKiuDk5FTX1SEiql+M/Yu/pgGBvi/tlSuBIUM089+4AUybZlheYwOC5s1VP2/eNCxvxXyVMUUZACCRqH5u316joMXQ71AGLCbAgIWISI+aBhX6AgJ9f/Ebk64rIND3pS2RAEIY9qWuL6+xAYE1kEhUr29mZrWHhxiw1CIGLESkVtNufQuYK1BpW2o72CDrkJoKhIZW61JDv0M5h4WI6pYpxv+B2h0yMGb835hufVPOFTDXsEhNg43r14GlSw1PJ+uQk2P2p2APiwmwh4UaLHP9BW7M+L+pAoLanENgrPK5Alu3ak+eBEw/T6I+Dl2QedVCDwuElfnggw+En5+fkMlkok+fPuLw4cN6827YsEEA0DhkMplGHqVSKWbPni08PT2Fvb29CAsLE7///rtRdSoqKhIARFFRUbXaRGTx7t8XIjVViKQk1c/794XYsUMIHx8hVKP1qsPHR4g33jA8Xdchkah+Nm+umf7g44Z4SKXarwlfJx51eUgkQvj6qn4nVJOh36FWNSS0detWxMXFYc2aNQgKCkJCQgIiIiJw4cIFuLu767zGyckJFy5cUD+WlP+l8j/vvvsuVq1ahY0bN6J169aYPXs2IiIicPbsWdjb25u1PUR1qia9IPr+AjdFd78Qqp8Pls+/+FXvTUW6XhO+TlRbyr9PExJqZ45VtUOiOtCnTx8xceJE9WOFQiG8vb1FfHy8zvwbNmwQzs7OestTKpXC09NTLF26VJ1WWFgoZDKZ2Lx5s8H1Yg8LWbSa9o7w4MHD8g99PZOmKMPXV/fvBl9f1e+SGqp3PSxlZWU4fvw4Zs2apU6zsbFBeHg40tLS9F5XXFwMPz8/KJVKPPTQQ1i0aBG6du0KAMjMzERubi7Cw8PV+Z2dnREUFIS0tDTExMToLLO0tBSlpaXqx3K5vKbNIzINc/SOEDUkVS1JLj9fGX159ZXt6wvExBg2v0hfXh8fVU+HIfvEGFtGee9rfDx3ujXEjRs3oFAo4OHhoZHu4eGB8+fP67ymY8eO+PTTT9GjRw8UFRVh2bJl6Nu3L86cOQMfHx/k5uaqy3iwzPJzusTHx2P+/Pk1bBFRDRi6QkUXDhmQtdP3hWtsur5J1fq+tHX9H/P1BZYv154MrW/is7EBAWB88PDg5Ndnnql5GYDqXDUn1pqC1awS+vPPP9GyZUv88ssvCA4OVqe/+eabOHDgAA4fPlxlGffu3UPnzp0xYsQILFy4EL/88gv69euHP//8E15eXup8zz33HCQSCbZu3aqzHF09LL6+vlwlRNVnzGobfStlGIiQNahOsKErIDD3snV9zLllfwNV7/ZhadGiBaRSKfLy8jTS8/Ly4OnpaVAZtra26N27Ny5dugQA6uvy8vI0Apa8vDz06tVLbzkymQwymczIFhDpkZxs3BJUTrS0TJUNJQCGdevrSy//sq0txg5dVCfY0PfXvTHDDvr+4jc23ZheA2N6Geq4R6LeqfFsmVrUp08fMWnSJPVjhUIhWrZsqXfS7YPu378vOnbsKKZNmyaE+GfS7bJly9R5ioqKOOmWzKviJNj58/+Z6MZDc8Lfg69LZcudjZkkaEy6sWXv2KF7krOutAc/C5Wlb9umar8hnxVjJ07qa6O+thhT7xosdaWGw9DvUKsKWLZs2SJkMplITEwUZ8+eFePHjxcuLi4iNzdXCCHEiy++KGbOnKnOP3/+fPH999+Ly5cvi+PHj4uYmBhhb28vzpw5o86zePFi4eLiIr788kuRkZEhhgwZIlq3bi3u3LljcL0YsJDBdK3OscajOqsJ9KV/8YVhq5jMGRBUlm4pX866XhNTBRsMNKgOGfodajVzWMp98MEHWLp0KXJzc9GrVy+sWrUKQUFBAIDQ0FD4+/sjMTERADBt2jQkJycjNzcXrq6uCAgIwNtvv43evXuryxNCYO7cuVi7di0KCwvRv39/fPjhh+jQoYPBdeJOt6STrhU7zz2n+lqxdr6+lU8eNMU9cjj+r80UczCILAxvfliLGLA0cIZOjK3teQj6VGd+gr55CERENVTvJt0SWSRdE2b1TYy1hGAFsPi9FoiIdGHAQlRdycnAsGHaQzx1sWKnsl6TynpHLHCvBSIiXRiwEBmjfPgnO1u1e2Rtj6jqC0yq6jUhIrJyDFiIDKVr+Mdcqrt1NsDeESKqlzjp1gQ46bYB0Df8YwrlvSbz5wPt21d/VQ0RkRXipFuimqgYKLi7q3pWTBWsPLhaqLzXJDpad172mBARMWAh0mKuoR+JRPVz82YuESYiMhIDFmrYzLG5W1UTY3X1pBARUaUYsFDDpasnRSqt+dAPV+wQEZkcAxZqGAztSanu5m5ubsB77wEtW3LFDhGRGVQrYNm7dy/27t2L/Px8KJVKjXOffvqpSSpGZDLm6kkB/pmXsmYNh3qIiMzI6IBl/vz5WLBgAQIDA+Hl5QVJ+S9sIkukbzmyqbbJ57wUIqJaYXTAsmbNGiQmJuLFF180R32ITEehMO1yZIlENeSTmAjk53NeChFRLTI6YCkrK0Pfvn3NURci0zp0yHRLk8t7EleuBMLCTFMmEREZzMbYC15++WUkJSWZoy5EpqFQAPv3Azt2VL+MB3tNfHyA7ds59ENEVEeM7mG5e/cu1q5dix9//BE9evSAra2txvkVK1aYrHJERqvppm/c3I2IyCIZHbBkZGSgV69eAIDTp09rnOMEXKpT1bnfjzHb5BMRUZ0xOmBJTU01Rz2IasbYCbbsSSEisio12jju+v+63X18fExSGaJqM3aCLXtSiIisitGTbpVKJRYsWABnZ2f4+fnBz88PLi4uWLhwodYmckS1JifHsHyTJgGpqUBmJoMVIiIrYnQPy1tvvYX169dj8eLF6NevHwDgp59+wrx583D37l288847Jq8kUZW8vAzLN3Qot8snIrJCEiGM21XL29sba9aswdNPP62R/uWXX+LVV19Fdna2SStoDeRyOZydnVFUVAQnJ6e6rk7DpFAA/v5AdrbueSwSiWoYKDOTc1SIiCyIod+hRg8JFRQUoFOnTlrpnTp1QkFBgbHFEZmGVKra1A34Z0JtufLHCQkMVoiIrJTRAUvPnj3xwQcfaKV/8MEH6Nmzp0kqRWSQ8g3iNm9W/RwyRLW5W8uWmvm46RsRkdUzeg7Lu+++i8GDB+PHH39EcHAwACAtLQ1ZWVn49ttvTV5BIp10bRDn46PqZblyRbVqiEuViYjqDaPnsADAn3/+idWrV+P8+fMAgM6dO+PVV1+Ft7e3yStoDTiHpZbp2yCufOiHvSlERFbD0O/QagUspIkBSy0qn1yrb88VTq4lIrIqJp10m5GRod5jJSMjo9LD3FavXg1/f3/Y29sjKCgIR44c0Zt33bp1CAkJgaurK1xdXREeHq6Vf/To0ZBIJBpHZGSkuZtBxqg4V+X99yvfIE4IICtLNSRERET1hkFzWHr16oXc3Fy4u7ujV69ekEgk0NUxI5FIoKh4XxYT27p1K+Li4rBmzRoEBQUhISEBERERuHDhAtzd3bXy79+/HyNGjEDfvn1hb2+PJUuW4IknnsCZM2fQssLEzMjISGzYsEH9WCaTma0NVAWFQnP+yY0bwLRpxt/M0NCN5IiIyCoYNCR09epVtGrVChKJBFevXq00r5+fn8kq96CgoCA8/PDD6lVKSqUSvr6+eO211zBz5swqr1coFHB1dcUHH3yA2NhYAKoelsLCQuzcubPa9eKQkInU9E7LFaWmcoM4IiIrYNIhIT8/P/WdmK9evYqWLVuqt+UvP1q2bFllMFMTZWVlOH78OMLDw9VpNjY2CA8PR1pamkFl3L59G/fu3UOzZs000vfv3w93d3d07NgREyZMwM2bNystp7S0FHK5XOOgGiqfSFvTYEUiAXx9VSuDiIio3jB6H5aBAwfq3CCuqKgIAwcONEmldLlx4wYUCgU8PDw00j08PJCbm2tQGTNmzIC3t7dG0BMZGYlNmzZh7969WLJkCQ4cOIBBgwZVOrQVHx8PZ2dn9eHr61u9RpGKsXda1ocbxBER1VtG78MihFD3tlR08+ZNNG7c2CSVMofFixdjy5Yt2L9/P+zt7dXpMTEx6n93794dPXr0QNu2bbF//36EhYXpLGvWrFmIi4tTP5bL5QxaasLYOy3rwzswExHVWwYHLNH/+xKQSCQYPXq0xsRUhUKBjIwM9O3b1/Q1/J8WLVpAKpUiLy9PIz0vLw+enp6VXrts2TIsXrwYP/74I3r06FFp3jZt2qBFixa4dOmS3oBFJpNxYq4p1WSC7HvvAR4e3CCOiKieMzhgcXZ2BqDqYWnatCkcHBzU5+zs7PDII49g3Lhxpq9hhecICAjA3r17ERUVBUA16Xbv3r2YNGmS3uveffddvPPOO/j+++8RGBhY5fNcv34dN2/ehJehd/+lmqvOa12+38prrzFIISJqAAwOWMqX/fr7++ONN96Ao6Oj2SqlT1xcHEaNGoXAwED06dMHCQkJKCkpwZgxYwAAsbGxaNmyJeLj4wEAS5YswZw5c5CUlAR/f3/1XJcmTZqgSZMmKC4uxvz58zF06FB4enri8uXLePPNN9GuXTtERETUevsarJAQVfCh707LD+JcFSKiBsfoSbexsbHIzs7WSr948SKuXLliijrpNXz4cCxbtgxz5sxBr169kJ6ejt27d6sn4l67dg05FYYXPvroI5SVlWHYsGHw8vJSH8uWLQMASKVSZGRk4Omnn0aHDh0wduxYBAQE4NChQxzyqU2V3WlZF97MkIiowTF6a/4BAwbgpZdewqhRozTSP/vsM3zyySfYv3+/KetnFbgPi4no2ofF1xdYvhxwc+PNDImI6iGz3UvIyckJJ06cQLt27TTSL126hMDAQBQWFlarwtaMAYsJPbjTLYMTIqJ6zdDvUKOXNUskEty6dUsrvaioyKzb8lMDIZVyh1oiItJi9ByWRx99FPHx8RrBiUKhQHx8PPr372/SyhEREREB1ehhWbJkCR599FF07NgRIf/b/vzQoUOQy+XYt2+fyStI9RSHfoiIyAhG97B06dIFGRkZeO6555Cfn49bt24hNjYW58+fR7du3cxRR6pvkpMBf39g4EDg+edVP/39VelEREQ6GD3plrRx0q0Rym9y+ODHrnw5M5crExE1KGZbJQQAhYWFOHLkCPLz86FUKjXOxcbGGl9bK8eAxUAKhaonRd99g8p3r83M5PAQEVEDYbZVQrt27cLIkSNRXFwMJycnjRshSiSSBhmwkIGqusmhEEBWliofVwoREVEFRs9hmT59Ol566SUUFxejsLAQf//9t/ooKCgwRx2pvjD0Joc1uRkiERHVS0YHLNnZ2Zg8eXKd3EuIrJyhNznkjSeJiOgBRgcsEREROHbsmDnqQvVd+U0O9d0vSCJRbcX/v+XyRERE5YyewzJ48GC88cYbOHv2LLp37w5bW1uN808//bTJKkf1TPlNDocNUwUnFed78w7MRERUCaNXCdnY6O+UkUgkDXJ7fq4SMpK+mxwmJHBJMxFRA2O2VUIPLmMmMlp0NDBkCHe6JSIigxkdsBCZBG9ySERERjA6YFmwYEGl5+fMmVPtyhARERHpYnTAkpKSovH43r17yMzMRKNGjdC2bVsGLERERGRyRgcsJ0+e1EqTy+UYPXo0nnnmGZNUioiIiKgio/dh0cXJyQnz58/H7NmzTVEcERERkQaTBCwAUFRUhKKiIlMVR0RERKRm9JDQqlWrNB4LIZCTk4P//ve/GDRokMkqRkRERFTO6IDlvffe03hsY2MDNzc3jBo1CrNmzTJZxcgCKBQ13yvFFGUQEVGDZ1DAkpGRgW7dusHGxgaZmZnmrhNZAl270fr4qLbWN3Q3WlOUQUREBAPnsPTu3Rs3btwAALRp0wY3b940a6WojiUnq+73UzHQAIDsbFV6cnLtlEFERPQ/BgUsLi4u6p6VK1eucHv++kyhUPWK6LrFVHna1KmqfOYsg4iIqAKDhoSGDh2KAQMGwMvLCxKJBIGBgZDqmYfwxx9/mLSCVMsOHdLuFalICCArS5VP39b6piiDiIioAoMClrVr1yI6OhqXLl3C5MmTMW7cODRt2tTcdaO6kJNT83ymKIOIiKgCg1cJRUZGAgCOHz+OKVOmMGCpr7y8ap7PFGUQERFVYPTGcRs2bKjTYGX16tXw9/eHvb09goKCcOTIkUrzb9u2DZ06dYK9vT26d++Ob7/9VuO8EAJz5syBl5cXHBwcEB4ejosXL5qzCZYtJES1kkci0X1eIgF8fVX5zFkGERFRBSbb6bY2bN26FXFxcZg7dy5OnDiBnj17IiIiAvn5+Trz//LLLxgxYgTGjh2LkydPIioqClFRUTh9+rQ6z7vvvotVq1ZhzZo1OHz4MBo3boyIiAjcvXu3tpplWaRS1bJjQDvgKH+ckFD5XiqmKIOIiKgiYUX69OkjJk6cqH6sUCiEt7e3iI+P15n/ueeeE4MHD9ZICwoKEv/+97+FEEIolUrh6ekpli5dqj5fWFgoZDKZ2Lx5s8H1KioqEgBEUVGRMc2xbDt2COHjI4Rqiqzq8PVVpddmGUREVK8Z+h1qNT0sZWVlOH78OMLDw9VpNjY2CA8PR1pams5r0tLSNPIDQEREhDp/ZmYmcnNzNfI4OzsjKChIb5kAUFpaCrlcrnHUO9HRwJUrQGoqkJSk+pmZadyGb6Yog4iICNXYmr+u3LhxAwqFAh4eHhrpHh4eOH/+vM5rcnNzdebPzc1Vny9P05dHl/j4eMyfP9/oNlgdqbTmy45NUQYRETV41eph+e9//4t+/frB29sbV69eBQAkJCTgyy+/NGnlLNWsWbPUd6cuKipCVlZWXVeJiIioXjM6YPnoo48QFxeHJ598EoWFhVD8b7dSFxcXJCQkmLp+ai1atIBUKkVeXp5Gel5eHjw9PXVe4+npWWn+8p/GlAkAMpkMTk5OGgcRERGZj9EBy/vvv49169bhrbfe0tjtNjAwEL/99ptJK1eRnZ0dAgICsHfvXnWaUqnE3r17ERwcrPOa4OBgjfwAsGfPHnX+1q1bw9PTUyOPXC7H4cOH9ZZJREREtc/oOSyZmZno3bu3VrpMJkNJSYlJKqVPXFwcRo0ahcDAQPTp0wcJCQkoKSnBmDFjAACxsbFo2bIl4uPjAQBTpkzBgAEDsHz5cgwePBhbtmzBsWPHsHbtWgCARCLB1KlT8fbbb6N9+/Zo3bo1Zs+eDW9vb0RFRZm1LfWOQqHaaj8nR7UhXEgIly0TEZHJGB2wtG7dGunp6fDz89NI3717Nzp37myyiukyfPhw/PXXX5gzZw5yc3PRq1cv7N69Wz1p9tq1a7Cx+afTqG/fvkhKSsL//d//4T//+Q/at2+PnTt3olu3buo8b775JkpKSjB+/HgUFhaif//+2L17N+zt7c3aFotS02AjOVl1s8OK9w/y8VHtxcIVQUREZAISIXTdUle/Tz75BPPmzcPy5csxduxYfPLJJ7h8+TLi4+PxySefICYmxlx1tVhyuRzOzs4oKiqyvvksNQ02kpOBYcO078xcvkHc9u0MWoiISC9Dv0ONDlgA4PPPP8e8efNw+fJlAIC3tzfmz5+PsWPHVr/GVsxqA5aaBhsKBeDvr//OzBKJKvjJzOTwEBER6WTWgKXc7du3UVxcDHd39+oWUS9YZcBiimBj/35g4MCqnys1lXuxEBGRToZ+hxq9Sujtt99GZmYmAMDR0bHBBytW69Ah/cEKoOp1ycpS5dMnJ8ew5zI0HxERkR5GByzbtm1Du3bt0LdvX3z44Ye4ceOGOepF5maKYMPLy7AyDM1HRESkh9EBy6lTp5CRkYHQ0FAsW7YM3t7eGDx4MJKSknD79m1z1JHMwRTBRkiIatjowTsyl5NIAF9fVT4iIqIaqNEcFgD4+eefkZSUhG3btuHu3bv180aAVbDqOSzZ2dqTbgHDJ8yWT9wFNMvhKiEiIjKA2eawPKhx48ZwcHCAnZ0d7t27V9PiqLZIpaqly4B2D0n544SEqlf3REergpKWLTXTfXwYrBARkclUq4clMzMTSUlJSEpKwoULFzBgwAA8//zzGDZsGJydnc1RT4tmlT0s5XTtw+LrqwpW9AUbujaaA7jTLRERGc3Q71Cjd7p95JFHcPToUfTo0QNjxozBiBEj0PLBv67JekRHA0OGGB5scFdbIiKqA0YHLGFhYfj000/RpUsXc9SH6oJUatg+Kfo2msvOVqVzCIiIiMykxpNuycqHhAzFXW2JiMgMTDokFBcXh4ULF6Jx48aIi4urNO+KFSuMqylZB2M2muOutkREZGIGBSwnT55UrwA6efKkWStEFoq72hIRUR0yKGBJTU3V+W9qQLirLRER1SGj92F56aWXcOvWLa30kpISvPTSSyapFFkg7mpLRER1yOiAZePGjbhz545W+p07d7Bp0yaTVIoskKk2miMiIqoGgwMWuVyOoqIiCCFw69YtyOVy9fH333/j22+/5Z2b6zvuaktERHXE4H1YXFxcIJFIIJFI0KFDB63zEokE8+fPN2nlyAIZu9EcERGRCRgcsKSmpkIIgcceeww7duxAs2bN1Ofs7Ozg5+cHb29vs1SSLIyhG80RERGZiMEBy4ABAwCo7iPUqlUrSPRNviQiIiIyMaMn3e7btw/bt2/XSt+2bRs2btxokkoRERERVWR0wBIfH48WLVpopbu7u2PRokUmqRQRERFRRUYHLNeuXUPr1q210v38/HDt2jWTVIqIiIioIqMDFnd3d2RkZGilnzp1Cs2bNzdJpYiIiIgqMjpgGTFiBCZPnozU1FQoFAooFArs27cPU6ZMQUxMjDnqSERERA2cwauEyi1cuBBXrlxBWFgYGjVSXa5UKhEbG8s5LERERGQWEiGEqM6Fv//+O06dOgUHBwd0794dfn5+pq6b1ZDL5XB2dkZRURGcnJzqujpERERWw9DvUKOHhMr5+/ujR48eiIyMrJVgpaCgACNHjoSTkxNcXFwwduxYFBcXV5r/tddeQ8eOHeHg4IBWrVph8uTJKCoq0shXvntvxWPLli3mbg4REREZweiA5fbt2xg7diwcHR3RtWtX9cqg1157DYsXLzZ5BcuNHDkSZ86cwZ49e/D111/j4MGDGD9+vN78f/75J/78808sW7YMp0+fRmJiInbv3o2xY8dq5d2wYQNycnLUR1RUlNnaQURERNUgjDR58mQREBAgDh06JBo3biwuX74shBBi586dolevXsYWZ5CzZ88KAOLo0aPqtO+++05IJBKRnZ1tcDlffPGFsLOzE/fu3VOnARApKSk1ql9RUZEAIIqKimpUDhERUUNj6Heo0T0sO3fuxAcffID+/ftrbM/ftWtXXL582WSBVEVpaWlwcXFBYGCgOi08PBw2NjY4fPiwweWUj4+VTxYuN3HiRLRo0QJ9+vTBp59+ClHFtJ7S0lKNu1XL5XLjGlSXFApg/35g82bVT4WirmtERERUJaNXCf31119wd3fXSi8pKTHb/YVyc3O1nrNRo0Zo1qwZcnNzDSrjxo0bWLhwodYw0oIFC/DYY4/B0dERP/zwA1599VUUFxdj8uTJesuKj4+3zjtTJycDU6YA16//k+bjA6xcqboLMxERkYUyuoclMDAQ33zzjfpxeZDyySefIDg42KiyZs6cqXPSa8Xj/PnzxlZRi1wux+DBg9GlSxfMmzdP49zs2bPRr18/9O7dGzNmzMCbb76JpUuXVlrerFmzUFRUpD6ysrJqXEezS04Ghg3TDFYAIDtblZ6cXDf1IiIiMoDRPSyLFi3CoEGDcPbsWdy/fx8rV67E2bNn8csvv+DAgQNGlTV9+nSMHj260jxt2rSBp6cn8vPzNdLv37+PgoICeHp6Vnr9rVu3EBkZiaZNmyIlJQW2traV5g8KCsLChQtRWloKmUymM49MJtN7ziIpFKqeFV1DXUIAEgkwdSowZAggldZ69YiIiKpidMDSv39/pKenY/HixejevTt++OEHPPTQQ0hLS0P37t2NKsvNzQ1ubm5V5gsODkZhYSGOHz+OgIAAAKq7RiuVSgQFBem9Ti6XIyIiAjKZDF999RXs7e2rfK709HS4urpaV0BSlUOHtHtWKhICyMpS5QsNrbVqERERGcrogAUA2rZti3Xr1pm6Lnp17twZkZGRGDduHNasWYN79+5h0qRJiImJgbe3NwAgOzsbYWFh2LRpE/r06QO5XI4nnngCt2/fxmeffaYxOdbNzQ1SqRS7du1CXl4eHnnkEdjb22PPnj1YtGgRXn/99VprW63IyTFtPiIiolpmUMAil8vVu89VtSLG0dFRaxWOKXz++eeYNGkSwsLCYGNjg6FDh2LVqlXq8/fu3cOFCxdw+/ZtAMCJEyfUK4jatWunUVZmZib8/f1ha2uL1atXY9q0aRBCoF27dlixYgXGjRtn8vrXKS8v0+YjIiKqZQZtzS+VSpGTkwN3d3fY2NhUuhpIIpGgffv2+PDDDzFw4ECTVtZSWfzW/AoF4O+vmmCr6+2WSFSrhTIzOYeFiIhqlaHfoQZ1hezbtw/NmjUDAKSmplaat7S0FDt37sSECRNMssKHTEAqVS1dHjZMFZxUDFrKg8+EBAYrRERksap988PK5Ofn48knn8SxY8dMXbRFsvgelnK69mHx9VUFK9yHhYiI6oCh36HVClgUCgVSUlJw7tw5AECXLl0wZMgQs8xdsQZWE7AAquGhQ4dUE2y9vICQEPasEBFRnTFbwHLmzBk8/fTTyM3NRceOHQEAv//+O9zc3LBr1y5069atZjW3QlYVsBAREVkQQ79Djd7p9uWXX0bXrl1x/fp1nDhxAidOnEBWVhZ69OhR6d2TiYiIiKrL6DGc9PR0HDt2DK6uruo0V1dXvPPOO3j44YdNWjkiIiIioBo9LB06dEBeXp5Wen5+vtZ+J0RERESmYFDAUr5LrFwuR3x8PCZPnozt27fj+vXruH79OrZv346pU6diyZIl5q4vERERNUAGTbp9cLO48kvK0yo+VigU5qinReOkWyIiouox6cZxVW0WR0RERGROBgUsAwYMMHc9iIiIiPSq1k5vhYWFWL9+vXrjuK5du+Kll16Cs7OzSStHREREBFRjldCxY8fQtm1bvPfeeygoKEBBQQFWrFiBtm3b4sSJE+aoIxERETVwRu90GxISgnbt2mHdunXqrfjv37+Pl19+GX/88QcOHjxolopaMk66JSIiqh6zbc3v4OCAkydPolOnThrpZ8+eRWBgIG7fvl29GlsxBixERETVY7at+Z2cnHDt2jWt9KysLDRt2tTY4oiIiIiqZHTAMnz4cIwdOxZbt25FVlYWsrKysGXLFrz88ssYMWKEOepIREREDZzRq4SWLVsGiUSC2NhY3L9/HwBga2uLCRMmYPHixSavIBEREZHRc1jK3b59G5cvXwYAtG3bFo6OjiatmDXhHBYiIqLqMelOt7o4Ojqie/fu1b2ciIiIyGBGz2EhIiIiqm3V7mEhC6dQAIcOATk5gJcXEBICSKV1XSsiIqJqYcBSHyUnA1OmANev/5Pm4wOsXAlER9ddvYiIiKqJQ0L1TXIyMGyYZrACANnZqvTk5LqpFxERUQ0wYKlPFApVz4quhV/laVOnqvIRERFZEQYs9cmhQ9o9KxUJAWRlqfIRERFZEQYs9UlOjmnzERERWQgGLPWJl5dp8xEREVkIqwlYCgoKMHLkSDg5OcHFxQVjx45FcXFxpdeEhoZCIpFoHK+88opGnmvXrmHw4MFwdHSEu7s73njjDfUtB6xOSIhqNZBEovu8RAL4+qryERERWRGrWdY8cuRI5OTkYM+ePbh37x7GjBmD8ePHIykpqdLrxo0bhwULFqgfV7yFgEKhwODBg+Hp6YlffvkFOTk5iI2Nha2tLRYtWmS2tpiNVKpaujxsmCo4qTj5tjyISUjgfixERGR1rKKH5dy5c9i9ezc++eQTBAUFoX///nj//fexZcsW/Pnnn5Ve6+joCE9PT/VR8T4FP/zwA86ePYvPPvsMvXr1wqBBg7Bw4UKsXr0aZWVl5m6WeURHA9u3Ay1baqb7+KjSuQ8LERFZIasIWNLS0uDi4oLAwEB1Wnh4OGxsbHD48OFKr/3888/RokULdOvWDbNmzcLt27c1yu3evTs8PDzUaREREZDL5Thz5ozeMktLSyGXyzUOixIdDVy5AqSmAklJqp+ZmQxWiIjIalnFkFBubi7c3d010ho1aoRmzZohNzdX73XPP/88/Pz84O3tjYyMDMyYMQMXLlxA8v82T8vNzdUIVgCoH1dWbnx8PObPn1/d5tQOqRQIDa3rWhAREZlEnQYsM2fOxJIlSyrNc+7cuWqXP378ePW/u3fvDi8vL4SFheHy5cto27ZttcudNWsW4uLi1I/lcjl8fX2rXR4RERFVrk4DlunTp2P06NGV5mnTpg08PT2Rn5+vkX7//n0UFBTA09PT4OcLCgoCAFy6dAlt27aFp6cnjhw5opEnLy8PACotVyaTQSaTGfy8REREVDN1GrC4ubnBzc2tynzBwcEoLCzE8ePHERAQAADYt28flEqlOggxRHp6OgDA63/7kAQHB+Odd95Bfn6+eshpz549cHJyQpcuXYxsDREREZmLVUy67dy5MyIjIzFu3DgcOXIEP//8MyZNmoSYmBh4e3sDALKzs9GpUyd1j8nly5excOFCHD9+HFeuXMFXX32F2NhYPProo+jRowcA4IknnkCXLl3w4osv4tSpU/j+++/xf//3f5g4cSJ7UIiIiCyIVQQsgGq1T6dOnRAWFoYnn3wS/fv3x9q1a9Xn7927hwsXLqhXAdnZ2eHHH3/EE088gU6dOmH69OkYOnQodu3apb5GKpXi66+/hlQqRXBwMF544QXExsZq7NtCREREdU8ihK5b+5Ix5HI5nJ2dUVRUpLHPCxEREVXO0O9Qq+lhISIiooaLAQsRERFZPAYsREREZPEYsBAREZHFY8BCREREFo8BCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBaPAQsRERFZvEZ1XQGqIYUCOHQIyMkBvLyAkBBAKq3rWhEREZkUAxZrlpwMTJkCXL/+T5qPD7ByJRAdXXf1IiIiMjEOCVmr5GRg2DDNYAUAsrNV6cnJdVMvIiIiM2DAYo0UClXPihDa58rTpk5V5SMiIqoHGLBYo0OHtHtWKhICyMpS5SMiIqoHGLBYo5wc0+YjIiKycAxYrJGXl2nzERERWTgGLNYoJES1Gkgi0X1eIgF8fVX5iIiI6gEGLNZIKlUtXQa0g5byxwkJ3I+FiIjqDQYs1io6Gti+HWjZUjPdx0eVzn1YiIioHuHGcdYsOhoYMoQ73RIRUb3HgMXaSaVAaGhd14KIiMisOCREREREFs9qApaCggKMHDkSTk5OcHFxwdixY1FcXKw3/5UrVyCRSHQe27ZtU+fTdX7Lli210SQiIiIykNUMCY0cORI5OTnYs2cP7t27hzFjxmD8+PFISkrSmd/X1xc5D2yctnbtWixduhSDBg3SSN+wYQMiIyPVj11cXExefyIiIqo+qwhYzp07h927d+Po0aMIDAwEALz//vt48sknsWzZMnh7e2tdI5VK4enpqZGWkpKC5557Dk2aNNFId3Fx0cpLRERElsMqhoTS0tLg4uKiDlYAIDw8HDY2Njh8+LBBZRw/fhzp6ekYO3as1rmJEyeiRYsW6NOnDz799FMIXTcVrKC0tBRyuVzjICIiIvOxih6W3NxcuLu7a6Q1atQIzZo1Q25urkFlrF+/Hp07d0bfvn010hcsWIDHHnsMjo6O+OGHH/Dqq6+iuLgYkydP1ltWfHw85s+fb3xDiIiIqFrqtIdl5syZeifGlh/nz5+v8fPcuXMHSUlJOntXZs+ejX79+qF3796YMWMG3nzzTSxdurTS8mbNmoWioiL1kZWVVeM6EhERkX512sMyffp0jB49utI8bdq0gaenJ/Lz8zXS79+/j4KCAoPmnmzfvh23b99GbGxslXmDgoKwcOFClJaWQiaT6cwjk8n0niMiIiLTq9OAxc3NDW5ublXmCw4ORmFhIY4fP46AgAAAwL59+6BUKhEUFFTl9evXr8fTTz9t0HOlp6fD1dWVAQkREZEFsYo5LJ07d0ZkZCTGjRuHNWvW4N69e5g0aRJiYmLUK4Sys7MRFhaGTZs2oU+fPuprL126hIMHD+Lbb7/VKnfXrl3Iy8vDI488Ant7e+zZsweLFi3C66+/XmttIyIioqpZRcACAJ9//jkmTZqEsLAw2NjYYOjQoVi1apX6/L1793DhwgXcvn1b47pPP/0UPj4+eOKJJ7TKtLW1xerVqzFt2jQIIdCuXTusWLEC48aNM3t7qkWh4H2DiIioQZKIqtbwUpXkcjmcnZ1RVFQEJycn8zxJcjIwZQpw/fo/aT4+wMqVvDMzERFZLUO/Q61iH5YGLzkZGDZMM1gBgOxsVXpyct3Ui4iIqJYwYLF0CoWqZ0VXR1h52tSpqnxERET1FAMWS3fokHbPSkVCAFlZqnxERET1FAMWS/fADRxrnI+IiMgKMWCxdF5eps1HRERkhRiwWLqQENVqIIlE93mJBPD1VeUjIiKqpxiwWDqpVLV0GdAOWsofJyRwPxYiIqrXGLBYg+hoYPt2oGVLzXQfH1U692EhIqJ6zmp2um3woqOBIUO40y0RETVIDFisiVQKhIbWdS2IiIhqHYeEiIiIyOIxYCEiIiKLx4CFiIiILB4DFiIiIrJ4DFiIiIjI4jFgISIiIovHgIWIiIgsHvdhsUQKBTeIIyIiqoABi6VJTgamTAGuX/8nzcdHdT8hbsFPREQNFIeELElyMjBsmGawAgDZ2ar05OS6qRcREVEdY8BiKRQKVc+KENrnytOmTlXlIyIiamAYsFiKQ4e0e1YqEgLIylLlIyIiamAYsFiKnBzT5iMiIqpHGLBYCi8v0+YjIiKqRxiwWIqQENVqIIlE93mJBPD1VeUjIiJqYBiwWAqpVLV0GdAOWsofJyRwPxYiImqQGLBYkuhoYPt2oGVLzXQfH1U692EhIqIGihvHWZroaGDIEO50S0REVIHV9LC888476Nu3LxwdHeHi4mLQNUIIzJkzB15eXnBwcEB4eDguXryokaegoAAjR46Ek5MTXFxcMHbsWBQXF5uhBUaQSoHQUGDECNVPBitERNTAWU3AUlZWhmeffRYTJkww+Jp3330Xq1atwpo1a3D48GE0btwYERERuHv3rjrPyJEjcebMGezZswdff/01Dh48iPHjx5ujCURERFRNEiF0ba1quRITEzF16lQUFhZWmk8IAW9vb0yfPh2vv/46AKCoqAgeHh5ITExETEwMzp07hy5duuDo0aMIDAwEAOzevRtPPvkkrl+/Dm9vb4PqJJfL4ezsjKKiIjg5OdWofURERA2Jod+h9XYOS2ZmJnJzcxEeHq5Oc3Z2RlBQENLS0hATE4O0tDS4uLiogxUACA8Ph42NDQ4fPoxnnnlGZ9mlpaUoLS1VPy4qKgKgetGJiIjIcOXfnVX1n9TbgCU3NxcA4OHhoZHu4eGhPpebmwt3d3eN840aNUKzZs3UeXSJj4/H/PnztdJ9fX1rWm0iIqIG6datW3B2dtZ7vk4DlpkzZ2LJkiWV5jl37hw6depUSzUyzKxZsxAXF6d+rFQqUVBQgObNm0Oib+M3I8nlcvj6+iIrK6veDjOxjfUD21g/NIQ2Ag2jndbWRiEEbt26VeU0jDoNWKZPn47Ro0dXmqdNmzbVKtvT0xMAkJeXB68K29nn5eWhV69e6jz5+fka192/fx8FBQXq63WRyWSQyWQaaYauXDKWk5OTVXzgaoJtrB/YxvqhIbQRaBjttKY2VtazUq5OAxY3Nze4ubmZpezWrVvD09MTe/fuVQcocrkchw8fVq80Cg4ORmFhIY4fP46AgAAAwL59+6BUKhEUFGSWehEREZHxrGZZ87Vr15Ceno5r165BoVAgPT0d6enpGnumdOrUCSkpKQAAiUSCqVOn4u2338ZXX32F3377DbGxsfD29kZUVBQAoHPnzoiMjMS4ceNw5MgR/Pzzz5g0aRJiYmIMXiFERERE5mc1k27nzJmDjRs3qh/37t0bAJCamorQ0FAAwIULF9QrdgDgzTffRElJCcaPH4/CwkL0798fu3fvhr29vTrP559/jkmTJiEsLAw2NjYYOnQoVq1aVTuNqoRMJsPcuXO1hp7qE7axfmAb64eG0EagYbSzvrbR6vZhISIioobHaoaEiIiIqOFiwEJEREQWjwELERERWTwGLERERGTxGLBYoNWrV8Pf3x/29vYICgrCkSNH6rpKNXLw4EE89dRT8Pb2hkQiwc6dOzXOCyEwZ84ceHl5wcHBAeHh4bh48WLdVLYa4uPj8fDDD6Np06Zwd3dHVFQULly4oJHn7t27mDhxIpo3b44mTZpg6NChyMvLq6MaG++jjz5Cjx491BtRBQcH47vvvlOft/b26bJ48WL19gjl6kM7582bB4lEonFU3E28PrQRALKzs/HCCy+gefPmcHBwQPfu3XHs2DH1eWv/vePv76/1PkokEkycOBFA/XkfK2LAYmG2bt2KuLg4zJ07FydOnEDPnj0RERGhtSOvNSkpKUHPnj2xevVqneffffddrFq1CmvWrMHhw4fRuHFjRERE4O7du7Vc0+o5cOAAJk6ciF9//RV79uzBvXv38MQTT6CkpESdZ9q0adi1axe2bduGAwcO4M8//0R0dHQd1to4Pj4+WLx4MY4fP45jx47hsccew5AhQ3DmzBkA1t++Bx09ehQff/wxevTooZFeX9rZtWtX5OTkqI+ffvpJfa4+tPHvv/9Gv379YGtri++++w5nz57F8uXL4erqqs5j7b93jh49qvEe7tmzBwDw7LPPAqgf76MWQRalT58+YuLEierHCoVCeHt7i/j4+DqslekAECkpKerHSqVSeHp6iqVLl6rTCgsLhUwmE5s3b66DGtZcfn6+ACAOHDgghFC1x9bWVmzbtk2d59y5cwKASEtLq6tq1pirq6v45JNP6l37bt26Jdq3by/27NkjBgwYIKZMmSKEqD/v49y5c0XPnj11nqsvbZwxY4bo37+/3vP18ffOlClTRNu2bYVSqaw37+OD2MNiQcrKynD8+HGEh4er02xsbBAeHo60tLQ6rJn5ZGZmIjc3V6PNzs7OCAoKsto2l29e2KxZMwDA8ePHce/ePY02durUCa1atbLKNioUCmzZsgUlJSUIDg6ud+2bOHEiBg8erNEeoH69jxcvXoS3tzfatGmDkSNH4tq1awDqTxu/+uorBAYG4tlnn4W7uzt69+6NdevWqc/Xt987ZWVl+Oyzz/DSSy9BIpHUm/fxQQxYLMiNGzegUCjg4eGhke7h4YHc3Nw6qpV5lbervrRZqVRi6tSp6NevH7p16wZA1UY7OzutG2RaWxt/++03NGnSBDKZDK+88gpSUlLQpUuXetM+ANiyZQtOnDiB+Ph4rXP1pZ1BQUFITEzE7t278dFHHyEzMxMhISG4detWvWnjH3/8gY8++gjt27fH999/jwkTJmDy5Mnq3dLr2++dnTt3orCwUH0z4fryPj7IarbmJ7IGEydOxOnTpzXmBNQXHTt2RHp6OoqKirB9+3aMGjUKBw4cqOtqmUxWVhamTJmCPXv2aNy+o74ZNGiQ+t89evRAUFAQ/Pz88MUXX8DBwaEOa2Y6SqUSgYGBWLRoEQDVrVxOnz6NNWvWYNSoUXVcO9Nbv349Bg0aVO/vgcceFgvSokULSKVSrZnceXl58PT0rKNamVd5u+pDmydNmoSvv/4aqamp8PHxUad7enqirKwMhYWFGvmtrY12dnZo164dAgICEB8fj549e2LlypX1pn3Hjx9Hfn4+HnroITRq1AiNGjXCgQMHsGrVKjRq1AgeHh71op0PcnFxQYcOHXDp0qV68156eXmhS5cuGmmdO3dWD33Vp987V69exY8//oiXX35ZnVZf3scHMWCxIHZ2dggICMDevXvVaUqlEnv37kVwcHAd1sx8WrduDU9PT402y+VyHD582GraLITApEmTkJKSgn379qF169Ya5wMCAmBra6vRxgsXLuDatWtW00ZdlEolSktL6037wsLC8Ntvv6nvBJ+eno7AwECMHDlS/e/60M4HFRcX4/Lly/Dy8qo372W/fv20thb4/fff4efnB6B+/N4pt2HDBri7u2Pw4MHqtPryPmqp61m/pGnLli1CJpOJxMREcfbsWTF+/Hjh4uIicnNz67pq1Xbr1i1x8uRJcfLkSQFArFixQpw8eVJcvXpVCCHE4sWLhYuLi/jyyy9FRkaGGDJkiGjdurW4c+dOHdfcMBMmTBDOzs5i//79IicnR33cvn1bneeVV14RrVq1Evv27RPHjh0TwcHBIjg4uA5rbZyZM2eKAwcOiMzMTJGRkSFmzpwpJBKJ+OGHH4QQ1t8+fSquEhKifrRz+vTpYv/+/SIzM1P8/PPPIjw8XLRo0ULk5+cLIepHG48cOSIaNWok3nnnHXHx4kXx+eefC0dHR/HZZ5+p81j77x0hVKtIW7VqJWbMmKF1rj68jw9iwGKB3n//fdGqVSthZ2cn+vTpI3799de6rlKNpKamCgBax6hRo4QQqiWGs2fPFh4eHkImk4mwsDBx4cKFuq20EXS1DYDYsGGDOs+dO3fEq6++KlxdXYWjo6N45plnRE5OTt1V2kgvvfSS8PPzE3Z2dsLNzU2EhYWpgxUhrL99+jwYsNSHdg4fPlx4eXkJOzs70bJlSzF8+HBx6dIl9fn60EYhhNi1a5fo1q2bkMlkolOnTmLt2rUa5639944QQnz//fcCgM5615f3sSKJEELUSdcOERERkYE4h4WIiIgsHgMWIiIisngMWIiIiMjiMWAhIiIii8eAhYiIiCweAxYiIiKyeAxYiIiIyOIxYCEiIiKLx4CFiMwuNDQUU6dOretqaJBIJNi5c2ddV4OIDMSdbonI7AoKCmBra4umTZvC398fU6dOrbUAZt68edi5cyfS09M10nNzc+Hq6gqZTFYr9SCimmlU1xUgovqvWbNmJi+zrKwMdnZ21b7e09PThLUhInPjkBARmV35kFBoaCiuXr2KadOmQSKRQCKRqPP89NNPCAkJgYODA3x9fTF58mSUlJSoz/v7+2PhwoWIjY2Fk5MTxo8fDwCYMWMGOnToAEdHR7Rp0wazZ8/GvXv3AACJiYmYP38+Tp06pX6+xMREANpDQr/99hsee+wxODg4oHnz5hg/fjyKi4vV50ePHo2oqCgsW7YMXl5eaN68OSZOnKh+LgD48MMP0b59e9jb28PDwwPDhg0zx8tJ1CAxYCGiWpOcnAwfHx8sWLAAOTk5yMnJAQBcvnwZkZGRGDp0KDIyMrB161b89NNPmDRpksb1y5YtQ8+ePXHy5EnMnj0bANC0aVMkJibi7NmzWLlyJdatW4f33nsPADB8+HBMnz4dXbt2VT/f8OHDtepVUlKCiIgIuLq64ujRo9i2bRt+/PFHredPTU3F5cuXkZqaio0bNyIxMVEdAB07dgyTJ0/GggULcOHCBezevRuPPvqoqV9Cooarbm8WTUQNwYABA8SUKVOEEEL4+fmJ9957T+P82LFjxfjx4zXSDh06JGxsbMSdO3fU10VFRVX5XEuXLhUBAQHqx3PnzhU9e/bUygdApKSkCCGEWLt2rXB1dRXFxcXq8998842wsbERubm5QgghRo0aJfz8/MT9+/fVeZ599lkxfPhwIYQQO3bsEE5OTkIul1dZRyIyHuewEFGdO3XqFDIyMvD555+r04QQUCqVyMzMROfOnQEAgYGBWtdu3boVq1atwuXLl1FcXIz79+/DycnJqOc/d+4cevbsicaNG6vT+vXrB6VSiQsXLsDDwwMA0LVrV0ilUnUeLy8v/PbbbwCAxx9/HH5+fmjTpg0iIyMRGRmJZ555Bo6OjkbVhYh045AQEdW54uJi/Pvf/0Z6err6OHXqFC5evIi2bduq81UMKAAgLS0NI0eOxJNPPomvv/4aJ0+exFtvvYWysjKz1NPW1lbjsUQigVKpBKAamjpx4gQ2b94MLy8vzJkzBz179kRhYaFZ6kLU0LCHhYhqlZ2dHRQKhUbaQw89hLNnz6Jdu3ZGlfXLL7/Az88Pb731ljrt6tWrVT7fgzp37ozExESUlJSog6Kff/4ZNjY26Nixo8H1adSoEcLDwxEeHo65c+fCxcUF+/btQ3R0tBGtIiJd2MNCRLXK398fBw8eRHZ2Nm7cuAFAtdLnl19+waRJk5Ceno6LFy/iyy+/1Jr0+qD27dvj2rVr2LJlCy5fvoxVq1YhJSVF6/kyMzORnp6OGzduoLS0VKuckSNHwt7eHqNGjcLp06eRmpqK1157DS+++KJ6OKgqX3/9NVatWoX09HRcvXoVmzZtglKpNCrgISL9GLAQUa1asGABrly5grZt28LNzQ0A0KNHDxw4cAC///47QkJC0Lt3b8yZMwfe3t6VlvX0009j2rRpmDRpEnr16oVffvlFvXqo3NChQxEZGYmBAwfCzc0Nmzdv1irH0dER33//PQoKCvDwww9j2LBhCAsLwwcffGBwu1xcXJCcnIzHHnsMnTt3xpo1a7B582Z07drV4DKISD/udEtEREQWjz0sREREZPEYsBAREZHFY8BCREREFo8BCxEREVk8BixERERk8RiwEBERkcVjwEJEREQWjwELERERWTwGLERERGTxGLAQERGRxWPAQkRERBbv/wEN8eXklWatkgAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, 1, figsize=(6, 4))\n", - "ax.plot(obj_vals, \"ro\")\n", - "ax.set_xlabel(\"iterations\")\n", - "ax.set_ylabel(\"objective function\")\n", - "ax.set_ylim(-1, 1)\n", - "ax.set_title(f\"Final Objective Function Value: {obj_vals[-1]:.2f}\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The final grating coupler structure is well binarized, with mostly black (`eps_max`) and white (`eps_min`) regions." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, ax = plt.subplots(1, figsize=(4, 4))\n", - "sim_final = make_adjoint_sim(final_par, beta=final_beta, unfold=True)\n", - "sim_final = sim_final.to_simulation()[0]\n", - "sim_final.plot_eps(z=0, source_alpha=0, monitor_alpha=0, ax=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Once the inverse design is complete, we can visualize the field distributions and the wavelength dependent coupling efficiency." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
14:03:22 EDT Created task 'inv_des_final' with task_id                          \n",
-       "             'fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:03:22 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'inv_des_final'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f\n",
-       "             8-4925-8752-d687f37fba09'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=161143;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=666516;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=161143;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=631353;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=161143;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32m-ef15ddf7-f3f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=161143;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[32m8-4925-8752-d687f37fba09'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
/Library/Frameworks/Python.framework/Versions/3.11/lib/python3.11/site-packages/\n",
-       "rich/live.py:231: UserWarning: install \"ipywidgets\" for Jupyter support\n",
-       "  warnings.warn('install \"ipywidgets\" for Jupyter support')\n",
-       "
\n" - ], - "text/plain": [ - "/Library/Frameworks/Python.framework/Versions/3.11/lib/python3.11/site-packages/\n", - "rich/live.py:231: UserWarning: install \"ipywidgets\" for Jupyter support\n", - " warnings.warn('install \"ipywidgets\" for Jupyter support')\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
14:03:25 EDT status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:03:25 EDT\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
14:03:29 EDT status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:03:29 EDT\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
14:03:32 EDT Maximum FlexCredit cost: 0.213. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:03:32 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.213\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
14:03:58 EDT early shutoff detected at 16%, exiting.                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:03:58 EDT\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m16\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
14:04:00 EDT status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:04:00 EDT\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
             View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f\n",
-       "             8-4925-8752-d687f37fba09'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=616720;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=911319;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=616720;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=78268;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=616720;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34m-ef15ddf7-f3f\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=616720;https://tidy3d.simulation.cloud/workbench?taskId=fdve-ef15ddf7-f3f8-4925-8752-d687f37fba09\u001b\\\u001b[4;34m8-4925-8752-d687f37fba09'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
14:04:02 EDT loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m14:04:02 EDT\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# Field monitors to visualize the final fields.\n", - "field_xy = td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq],\n", - " name=\"field_xy\",\n", - ")\n", - "\n", - "field_xz = td.FieldMonitor(\n", - " size=(td.inf, 0, td.inf),\n", - " freqs=[freq],\n", - " name=\"field_xz\",\n", - ")\n", - "\n", - "# Monitor to compute the grating coupler efficiency.\n", - "gc_efficiency = td.ModeMonitor(\n", - " center=[mon_pos_x, 0, 0],\n", - " size=[0, mon_w, mon_h],\n", - " freqs=freqs,\n", - " mode_spec=mode_spec,\n", - " name=\"gc_efficiency\",\n", - ")\n", - "\n", - "sim_final = sim_final.copy(update=dict(monitors=(field_xy, field_xz, gc_efficiency)))\n", - "sim_data_final = web.run(sim_final, task_name=\"inv_des_final\")" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "mode_amps = sim_data_final[\"gc_efficiency\"]\n", - "coeffs_f = mode_amps.amps.sel(direction=\"-\")\n", - "power_0 = np.abs(coeffs_f.sel(mode_index=0)) ** 2\n", - "power_0_db = 10 * np.log10(power_0)\n", - "\n", - "sim_plot = sim_final.updated_copy(symmetry=(0, 0, 0), monitors=(field_xy, field_xz, gc_efficiency))\n", - "sim_data_plot = sim_data_final.updated_copy(simulation=sim_plot)\n", - "\n", - "f, ax = plt.subplots(2, 2, figsize=(8, 6), tight_layout=True)\n", - "sim_plot.plot_eps(z=0, source_alpha=0, monitor_alpha=0, ax=ax[0, 1])\n", - "ax[1, 0].plot(wl_range, power_0_db, \"-k\")\n", - "ax[1, 0].set_xlabel(\"Wavelength (um)\")\n", - "ax[1, 0].set_ylabel(\"Power (db)\")\n", - "ax[1, 0].set_ylim(-15, 0)\n", - "ax[1, 0].set_xlim(wl - bw / 2, wl + bw / 2)\n", - "ax[1, 0].set_title(\"Coupling Efficiency\")\n", - "sim_data_plot.plot_field(\"field_xy\", \"E\", \"abs^2\", z=0, ax=ax[1, 1])\n", - "ax[0, 0].plot(obj_vals, \"ro\")\n", - "ax[0, 0].set_xlabel(\"iterations\")\n", - "ax[0, 0].set_ylabel(\"objective function\")\n", - "ax[0, 0].set_ylim(-1, 1)\n", - "ax[0, 0].set_title(f\"Final Objective Function Value: {obj_vals[-1]:.2f}\")\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "optimized loss of -2.38 dB\n" - ] - } - ], - "source": [ - "loss_db = max(power_0_db)\n", - "print(f\"optimized loss of {loss_db:.2f} dB\")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry, a `frequency` to evaluate the permittivity, and a `permittivity_threshold` to define the shape boundaries in custom mediums. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [], - "source": [ - "sim_final.to_gds_file(\n", - " fname=\"./misc/inverse_designed_gc.gds\",\n", - " z=0,\n", - " permittivity_threshold=(eps_max + eps_min) / 2,\n", - " frequency=freq,\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates the inverse design of a compact 3D grating coupler with permittivity binarization and minimum feature size control using the adjoint plugin.", - "feature_image": "./img/adjoint_6.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, grating coupler, photonic integrated circuits, design optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "nbdime-conflicts": { - "local_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "9" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ], - "remote_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "12" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ] - }, - "title": "Inverse Design of a Grating Coupler in Tidy3D Using the Adjoint Plugin | Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "066ae3cd7b2843d6ac0977f73d1373ff": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "1377d3a4074543dc8772ada613a50024": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6bf536a19d7241258dd64a0053933ea1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "2ee47e11bd644b928d4e1958161923bb": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_91395efbed0d4032b01f7d69e8722e11", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.hdf5.gz ━━━━━━━━━━━━━━━━━━━━━━━ 100.0%77.0/77.0 kB?0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.hdf5.gz\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m77.0/77.0 kB\u001b[0m • \u001b[31m?\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "509db80a6caf47edb14635ddd1380a94": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "699ec8475ab7423c9745a72caefeecde": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_d0b35e0ff7244f9bad5f047689ee6aef", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'inv_des_final'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'inv_des_final'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "6bf536a19d7241258dd64a0053933ea1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7102600812d14200a8e80dc3bbb4b1b1": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_509db80a6caf47edb14635ddd1380a94", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 2.07e-04) ━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 2.07e-04) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "91395efbed0d4032b01f7d69e8722e11": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c2f0576e0ecd418eb80b2449d5c3a2e8": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_066ae3cd7b2843d6ac0977f73d1373ff", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━ 100.0%4.2/4.2 MB19.0 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m4.2/4.2 MB\u001b[0m • \u001b[31m19.0 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "d0b35e0ff7244f9bad5f047689ee6aef": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} diff --git a/AdjointPlugin7Metalens.ipynb b/AdjointPlugin7Metalens.ipynb deleted file mode 100644 index dcefde6e..00000000 --- a/AdjointPlugin7Metalens.ipynb +++ /dev/null @@ -1,2474 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Inverse design optimization of a metalens\n", - "\n", - "In this notebook, we will use inverse design and the Tidy3D `adjoint` plugin to design a high numerical aperture (NA) metalens for optimal focusing to a point. This demo also introduces how to use the `adjoint` plugin for objective functions that depend on the `FieldMonitor` outputs.\n", - "\n", - "We will follow the basic set up from Mansouree et al. \"Large-Scale Parametrized Metasurface Design Using Adjoint Optimization\". The published paper can be found [here](https://pubs.acs.org/doi/abs/10.1021/acsphotonics.0c01058) and the arxiv preprint can be found [here](https://arxiv.org/abs/2101.06292).\n", - "\n", - "\"Schematic\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "\n", - "\n", - "## Setup\n", - "\n", - "We first perform basic imports of the packages needed." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:26.506739Z", - "iopub.status.busy": "2023-08-19T03:36:26.506470Z", - "iopub.status.idle": "2023-08-19T03:36:28.343725Z", - "shell.execute_reply": "2023-08-19T03:36:28.343097Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "# standard python imports\n", - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pyplot as plt\n", - "import numpy as np\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda\n", - "from numpy import random\n", - "from tidy3d import web\n", - "from tidy3d.plugins.adjoint.web import run as run_adj" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The metalens design consists of a rectangular array of Si rectangular prisms sitting on an SiO2 substrate.\n", - "\n", - "Here we define all of the basic parameters of the setup, including the wavelength, NA, geometrical dimensions, and material properties.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.346378Z", - "iopub.status.busy": "2023-08-19T03:36:28.346103Z", - "iopub.status.idle": "2023-08-19T03:36:28.369227Z", - "shell.execute_reply": "2023-08-19T03:36:28.368625Z" - }, - "tags": [] - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "for diameter of 6.0 um, have 18 cells per side\n", - "full metalens has area of 36.0 um^2 and 324 total cells\n" - ] - } - ], - "source": [ - "# 1 nanometer in units of microns (for conversion)\n", - "nm = 1e-3\n", - "\n", - "# free space central wavelength\n", - "wavelength = 850 * nm\n", - "\n", - "# desired numerical aperture\n", - "NA = 0.94\n", - "\n", - "# shape parameters of metalens unit cell (um) (refer to image above and see paper for details)\n", - "H = 430 * nm\n", - "S = 320 * nm\n", - "\n", - "# space between bottom PML and substrate (-z)\n", - "space_below_sub = 1 * wavelength\n", - "\n", - "# thickness of substrate between source and Si unit cells\n", - "thickness_sub = 100 * nm\n", - "\n", - "# side length of entire metalens (um)\n", - "side_length = 6\n", - "\n", - "# Number of unit cells in each x and y direction (NxN grid)\n", - "N = int(side_length / S)\n", - "\n", - "print(f\"for diameter of {side_length:.1f} um, have {N} cells per side\")\n", - "print(f\"full metalens has area of {side_length**2:.1f} um^2 and {N * N} total cells\")\n", - "\n", - "# Define material properties at 600 nm\n", - "n_Si = 3.84\n", - "n_SiO2 = 1.46\n", - "air = td.Medium(permittivity=1.0)\n", - "SiO2 = td.Medium(permittivity=n_SiO2**2)\n", - "Si = td.Medium(permittivity=n_Si**2)\n", - "\n", - "# define symmetry\n", - "symmetry = (-1, 1, 0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we will compute some important quantities derived from these parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.371454Z", - "iopub.status.busy": "2023-08-19T03:36:28.371257Z", - "iopub.status.idle": "2023-08-19T03:36:28.396257Z", - "shell.execute_reply": "2023-08-19T03:36:28.395630Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "# using the wavelength in microns, one can use td.C_0 (um/s) to get frequency in Hz\n", - "# wavelength_meters = wavelength * meters\n", - "f0 = td.C_0 / wavelength\n", - "\n", - "# Compute the domain size in x, y (note: round down from side_length)\n", - "length_xy = N * S\n", - "\n", - "# focal length given diameter and numerical aperture\n", - "focal_length = length_xy / 2 / NA * np.sqrt(1 - NA**2)\n", - "\n", - "# total domain size in z: (space -> substrate -> unit cell -> 1.7 focal lengths)\n", - "length_z = space_below_sub + thickness_sub + H + 1.7 * focal_length\n", - "\n", - "# construct simulation size array\n", - "sim_size = (length_xy, length_xy, length_z)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Create Metalens Geometry\n", - "\n", - "Now we will define the structures in our simulation. We will first generate the substrate as a regular `td.Box`." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.399033Z", - "iopub.status.busy": "2023-08-19T03:36:28.398796Z", - "iopub.status.idle": "2023-08-19T03:36:28.426601Z", - "shell.execute_reply": "2023-08-19T03:36:28.425142Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "# define substrate\n", - "substrate = td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-td.inf, -td.inf, -1000),\n", - " rmax=(+td.inf, +td.inf, -length_z / 2 + space_below_sub + thickness_sub),\n", - " ),\n", - " medium=SiO2,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we will write a function to make a list of `JaxStructure` objects corresponding to each unit cell.\n", - "\n", - "> Note that the adjoint plugin does not yet support `GeometryGroup` for `JaxBox`, so we will keep them as individual `JaxStructure` objects for now." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.429393Z", - "iopub.status.busy": "2023-08-19T03:36:28.429213Z", - "iopub.status.idle": "2023-08-19T03:36:28.792709Z", - "shell.execute_reply": "2023-08-19T03:36:28.791587Z" - }, - "tags": [] - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)\n" - ] - } - ], - "source": [ - "# define coordinates of each unit cell\n", - "centers_x = S * np.arange(N) - length_xy / 2.0 + S / 2.0\n", - "centers_y = S * np.arange(N) - length_xy / 2.0 + S / 2.0\n", - "center_z = -length_z / 2 + space_below_sub + thickness_sub + H / 2.0\n", - "\n", - "focal_z = center_z + H / 2 + focal_length\n", - "\n", - "\n", - "x_centers, y_centers = np.meshgrid(centers_x, centers_y, indexing=\"ij\")\n", - "xs = x_centers.flatten()\n", - "ys = y_centers.flatten()\n", - "\n", - "\n", - "def get_sizes(params):\n", - " \"\"\"Returns the actual side lengths of the boxes as a function of design parameters from (-inf, +inf).\"\"\"\n", - " return S * (jnp.tanh(params) + 1.0) / 2.0\n", - "\n", - "\n", - "# initially, start with parameters of 0 (all boxes have side length S/2)\n", - "params0 = 0 * np.ones(x_centers.shape)\n", - "\n", - "\n", - "def make_structures(params, apply_symmetry: bool = True):\n", - " \"\"\"Make the JaxStructure objects that will be used as .input_structures.\"\"\"\n", - "\n", - " sizes = get_sizes(params)\n", - " nx, ny = sizes.shape\n", - " geometries = []\n", - "\n", - " for i in range(nx):\n", - " i_quad = max(i, nx - 1 - i)\n", - " for j in range(ny):\n", - " j_quad = max(j, ny - 1 - j)\n", - " size = sizes[i_quad, j_quad]\n", - " x0 = x_centers[i, j]\n", - " y0 = y_centers[i, j]\n", - "\n", - " if apply_symmetry and symmetry[0] != 0 and x0 < -S / 2:\n", - " continue\n", - "\n", - " if apply_symmetry and symmetry[1] != 0 and y0 < -S / 2:\n", - " continue\n", - "\n", - " geometry = tda.JaxBox(center=(x0, y0, center_z), size=(size, size, H))\n", - "\n", - " geometries.append(geometry)\n", - " medium = tda.JaxMedium(permittivity=n_Si**2)\n", - " return [tda.JaxStructure(medium=medium, geometry=geo) for geo in geometries]\n", - "\n", - "\n", - "structures = make_structures(params0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Define grid specification\n", - "\n", - "We define the grid based on the properties of the geometry. The metalens is quasi-periodic in x and y, in that we have clearly defined unit cells, but each is slightly modified from its neighbors. Such structures are best resolved with a grid that matches the periodicity, which is why we use a uniform grid in x and y. In z, we use the automatic nonuniform grid that will place a higher grid density around the metalens region, and a lower one in the air region away from the metalens. To speed up the auto meshing in the region with the pillars, we put an override box in the grid specification." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.795984Z", - "iopub.status.busy": "2023-08-19T03:36:28.795510Z", - "iopub.status.idle": "2023-08-19T03:36:28.828796Z", - "shell.execute_reply": "2023-08-19T03:36:28.828262Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "# steps per unit cell along x and y\n", - "grids_per_unit_length = 10\n", - "\n", - "# uniform mesh in x and y\n", - "grid_x = td.UniformGrid(dl=S / grids_per_unit_length)\n", - "grid_y = td.UniformGrid(dl=S / grids_per_unit_length)\n", - "\n", - "# in z, use an automatic nonuniform mesh with the wavelength being the \"unit length\"\n", - "grid_z = td.AutoGrid(min_steps_per_wvl=grids_per_unit_length)\n", - "\n", - "# we need to supply the wavelength because of the automatic mesh in z\n", - "grid_spec = td.GridSpec(wavelength=wavelength, grid_x=grid_x, grid_y=grid_y, grid_z=grid_z)\n", - "\n", - "# put an override box over the pillars to avoid parsing a large amount of structures in the mesher\n", - "grid_spec = grid_spec.copy(\n", - " update=dict(\n", - " override_structures=[\n", - " td.Structure(\n", - " geometry=td.Box.from_bounds(\n", - " rmin=(-td.inf, -td.inf, -length_z / 2 + space_below_sub),\n", - " rmax=(td.inf, td.inf, center_z + H / 2),\n", - " ),\n", - " medium=Si,\n", - " )\n", - " ]\n", - " )\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Define Source\n", - "\n", - "Now we define the incident fields. We simply use an x-polarized, normally incident plane wave with Gaussian time dependence centered at our central frequency. For more details, see the [plane wave source documentation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.PlaneWave.html#tidy3d-planewave) and the [gaussian source documentation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.GaussianPulse.html#tidy3d-gaussianpulse)" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.831433Z", - "iopub.status.busy": "2023-08-19T03:36:28.831223Z", - "iopub.status.idle": "2023-08-19T03:36:28.857655Z", - "shell.execute_reply": "2023-08-19T03:36:28.856805Z" - }, - "tags": [] - }, - "outputs": [], - "source": [ - "# Bandwidth in Hz\n", - "fwidth = f0 / 10.0\n", - "\n", - "# time dependence of source\n", - "gaussian = td.GaussianPulse(freq0=f0, fwidth=fwidth, phase=0)\n", - "\n", - "source = td.PlaneWave(\n", - " source_time=gaussian,\n", - " size=(td.inf, td.inf, 0),\n", - " center=(0, 0, -length_z / 2 + space_below_sub / 10.0),\n", - " direction=\"+\",\n", - " pol_angle=0,\n", - ")\n", - "\n", - "run_time = 50 / fwidth" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Define Monitors\n", - "\n", - "Now we define the monitor that measures field output from the FDTD simulation. For simplicity, we use measure the fields at the central frequency at the focal spot.\n", - "\n", - "This will be the monitor that we use in our objective function, so it will go into `JaxSimulation.output_monitors`." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:28.862048Z", - "iopub.status.busy": "2023-08-19T03:36:28.861617Z", - "iopub.status.idle": "2023-08-19T03:36:29.103638Z", - "shell.execute_reply": "2023-08-19T03:36:29.103119Z" - } - }, - "outputs": [], - "source": [ - "# To decrease the amount of data stored, only store the E fields\n", - "fields = [\"Ex\", \"Ey\", \"Ez\"]\n", - "\n", - "monitor_focal = td.FieldMonitor(\n", - " center=[0.0, 0.0, focal_z],\n", - " size=[0, 0, 0],\n", - " freqs=[f0],\n", - " name=\"focal_point\",\n", - " fields=fields,\n", - " colocate=False,\n", - ")" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Create Simulation\n", - "\n", - "Now we can put everything together and define a [JaxSimulation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulation.html) object to be run.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We get a number of warnings about structures being too close to the PML. In FDTD simulations, this can result in instability, as PML are absorbing for propagating fields, but can be amplifying for evanescent fields. This particular simulation runs without any issues even with PML on the sides, but it is best to heed these warnings to avoid problems. There are two ways that we can fix the simulation: one is to just put some space between the last of the metalens boxes and the PML. The other is to use adiabatic absorbers on the sides, which are always stable. The only downside of the absorbers is that they are slightly thicker than the PML, making the overall simulation size slightly larger. This is why we only put them along x and y, while we leave the PML in z.\n", - "\n", - "> Note: we add symmetry of (-1, 1, 0) to speed up the simulation by approximately 4x taking into account the symmetry in our source and dielectric function." - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:29.106084Z", - "iopub.status.busy": "2023-08-19T03:36:29.105876Z", - "iopub.status.idle": "2023-08-19T03:36:29.715014Z", - "shell.execute_reply": "2023-08-19T03:36:29.714183Z" - }, - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
[20:38:51] WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[20:38:51]\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=691081;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=950426;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=631222;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=453319;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def make_sim(angles, apply_symmetry: bool = True):\n", - " metalens = make_structures(angles, apply_symmetry=apply_symmetry)\n", - " sim = tda.JaxSimulation(\n", - " size=sim_size,\n", - " grid_spec=grid_spec,\n", - " structures=[substrate],\n", - " input_structures=metalens,\n", - " sources=[source],\n", - " monitors=[],\n", - " output_monitors=[monitor_focal],\n", - " run_time=run_time,\n", - " boundary_spec=td.BoundarySpec(\n", - " x=td.Boundary.absorber(), y=td.Boundary.absorber(), z=td.Boundary.pml()\n", - " ),\n", - " symmetry=symmetry,\n", - " )\n", - " return sim\n", - "\n", - "\n", - "sim = make_sim(params0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The warnings are just letting us know that we are using uniform grid along x and y (per our spec) even though the override structures have some extent in these dimensions. We can ignore as this is intended." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Visualize Geometry\n", - "\n", - "Lets take a look and make sure everything is defined properly.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "tags": [] - }, - "outputs": [ - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=950010;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=320445;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=916929;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=988817;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=875009;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=490146;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=464190;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=522801;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=425266;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=728338;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=133106;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=827272;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=874587;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=80363;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[20:38:52] WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[20:38:52]\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=898787;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=264049;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=331177;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=396481;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=622284;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=909390;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           x-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=113968;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=829402;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31mx-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           WARNING: Override structures take no effect along    grid_spec.py:555\n",
-       "           y-axis. If intending to apply override structures to                 \n",
-       "           this axis, use 'AutoGrid'.                                           \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Override structures take no effect along \u001b[0m \u001b]8;id=453090;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py\u001b\\\u001b[2mgrid_spec.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=640490;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/components/grid/grid_spec.py#555\u001b\\\u001b[2m555\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[31my-axis. If intending to apply override structures to\u001b[0m \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mthis axis, use \u001b[0m\u001b[32m'AutoGrid'\u001b[0m\u001b[31m. \u001b[0m \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig, (ax1, ax2, ax3) = plt.subplots(1, 3, figsize=(14, 6))\n", - "\n", - "sim.plot(x=0.1, ax=ax1)\n", - "sim.plot(y=0.1, ax=ax2)\n", - "sim.plot(z=-length_z / 2 + space_below_sub + thickness_sub + H / 2, ax=ax3)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Objective Function\n", - "\n", - "Now that our simulation is set up, we can define our objective function over the `JaxSimulationData` results.\n", - "\n", - "We first write a function to take a `JaxSimulationData` object and return the intensity at the focal point.\n", - "\n", - "Next, we write a function to \n", - "\n", - "1. Set up our simulation given our design parameters.\n", - "\n", - "2. Run the simulation through the adjoint `run` function.\n", - "\n", - "3. Compute and return the intensity at the focal point.\n" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [], - "source": [ - "# turn off warnings as we understand they are just about AutoGrid and can be ignored in our case\n", - "td.config.logging_level = \"ERROR\"\n", - "\n", - "\n", - "def measure_focal_intensity(sim_data: tda.JaxSimulationData) -> float:\n", - " \"\"\"Measures electric intensity at focal point.\"\"\"\n", - " return jnp.sum(sim_data.get_intensity(\"focal_point\").values)\n", - "\n", - "\n", - "def J(params) -> float:\n", - " \"\"\"Objective function, returns intensity at focal point as a function of params.\"\"\"\n", - " sim = make_sim(params)\n", - " sim_data = run_adj(sim, task_name=\"metalens_adj\", verbose=False)\n", - " return measure_focal_intensity(sim_data)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We first run our function to test that it works and see the starting value." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "Array(11.112829, dtype=float32)" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "J(params0)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we use `jax` to get a function returning the objective value and its gradient, given some parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [], - "source": [ - "dJ = jax.value_and_grad(J)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And try it out." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": {}, - "outputs": [], - "source": [ - "val, grad = dJ(params0)" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "11.112829\n", - "[[ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0. 0. 0. ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 8.052231 1.5407639 -2.633849\n", - " -1.7117175 1.5994252 0.37416115 -1.1727542 0.6812252 0.05781552]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 1.170242 -0.38775107 -1.3131913\n", - " -0.13359495 0.8831538 -0.1225284 -0.49174562 0.42683142 -0.06464756]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. -1.4127594 -0.9652585 -0.50624955\n", - " 0.6102758 0.50805783 -0.4469478 -0.17206581 0.43278244 -0.22273514]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. -0.7552655 -0.19202262 0.47696215\n", - " 0.4672531 -0.21933757 -0.3337388 0.23176189 0.20692322 -0.3084491 ]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0.6831305 0.33028147 0.37160286\n", - " -0.21041775 -0.41866505 0.13355729 0.28937018 -0.13324808 -0.16658844]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0.11585653 -0.07828572 -0.16567144\n", - " -0.2921306 0.05472778 0.24623685 -0.02784408 -0.21342102 0.09299421]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. -0.3484568 -0.17058687 -0.03697217\n", - " 0.09520242 0.26396352 -0.07381138 -0.20417789 0.02290697 0.15813121]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0.1712473 0.10132807 0.15602311\n", - " 0.08441953 -0.0273253 -0.17447795 0.01955975 0.12130421 -0.02494766]\n", - " [ 0. 0. 0. 0. 0. 0.\n", - " 0. 0. 0. 0.08062559 0.01353269 -0.03312859\n", - " -0.09126864 -0.07907826 0.02552689 0.10252865 -0.02282601 -0.07314368]]\n" - ] - } - ], - "source": [ - "print(val)\n", - "print(grad)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Normalize Objective\n", - "\n", - "To normalize our objective function value to something more understandable, we first run a simulation with no boxes to compute the focal point intensity in this case. Then, we construct a new objective function value that normalizes the raw intensity by this value, giving us an \"intensity enhancement\" factor. In this normalization, if our objective is given by \"x\", it means that the intensity at the focal point is \"x\" times stronger with our design than with no structures at all." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.8701368\n" - ] - } - ], - "source": [ - "params_empty = -1e5 * np.ones_like(params0)\n", - "J_empty = np.array(J(params_empty))\n", - "\n", - "\n", - "def J_normalized(params):\n", - " return J(params) / J_empty\n", - "\n", - "\n", - "val_normalized = val / J_empty\n", - "\n", - "dJ_normalized = jax.value_and_grad(J_normalized)\n", - "\n", - "print(val_normalized)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Optimization\n", - "\n", - "With our objective function set up, we can now run the optimization.\n", - "\n", - "As before, we will `optax`'s \"adam\" optimization with initial parameters of all zeros (corresponding to boxes of side length `S/2`)." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tJ = 8.7014e-01\n", - "\tgrad_norm = 7.5746e-01\n", - "step = 2\n", - "\tJ = 1.9787e+00\n", - "\tgrad_norm = 1.0905e+00\n", - "step = 3\n", - "\tJ = 4.7533e+00\n", - "\tgrad_norm = 1.5273e+00\n", - "step = 4\n", - "\tJ = 7.5140e+00\n", - "\tgrad_norm = 1.8209e+00\n", - "step = 5\n", - "\tJ = 1.3054e+01\n", - "\tgrad_norm = 2.8733e+00\n", - "step = 6\n", - "\tJ = 2.0436e+01\n", - "\tgrad_norm = 3.2374e+00\n", - "step = 7\n", - "\tJ = 2.7075e+01\n", - "\tgrad_norm = 2.9542e+00\n", - "step = 8\n", - "\tJ = 3.1865e+01\n", - "\tgrad_norm = 2.3616e+00\n", - "step = 9\n", - "\tJ = 3.2881e+01\n", - "\tgrad_norm = 3.0067e+00\n", - "step = 10\n", - "\tJ = 3.6570e+01\n", - "\tgrad_norm = 2.5087e+00\n", - "step = 11\n", - "\tJ = 3.9969e+01\n", - "\tgrad_norm = 2.7586e+00\n", - "step = 12\n", - "\tJ = 4.2636e+01\n", - "\tgrad_norm = 2.6617e+00\n", - "step = 13\n", - "\tJ = 4.3821e+01\n", - "\tgrad_norm = 2.7791e+00\n", - "step = 14\n", - "\tJ = 4.5719e+01\n", - "\tgrad_norm = 2.6449e+00\n", - "step = 15\n", - "\tJ = 4.7921e+01\n", - "\tgrad_norm = 2.1662e+00\n", - "step = 16\n", - "\tJ = 4.8882e+01\n", - "\tgrad_norm = 2.0180e+00\n", - "step = 17\n", - "\tJ = 4.8722e+01\n", - "\tgrad_norm = 2.4410e+00\n", - "step = 18\n", - "\tJ = 4.9012e+01\n", - "\tgrad_norm = 2.9489e+00\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# hyperparameters\n", - "num_steps = 18\n", - "learning_rate = 0.02\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = np.array(params0)\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "J_history = [val_normalized]\n", - "params_history = [params0]\n", - "\n", - "for i in range(num_steps):\n", - " # compute gradient and current objective function value\n", - " value, gradient = dJ_normalized(params)\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # save history\n", - " J_history.append(value)\n", - " params_history.append(params)" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "params_after = params_history[-1]" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(J_history)\n", - "plt.xlabel(\"iterations\")\n", - "plt.ylabel(\"objective function (focusing intensity enhancement)\")\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [], - "source": [ - "sim_before = make_sim(0 * params_after, apply_symmetry=False).to_simulation()[0]\n", - "sim_after = make_sim(params_after, apply_symmetry=False).to_simulation()[0]" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "f, (ax1, ax2) = plt.subplots(1, 2)\n", - "\n", - "sim_before.plot(z=center_z, ax=ax1)\n", - "sim_after.plot(z=center_z, ax=ax2)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [], - "source": [ - "sim_after_mnt = sim_after.updated_copy(\n", - " monitors=list(sim_after.monitors)\n", - " + [\n", - " td.FieldMonitor(\n", - " size=(0, td.inf, td.inf),\n", - " center=(0, 0, 0),\n", - " freqs=[f0],\n", - " name=\"fields_yz\",\n", - " ),\n", - " td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " center=(0, 0, focal_z),\n", - " freqs=[f0],\n", - " name=\"far_field\",\n", - " ),\n", - " ]\n", - ")" - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
[21:11:10] Created task 'meta_near_field_after' with task_id       webapi.py:188\n",
-       "           'fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1'.                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:10]\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'meta_near_field_after'\u001b[0m with task_id \u001b]8;id=330946;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=598583;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#188\u001b\\\u001b[2m188\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1'\u001b[0m. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           View task using web UI at 'https://tidy3d.simulation.cl webapi.py:190\n",
-       "           oud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01              \n",
-       "           b3062e7v1'.                                                          \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \u001b]8;id=260043;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32m'https://tidy3d.simulation.cl\u001b[0m\u001b]8;;\u001b\\ \u001b]8;id=32844;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=392722;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#190\u001b\\\u001b[2m190\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=260043;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32moud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=912680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=260043;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=912680;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=260043;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32m-f56dc12f-663c-43ca-85f5-32f01\u001b[0m\u001b]8;;\u001b\\ \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=260043;https://tidy3d.simulation.cloud/workbench?taskId=fdve-f56dc12f-663c-43ca-85f5-32f01b3062e7v1\u001b\\\u001b[32mb3062e7v1'\u001b[0m\u001b]8;;\u001b\\. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "dd506158944f4f0dbc0fd01b7ba00edf", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[21:11:11] status = queued                                         webapi.py:361\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:11]\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \u001b]8;id=895032;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=197946;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#361\u001b\\\u001b[2m361\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[21:11:20] status = preprocess                                     webapi.py:355\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:20]\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \u001b]8;id=705967;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=86178;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#355\u001b\\\u001b[2m355\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
[21:11:24] Maximum FlexCredit cost: 0.025. Use                     webapi.py:341\n",
-       "           'web.real_cost(task_id)' to get the billed FlexCredit                \n",
-       "           cost after a simulation run.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:24]\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.025\u001b[0m. Use \u001b]8;id=65467;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=546477;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#341\u001b\\\u001b[2m341\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mcost after a simulation run. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           starting up solver                                      webapi.py:377\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \u001b]8;id=440173;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=409697;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#377\u001b\\\u001b[2m377\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           running solver                                          webapi.py:386\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \u001b]8;id=974698;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=53756;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#386\u001b\\\u001b[2m386\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           To cancel the simulation, use 'web.abort(task_id)' or   webapi.py:387\n",
-       "           'web.delete(task_id)' or abort/delete the task in the                \n",
-       "           web UI. Terminating the Python script will not stop the              \n",
-       "           job running on the cloud.                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \u001b]8;id=971038;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=738490;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#387\u001b\\\u001b[2m387\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mweb UI. Terminating the Python script will not stop the \u001b[2m \u001b[0m\n", - "\u001b[2;36m \u001b[0mjob running on the cloud. \u001b[2m \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "103e5e5eb7ce47a890ef2ceecf36e890", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[21:11:34] early shutoff detected, exiting.                        webapi.py:404\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:34]\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected, exiting. \u001b]8;id=857352;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=749118;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#404\u001b\\\u001b[2m404\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
           status = postprocess                                    webapi.py:419\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \u001b]8;id=94457;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=906019;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#419\u001b\\\u001b[2m419\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[21:11:38] status = success                                        webapi.py:426\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:38]\u001b[0m\u001b[2;36m \u001b[0mstatus = success \u001b]8;id=593268;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=9455;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#426\u001b\\\u001b[2m426\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "application/vnd.jupyter.widget-view+json": {
-       "model_id": "df91e93714c94252aeeb6fd0f8258546",
-       "version_major": 2,
-       "version_minor": 0
-      },
-      "text/plain": [
-       "Output()"
-      ]
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
[21:11:44] loading SimulationData from simulation_data.hdf5        webapi.py:590\n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m[21:11:44]\u001b[0m\u001b[2;36m \u001b[0mloading SimulationData from simulation_data.hdf5 \u001b]8;id=83865;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py\u001b\\\u001b[2mwebapi.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=680344;file:///home/momchil/Drive/flexcompute/tidy3d-core/tidy3d_frontend/tidy3d/web/webapi.py#590\u001b\\\u001b[2m590\u001b[0m\u001b]8;;\u001b\\\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim_data_after_mnt = web.run(sim_after_mnt, task_name=\"meta_near_field_after\")" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(10, 4))\n", - "sim_data_after_mnt.plot_field(\"far_field\", \"int\", vmax=105, ax=ax1)\n", - "sim_data_after_mnt.plot_field(\"fields_yz\", \"int\", vmax=180, ax=ax2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Conclusions\n", - "\n", - "We notice that our metalens does quite well at focusing at this high NA! For the purposes of demonstration, this is quite a small device, but the same the same principle can be applied to optimize a much larger metalens.\n", - "\n", - "For more case studies using the `adjoint` plugin, see the\n", - "\n", - "* [Gradient Checking Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin2GradientChecking/).\n", - "\n", - "* [Inverse Design Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/).\n", - "\n", - "* [Multi-Objective Gradient Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin4MultiObjective/).\n", - "\n", - "* [Boundary Gradients Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/).\n", - "\n", - "* [Grating Coupler Notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/).\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "description": "This notebook demonstrates the adjoint optimization of a metalens in Tidy3D using the adjoint plugin.", - "feature_image": "./img/adjoint_7.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, metalens, design optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.7" - }, - "nbdime-conflicts": { - "local_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "9" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ], - "remote_diff": [ - { - "diff": [ - { - "diff": [ - { - "diff": [ - { - "key": 5, - "op": "addrange", - "valuelist": "12" - }, - { - "key": 5, - "length": 1, - "op": "removerange" - } - ], - "key": 0, - "op": "patch" - } - ], - "key": "version", - "op": "patch" - } - ], - "key": "language_info", - "op": "patch" - } - ] - }, - "title": "Adjoint Optimization of a Metalens in Tidy3D Using the Adjoint Plugin| Flexcompute", - "widgets": { - "application/vnd.jupyter.widget-state+json": { - "state": { - "221f7eb28bd24430bf845e5883144ef8": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_eaa507fb4cd549cf9c6104425d93874a", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%629.0/629.0 kB2.1 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m629.0/629.0 kB\u001b[0m • \u001b[31m2.1 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "386aecd9a2b643d99ee58ce9a2002752": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_e7d67843bb994e13a5ab6ccfc3f64dd1", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%2.7/2.7 MB20.9 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m2.7/2.7 MB\u001b[0m • \u001b[31m20.9 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "3ae03f102f324415a305e6c002006df3": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "48f8e276d091491fa7800774be9fadb4": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "4ae64695120949c2a91488d9f25f552d": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_3ae03f102f324415a305e6c002006df3", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🚶  Starting 'metalens'...\n
\n", - "text/plain": "\u001b[32m🚶 \u001b[0m \u001b[1;32mStarting 'metalens'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "51e705e82b59434f87e998f04fe828cd": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_f3bd11a740814984b1d5e9ed562ddcd8", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 monitor_data.hdf5 ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%23.5/23.5 MB20.3 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;32m↓\u001b[0m \u001b[1;34mmonitor_data.hdf5\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m23.5/23.5 MB\u001b[0m • \u001b[31m20.3 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "5582ac28333f4e6aae6b70f836193e3b": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "55c70db6254c4dfa8085c698d0f5405e": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_6447cfa42b8841b6bf46faded3b40218", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Starting 'metalens'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mStarting 'metalens'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "59f7b4ed010849639dc31bade9b5012f": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "6447cfa42b8841b6bf46faded3b40218": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "7d0b370f20a344d9832a2fdc7a279454": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_a3abab06426a4faaba4e1ba1b3115535", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'metalens'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'metalens'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "7fb022224f024af3ba9902ce8f805763": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_48f8e276d091491fa7800774be9fadb4", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 5.96e-06) ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 5.96e-06) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "a3abab06426a4faaba4e1ba1b3115535": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "b76c41719c2f4649b27f05ad4868062a": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_b7d6fa93e6664924bcc34f4f894b65a5", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
🏃  Finishing 'metalens'...\n
\n", - "text/plain": "\u001b[32m🏃 \u001b[0m \u001b[1;32mFinishing 'metalens'...\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "b7d6fa93e6664924bcc34f4f894b65a5": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "c32f795b0a72480bac2a40f2db5ce901": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_59f7b4ed010849639dc31bade9b5012f", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
solver progress (field decay = 7.28e-06) ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100% 0:00:00\n
\n", - "text/plain": "solver progress (field decay = 7.28e-06) \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100%\u001b[0m \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "e25610dd4c954cbdbd5a71585cdd78e1": { - "model_module": "@jupyter-widgets/output", - "model_module_version": "1.0.0", - "model_name": "OutputModel", - "state": { - "_dom_classes": [], - "_model_module": "@jupyter-widgets/output", - "_model_module_version": "1.0.0", - "_model_name": "OutputModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/output", - "_view_module_version": "1.0.0", - "_view_name": "OutputView", - "layout": "IPY_MODEL_5582ac28333f4e6aae6b70f836193e3b", - "msg_id": "", - "outputs": [ - { - "data": { - "text/html": "
 simulation.json ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 100.0%616.9/616.9 kB1.8 MB/s0:00:00\n
\n", - "text/plain": "\u001b[1;31m↑\u001b[0m \u001b[1;34msimulation.json\u001b[0m \u001b[38;2;114;156;31m━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━\u001b[0m \u001b[35m100.0%\u001b[0m • \u001b[32m616.9/616.9 kB\u001b[0m • \u001b[31m1.8 MB/s\u001b[0m • \u001b[36m0:00:00\u001b[0m\n" - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "tabbable": null, - "tooltip": null - } - }, - "e7d67843bb994e13a5ab6ccfc3f64dd1": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "eaa507fb4cd549cf9c6104425d93874a": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - }, - "f3bd11a740814984b1d5e9ed562ddcd8": { - "model_module": "@jupyter-widgets/base", - "model_module_version": "2.0.0", - "model_name": "LayoutModel", - "state": { - "_model_module": "@jupyter-widgets/base", - "_model_module_version": "2.0.0", - "_model_name": "LayoutModel", - "_view_count": null, - "_view_module": "@jupyter-widgets/base", - "_view_module_version": "2.0.0", - "_view_name": "LayoutView", - "align_content": null, - "align_items": null, - "align_self": null, - "border_bottom": null, - "border_left": null, - "border_right": null, - "border_top": null, - "bottom": null, - "display": null, - "flex": null, - "flex_flow": null, - "grid_area": null, - "grid_auto_columns": null, - "grid_auto_flow": null, - "grid_auto_rows": null, - "grid_column": null, - "grid_gap": null, - "grid_row": null, - "grid_template_areas": null, - "grid_template_columns": null, - "grid_template_rows": null, - "height": null, - "justify_content": null, - "justify_items": null, - "left": null, - "margin": null, - "max_height": null, - "max_width": null, - "min_height": null, - "min_width": null, - "object_fit": null, - "object_position": null, - "order": null, - "overflow": null, - "padding": null, - "right": null, - "top": null, - "visibility": null, - "width": null - } - } - }, - "version_major": 2, - "version_minor": 0 - } - } - }, - "nbformat": 4, - "nbformat_minor": 4 -} diff --git a/AdjointPlugin8WaveguideBend.ipynb b/AdjointPlugin8WaveguideBend.ipynb deleted file mode 100644 index 9f526e5a..00000000 --- a/AdjointPlugin8WaveguideBend.ipynb +++ /dev/null @@ -1,40561 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "1535d9e4-b444-46e3-9a39-9d5a6ce1f724", - "metadata": {}, - "source": [ - "# Adjoint-based shape optimization of a waveguide bend\n", - "\n", - "> Note: Tidy3D now supports automatic differentiation natively through `autograd`. The `jax`-based `adjoint` plugin will be deprecated from 2.7 onwards. To see this notebook implemented in the new feature, see [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd8WaveguideBend/).\n", - "\n", - "In this notebook, we will apply the adjoint method to the optimization of a low-loss waveguide bend. We start with a 90 degree bend in a SiN waveguide, parameterized using a `td.PolySlab`. \n", - "\n", - "We define an objective function that seeks to maximize the transmission of the TE0 output mode amplitude with respect to the position of the polygon vertices defining the bend. A penalty is applied to keep the local radii of curvature larger than a pre-defined value.\n", - "\n", - "The resulting device demonstrates low loss and exhibits a smooth geometry.\n", - "\n", - "> To install the `jax` module required for this feature, we recommend running `pip install \"tidy3d[jax]\"`.\n", - "\n", - "\"Schematic\n", - "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", - "\n", - "## Setup\n", - "\n", - "First, we import `tidy3d` and it's `adjoint` plugin. We will also use `numpy`, `matplotlib` and `jax`. " - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "49188672-e1c3-43f1-92c0-a575810aa0ac", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:31.164472Z", - "iopub.status.busy": "2023-08-19T03:36:31.164237Z", - "iopub.status.idle": "2023-08-19T03:36:32.878560Z", - "shell.execute_reply": "2023-08-19T03:36:32.877945Z" - } - }, - "outputs": [], - "source": [ - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda\n", - "from tidy3d.plugins.adjoint.web import run_local as run" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "c3d77738-af2f-44d5-b329-d89c71c7c8d8", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:32.881532Z", - "iopub.status.busy": "2023-08-19T03:36:32.880988Z", - "iopub.status.idle": "2023-08-19T03:36:32.902416Z", - "shell.execute_reply": "2023-08-19T03:36:32.901735Z" - } - }, - "outputs": [], - "source": [ - "import matplotlib.pylab as plt\n", - "import numpy as np" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "4ae21094-7d43-41ff-8e1e-8ae793a42650", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:32.905514Z", - "iopub.status.busy": "2023-08-19T03:36:32.905204Z", - "iopub.status.idle": "2023-08-19T03:36:32.927933Z", - "shell.execute_reply": "2023-08-19T03:36:32.926118Z" - } - }, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp" - ] - }, - { - "cell_type": "markdown", - "id": "ba08a4ef-c31c-41bc-bff7-922bf83a7b1e", - "metadata": {}, - "source": [ - "Next, we define all the global parameters for our device and optimization." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "330887eb-6c9a-4173-8b73-b7583c8c5869", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:32.933767Z", - "iopub.status.busy": "2023-08-19T03:36:32.933373Z", - "iopub.status.idle": "2023-08-19T03:36:32.964386Z", - "shell.execute_reply": "2023-08-19T03:36:32.963813Z" - } - }, - "outputs": [], - "source": [ - "wavelength = 1.5\n", - "freq0 = td.C_0 / wavelength\n", - "\n", - "# frequency of measurement and source\n", - "# note: we only optimize results at the central frequency for now.\n", - "fwidth = freq0 / 10\n", - "num_freqs = 10\n", - "freqs = np.linspace(freq0 - fwidth / 2, freq0 + fwidth / 2, num_freqs)\n", - "\n", - "# define the discretization of the bend polygon in angle\n", - "num_pts = 60\n", - "angles = np.linspace(0, np.pi / 2, num_pts + 2)[1:-1]\n", - "\n", - "# refractive indices of waveguide and substrate (air above)\n", - "n_wg = 2.0\n", - "n_sub = 1.5\n", - "\n", - "# min space between waveguide and PML\n", - "spc = 1 * wavelength\n", - "\n", - "# length of input and output straight waveguide sections\n", - "t = 1 * wavelength\n", - "\n", - "# distance between PML and the mode source / mode monitor\n", - "mode_spc = t / 2.0\n", - "\n", - "# height of waveguide core\n", - "h = 0.7\n", - "\n", - "# minimum, starting, and maximum allowed thicknesses for the bend geometry\n", - "wmin = 0.5\n", - "wmid = 1.5\n", - "wmax = 2.5\n", - "\n", - "# average radius of curvature of the bend\n", - "radius = 6\n", - "\n", - "# minimum allowed radius of curvature of the polygon\n", - "min_radius = 150e-3\n", - "\n", - "# name of the monitor measuring the transmission amplitudes for optimization\n", - "monitor_name = \"mode\"\n", - "\n", - "# how many grid points per wavelength in the waveguide core material\n", - "min_steps_per_wvl = 30\n", - "\n", - "# how many mode outputs to measure\n", - "num_modes = 3\n", - "mode_spec = td.ModeSpec(num_modes=num_modes)" - ] - }, - { - "cell_type": "markdown", - "id": "491189f1-8d54-45d2-bd14-f0e46163cdc5", - "metadata": {}, - "source": [ - "Using all of these parameters, we can define the total simulation size." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "099d588f-6745-4db4-8ca0-452a498e6d2b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:32.966590Z", - "iopub.status.busy": "2023-08-19T03:36:32.966430Z", - "iopub.status.idle": "2023-08-19T03:36:32.986560Z", - "shell.execute_reply": "2023-08-19T03:36:32.986021Z" - } - }, - "outputs": [], - "source": [ - "Lx = Ly = t + radius + abs(wmax - wmid) + spc\n", - "Lz = spc + h + spc" - ] - }, - { - "cell_type": "markdown", - "id": "89afa716-793b-40ff-b762-2dfccf05c867", - "metadata": {}, - "source": [ - "### Define parameterization\n", - "\n", - "Next we describe how the geometry looks as a function of our design parameters.\n", - "\n", - "At each angle on our bend discretization, we define a parameter that can range between -inf and +inf to control the thickness of that section. If that parameter is -inf, 0, and +inf, the thickness of that section is `wmin`, `wmid`, and `wmax`, respectively.\n", - "\n", - "This gives us a smooth way to constrain our measurable parameter without needing to worry about it in the optimization." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "104ab125-3ac9-4569-ab70-873acd41f0fc", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:32.988899Z", - "iopub.status.busy": "2023-08-19T03:36:32.988601Z", - "iopub.status.idle": "2023-08-19T03:36:33.012295Z", - "shell.execute_reply": "2023-08-19T03:36:33.011700Z" - } - }, - "outputs": [], - "source": [ - "def thickness(param: float) -> float:\n", - " \"\"\"thickness of a bend section as a function of a parameter in (-inf, +inf).\"\"\"\n", - " param_01 = (jnp.tanh(param) + 1.0) / 2.0\n", - " return wmax * param_01 + wmin * (1 - param_01)" - ] - }, - { - "cell_type": "markdown", - "id": "7261fdc3-9520-4995-9610-1586d330ed13", - "metadata": {}, - "source": [ - "Next we write a function to generate all of our bend polygon vertices given our array of design parameters. Note that we add extra vertices at the beginning and end of the bend that are **independent** of the parameters (static) and are only there to make it easier to connect the bend to the input and output waveguide sections." - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "f04524c0-9ac4-4990-a142-c3596323606d", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.014717Z", - "iopub.status.busy": "2023-08-19T03:36:33.014526Z", - "iopub.status.idle": "2023-08-19T03:36:33.037985Z", - "shell.execute_reply": "2023-08-19T03:36:33.037486Z" - } - }, - "outputs": [], - "source": [ - "def make_vertices(params: np.ndarray) -> list:\n", - " \"\"\"Make bend polygon vertices as a function of design parameters.\"\"\"\n", - " vertices = []\n", - " vertices.append((-Lx / 2 + 1e-2, -Ly / 2 + t + radius))\n", - " vertices.append((-Lx / 2 + t, -Ly / 2 + t + radius + wmid / 2))\n", - " for angle, param in zip(angles, params):\n", - " thickness_i = thickness(param)\n", - " radius_i = radius + thickness_i / 2.0\n", - " x = radius_i * np.sin(angle) - Lx / 2 + t\n", - " y = radius_i * np.cos(angle) - Ly / 2 + t\n", - " vertices.append((x, y))\n", - " vertices.append((-Lx / 2 + t + radius + wmid / 2, -Ly / 2 + t))\n", - " vertices.append((-Lx / 2 + t + radius, -Ly / 2 + 1e-2))\n", - " vertices.append((-Lx / 2 + t + radius - wmid / 2, -Ly / 2 + t))\n", - " for angle, param in zip(angles[::-1], params[::-1]):\n", - " thickness_i = thickness(param)\n", - " radius_i = radius - thickness_i / 2.0\n", - " x = radius_i * np.sin(angle) - Lx / 2 + t\n", - " y = radius_i * np.cos(angle) - Ly / 2 + t\n", - " vertices.append((x, y))\n", - " vertices.append((-Lx / 2 + t, -Ly / 2 + t + radius - wmid / 2))\n", - " return vertices" - ] - }, - { - "cell_type": "markdown", - "id": "3e5f676f-0e63-4e41-9df9-514afd08eb7e", - "metadata": {}, - "source": [ - "Let's try out our `make_vertices` function on a set of all `0` parameters, which should give the starting waveguide width of `wmid` across the bend." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "580d21d7-6423-4299-b889-676294966565", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.040112Z", - "iopub.status.busy": "2023-08-19T03:36:33.039967Z", - "iopub.status.idle": "2023-08-19T03:36:33.156430Z", - "shell.execute_reply": "2023-08-19T03:36:33.155793Z" - } - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:jax._src.xla_bridge:An NVIDIA GPU may be present on this machine, but a CUDA-enabled jaxlib is not installed. Falling back to cpu.\n" - ] - } - ], - "source": [ - "params = np.zeros(num_pts)\n", - "vertices = make_vertices(params)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "cea18164-b71b-4fca-9d0e-801390531f9c", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.158731Z", - "iopub.status.busy": "2023-08-19T03:36:33.158539Z", - "iopub.status.idle": "2023-08-19T03:36:33.356008Z", - "shell.execute_reply": "2023-08-19T03:36:33.355499Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.scatter(*np.array(vertices).T)\n", - "ax = plt.gca()\n", - "ax.set_aspect(\"equal\")" - ] - }, - { - "cell_type": "markdown", - "id": "3b4df320-a42a-463c-9a9a-bcd84c7f7dd3", - "metadata": {}, - "source": [ - "Looks good, note again that the extra points on the ends are just to ensure a solid overlap with the in and out waveguides. At this time, the adjoint plugin does not handle polygons that extend outside of the simulation domain so we need to also ensure that all points are inside of the domain.\n", - "\n", - "Next we wrap this to write a function to generate a 3D [JaxPolySlab](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxPolySlab.html) geometry given our design parameters. The `JaxPolySlab` is simply a jax-compatible version of the regular `PolySlab` geometry that can be differentiated through." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "044f2377-62e8-4be8-9815-87cc1368d3ba", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.358308Z", - "iopub.status.busy": "2023-08-19T03:36:33.358136Z", - "iopub.status.idle": "2023-08-19T03:36:33.378654Z", - "shell.execute_reply": "2023-08-19T03:36:33.378073Z" - } - }, - "outputs": [], - "source": [ - "def make_polyslab(params: np.ndarray) -> tda.JaxPolySlab:\n", - " \"\"\"Make a `tidy3d.PolySlab` for the bend given the design parameters.\"\"\"\n", - " vertices = make_vertices(params)\n", - " return tda.JaxPolySlab(\n", - " vertices=vertices,\n", - " slab_bounds=(-h / 2, h / 2),\n", - " axis=2,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "b57aa022-e979-4a19-9826-016ec22574ca", - "metadata": {}, - "source": [ - "Let's visualize this as well." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "ea0df1fd-0c81-4185-9455-81c1a2af2a4a", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.380842Z", - "iopub.status.busy": "2023-08-19T03:36:33.380658Z", - "iopub.status.idle": "2023-08-19T03:36:33.662680Z", - "shell.execute_reply": "2023-08-19T03:36:33.662143Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "polyslab = make_polyslab(params)\n", - "ax = polyslab.plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "dc3b3303-3942-4004-a49b-f66fc07a0eb3", - "metadata": {}, - "source": [ - "Keeping with this theme, we add a function to generate a list of [JaxStructure](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxStructure.html)s with just one element (our differentiable polygon bend). " - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "8db1381a-dd4a-4e99-a207-6f1c1363d173", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.664840Z", - "iopub.status.busy": "2023-08-19T03:36:33.664690Z", - "iopub.status.idle": "2023-08-19T03:36:33.686166Z", - "shell.execute_reply": "2023-08-19T03:36:33.685592Z" - } - }, - "outputs": [], - "source": [ - "def make_input_structures(params) -> list[tda.JaxStructure]:\n", - " polyslab = make_polyslab(params)\n", - " medium = tda.JaxMedium(permittivity=n_wg**2)\n", - " return [tda.JaxStructure(geometry=polyslab, medium=medium)]" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "2ab62467-2ac4-4908-8344-2c342944f8e1", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.688280Z", - "iopub.status.busy": "2023-08-19T03:36:33.688092Z", - "iopub.status.idle": "2023-08-19T03:36:33.964874Z", - "shell.execute_reply": "2023-08-19T03:36:33.964343Z" - } - }, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "(ring,) = input_structures = make_input_structures(params)\n", - "ax = ring.plot(z=0)" - ] - }, - { - "cell_type": "markdown", - "id": "92d145cd-20e9-4762-ba3b-227d667b3fcd", - "metadata": {}, - "source": [ - "Next, we define the other \"static\" geometries, such as the input waveguide section, output waveguide section, and substrate." - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "0e756863-4009-421a-9b86-20509d1d807b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.966975Z", - "iopub.status.busy": "2023-08-19T03:36:33.966781Z", - "iopub.status.idle": "2023-08-19T03:36:33.989770Z", - "shell.execute_reply": "2023-08-19T03:36:33.989217Z" - } - }, - "outputs": [], - "source": [ - "box_in = td.Box.from_bounds(\n", - " rmin=(-Lx / 2 - 1, -Ly / 2 + t + radius - wmid / 2, -h / 2),\n", - " rmax=(-Lx / 2 + t + 1e-3, -Ly / 2 + t + radius + wmid / 2, +h / 2),\n", - ")\n", - "box_out = td.Box.from_bounds(\n", - " rmin=(-Lx / 2 + t + radius - wmid / 2, -Ly / 2 - 1, -h / 2),\n", - " rmax=(-Lx / 2 + t + radius + wmid / 2, -Ly / 2 + t, +h / 2),\n", - ")\n", - "\n", - "geo_sub = td.Box.from_bounds(\n", - " rmin=(-td.inf, -td.inf, -10000),\n", - " rmax=(+td.inf, +td.inf, -h / 2),\n", - ")\n", - "\n", - "wg_in = td.Structure(geometry=box_in, medium=td.Medium(permittivity=n_wg**2))\n", - "wg_out = td.Structure(geometry=box_out, medium=td.Medium(permittivity=n_wg**2))\n", - "substrate = td.Structure(geometry=geo_sub, medium=td.Medium(permittivity=n_sub**2))" - ] - }, - { - "cell_type": "markdown", - "id": "36c7b8fa-51fb-4852-9e62-f231410d8e0e", - "metadata": {}, - "source": [ - "## Fabrication Constraints\n", - "\n", - "With the current parameterization, it is possible to generate structures with wildly varying radii of curvature which may be difficult to fabricate. To alleviate this, we introduce a minimum radius of curvature penalty transformation using the tidy3d adjoint utilities. The penalty will take a set of vertices, compute the local radius of curvature using a quadratic Bezier curve, and return an average penalty function that depends on how much smaller the local radii are compared to a desired minimum radius." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "a4e9cb73-c8a9-41ec-a4e2-af2eac220c04", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:33.992024Z", - "iopub.status.busy": "2023-08-19T03:36:33.991852Z", - "iopub.status.idle": "2023-08-19T03:36:34.022672Z", - "shell.execute_reply": "2023-08-19T03:36:34.021459Z" - } - }, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import RadiusPenalty\n", - "\n", - "penalty = RadiusPenalty(min_radius=min_radius, alpha=1.0, kappa=10.0)" - ] - }, - { - "cell_type": "markdown", - "id": "557d4e5b-8441-433f-8965-e81c2f4b6231", - "metadata": {}, - "source": [ - "We then wrap this penalty to look at only the inner and outer vertices independently and average the penalty from each." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "4e4bc5d9-2c57-412c-8cc2-cc026b39857b", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.026853Z", - "iopub.status.busy": "2023-08-19T03:36:34.026533Z", - "iopub.status.idle": "2023-08-19T03:36:34.050147Z", - "shell.execute_reply": "2023-08-19T03:36:34.049560Z" - } - }, - "outputs": [], - "source": [ - "def eval_penalty(params):\n", - " \"\"\"Evaluate penalty on a set of params looking at radius of curvature.\"\"\"\n", - " vertices = make_vertices(params)\n", - " _vertices = jnp.array(vertices)\n", - " vertices_top = _vertices[1 : num_pts + 3] # select outer set of points along bend\n", - " vertices_bot = _vertices[num_pts + 4 :] # select inner set of points along bend\n", - " penalty_top = penalty.evaluate(vertices_top)\n", - " penalty_bot = penalty.evaluate(vertices_bot)\n", - " return (penalty_top + penalty_bot) / 2.0" - ] - }, - { - "cell_type": "markdown", - "id": "9881812c-1795-4f83-a24f-0b01833638e7", - "metadata": {}, - "source": [ - "Let's try this out on our starting parameters. We see we get a jax traced float that seems reasonably low given our smooth starting structure." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "d13993be-d625-4537-af2a-f7700c199612", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.052467Z", - "iopub.status.busy": "2023-08-19T03:36:34.052290Z", - "iopub.status.idle": "2023-08-19T03:36:34.511723Z", - "shell.execute_reply": "2023-08-19T03:36:34.511183Z" - } - }, - "outputs": [ - { - "data": { - "text/plain": [ - "Array(3.5788543e-23, dtype=float32)" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "eval_penalty(params)" - ] - }, - { - "cell_type": "markdown", - "id": "28462443-1777-4b35-8c03-32490755f475", - "metadata": {}, - "source": [ - "## Define Simulation\n", - "\n", - "Now we define our sources, monitors, and simulation.\n", - "\n", - "We first define a mode source injected at the input waveguide." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "96cf199b-0a3b-4ace-9e1e-ba6c62d165f7", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.513902Z", - "iopub.status.busy": "2023-08-19T03:36:34.513718Z", - "iopub.status.idle": "2023-08-19T03:36:34.536900Z", - "shell.execute_reply": "2023-08-19T03:36:34.536186Z" - } - }, - "outputs": [], - "source": [ - "mode_width = wmid + 2 * spc\n", - "mode_height = Lz\n", - "\n", - "mode_src = td.ModeSource(\n", - " size=(0, mode_width, mode_height),\n", - " center=(-Lx / 2 + t / 2, -Ly / 2 + t + radius, 0),\n", - " direction=\"+\",\n", - " source_time=td.GaussianPulse(\n", - " freq0=freq0,\n", - " fwidth=fwidth,\n", - " ),\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "79c7db54-87c6-4ba4-9da7-68ae176a222f", - "metadata": {}, - "source": [ - "Next, we define monitors for storing:\n", - "\n", - "- The output mode amplitude at the central frequency.\n", - "\n", - "- The flux on the output plane (for reference).\n", - "\n", - "- The output mode amplitude across a frequency range (for examining the transmission spectrum of our final device).\n", - "\n", - "- A field monitor to measure fields directly in the z-normal plane intersecting the waveguide." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "731f5f2f-0c38-4e86-add9-7ba8eea6c951", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.539461Z", - "iopub.status.busy": "2023-08-19T03:36:34.539261Z", - "iopub.status.idle": "2023-08-19T03:36:34.728468Z", - "shell.execute_reply": "2023-08-19T03:36:34.727906Z" - } - }, - "outputs": [], - "source": [ - "mode_mnt = td.ModeMonitor(\n", - " size=(mode_width, 0, mode_height),\n", - " center=(-Lx / 2 + t + radius, -Ly / 2 + t / 2, 0),\n", - " name=monitor_name,\n", - " freqs=[freq0],\n", - " mode_spec=mode_spec,\n", - ")\n", - "\n", - "flux_mnt = td.FluxMonitor(\n", - " size=(mode_width, 0, mode_height),\n", - " center=(-Lx / 2 + t + radius, -Ly / 2 + t / 2, 0),\n", - " name=\"flux\",\n", - " freqs=[freq0],\n", - ")\n", - "\n", - "mode_mnt_bb = td.ModeMonitor(\n", - " size=(mode_width, 0, mode_height),\n", - " center=(-Lx / 2 + t + radius, -Ly / 2 + t / 2, 0),\n", - " name=\"mode_bb\",\n", - " freqs=freqs.tolist(),\n", - " mode_spec=mode_spec,\n", - ")\n", - "\n", - "fld_mnt = td.FieldMonitor(\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq0],\n", - " name=\"field\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "2e47e54a-65f3-463f-b790-fd873597659e", - "metadata": {}, - "source": [ - "Next we put everything together into a function that returns a [JaxSimulation](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulation.html) given our parameters and an optional boolean specifying whether to include the field monitor (to save data when fields are not required)." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "f33bcf5e-2f31-4969-acb7-42bc06b1347e", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.730701Z", - "iopub.status.busy": "2023-08-19T03:36:34.730511Z", - "iopub.status.idle": "2023-08-19T03:36:34.754762Z", - "shell.execute_reply": "2023-08-19T03:36:34.754191Z" - } - }, - "outputs": [], - "source": [ - "def make_sim(params, use_fld_mnt: bool = True) -> tda.JaxSimulation:\n", - " monitors = [mode_mnt_bb, flux_mnt]\n", - " if use_fld_mnt:\n", - " monitors += [fld_mnt]\n", - " input_structures = make_input_structures(params)\n", - " return tda.JaxSimulation(\n", - " size=(Lx, Ly, Lz),\n", - " input_structures=input_structures,\n", - " structures=[substrate, wg_in, wg_out],\n", - " sources=[mode_src],\n", - " output_monitors=[mode_mnt],\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=min_steps_per_wvl),\n", - " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=True),\n", - " monitors=monitors,\n", - " run_time=10 / fwidth,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "c7d38368-afd9-4a29-864a-4c6c6385acea", - "metadata": {}, - "source": [ - "Let's try it out and plot our simulation." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "42fcce5a-11e9-4de2-b03e-97ca75af1628", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:34.756968Z", - "iopub.status.busy": "2023-08-19T03:36:34.756793Z", - "iopub.status.idle": "2023-08-19T03:36:35.322782Z", - "shell.execute_reply": "2023-08-19T03:36:35.322144Z" - } - }, - "outputs": [ - { - "data": { - "text/html": [ - "
12:20:23 -03 WARNING: 'JaxPolySlab'-containing                                  \n",
-       "             'JaxSimulation.input_structures[0]' intersects with                \n",
-       "             'JaxSimulation.structures[1]'. Note that in this version of the    \n",
-       "             adjoint plugin, there may be errors in the gradient when           \n",
-       "             'JaxPolySlab' intersects with background structures.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m12:20:23 -03\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m-containing \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.input_structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m0\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m intersects with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxSimulation.structures\u001b[0m\u001b[32m[\u001b[0m\u001b[32m1\u001b[0m\u001b[32m]\u001b[0m\u001b[32m'\u001b[0m\u001b[31m. Note that in this version of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint plugin, there may be errors in the gradient when \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'JaxPolySlab'\u001b[0m\u001b[31m intersects with background structures. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Structure at structures[3] was detected as being less than\n",
-       "             half of a central wavelength from a PML on side x-min. To avoid    \n",
-       "             inaccurate results or divergence, please increase gap between any  \n",
-       "             structures and PML or fully extend structure through the pml.      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Structure at structures\u001b[0m\u001b[1;31m[\u001b[0m\u001b[1;36m3\u001b[0m\u001b[1;31m]\u001b[0m\u001b[31m was detected as being less than\u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mhalf of a central wavelength from a PML on side x-min. To avoid \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minaccurate results or divergence, please increase gap between any \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mstructures and PML or fully extend structure through the pml. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Structure at structures[3] was detected as being less than\n",
-       "             half of a central wavelength from a PML on side x-min. To avoid    \n",
-       "             inaccurate results or divergence, please increase gap between any  \n",
-       "             structures and PML or fully extend structure through the pml.      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Structure at structures\u001b[0m\u001b[1;31m[\u001b[0m\u001b[1;36m3\u001b[0m\u001b[1;31m]\u001b[0m\u001b[31m was detected as being less than\u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mhalf of a central wavelength from a PML on side x-min. To avoid \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31minaccurate results or divergence, please increase gap between any \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mstructures and PML or fully extend structure through the pml. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             WARNING: Suppressed 1 WARNING message.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: Suppressed \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m WARNING message. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "sim = make_sim(params)\n", - "\n", - "f, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(10, 4))\n", - "ax = sim.plot(z=0.01, ax=ax1)\n", - "ax = sim.plot(x=-Lx / 2 + t / 2, ax=ax2)" - ] - }, - { - "cell_type": "markdown", - "id": "c4850a27-08e1-4267-8076-8d5b6ece9f8e", - "metadata": {}, - "source": [ - "> Note: we get warnings from the adjoint plugin because the polyslab intersects the static waveguide ports and those edges will give inaccurate gradients. We can safely ignore those warnings because we don't need gradients with respect to them." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "fa5b961a-1b10-416d-9b68-c4d1d24d087a", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:35.324822Z", - "iopub.status.busy": "2023-08-19T03:36:35.324636Z", - "iopub.status.idle": "2023-08-19T03:36:35.344592Z", - "shell.execute_reply": "2023-08-19T03:36:35.344017Z" - } - }, - "outputs": [], - "source": [ - "td.config.logging_level = \"ERROR\"" - ] - }, - { - "cell_type": "markdown", - "id": "b11fca49-0b3f-4f96-9b2e-933b0c8ea6ac", - "metadata": {}, - "source": [ - "## Select the desired waveguide mode\n", - "\n", - "Next, we use the [ModeSolver](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.mode.ModeSolver.html) to solve and select the `mode_index` that gives us the proper injected and measured modes. We plot all of the fields for the first 3 modes and see that the TE0 mode is `mode_index=0`." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "8480cca1-a8df-4c43-81d0-18b7ffa5076f", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:35.347365Z", - "iopub.status.busy": "2023-08-19T03:36:35.347113Z", - "iopub.status.idle": "2023-08-19T03:36:40.224805Z", - "shell.execute_reply": "2023-08-19T03:36:40.224131Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Effective index of computed modes: [[1.7966835 1.7514164 1.6002883]]\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "from tidy3d.plugins.mode import ModeSolver\n", - "\n", - "ms = ModeSolver(\n", - " simulation=sim.to_simulation()[0], plane=mode_src, mode_spec=mode_spec, freqs=mode_mnt.freqs\n", - ")\n", - "data = ms.solve()\n", - "\n", - "print(\"Effective index of computed modes: \", np.array(data.n_eff))\n", - "\n", - "fig, axs = plt.subplots(num_modes, 3, figsize=(14, 10), tight_layout=True)\n", - "for mode_ind in range(num_modes):\n", - " for field_ind, field_name in enumerate((\"Ex\", \"Ey\", \"Ez\")):\n", - " field = data.field_components[field_name].sel(mode_index=mode_ind)\n", - " ax = axs[mode_ind, field_ind]\n", - " field.real.plot(x=\"y\", y=\"z\", ax=ax, cmap=\"RdBu\")\n", - " ax.set_title(f\"{field_name}, mode_ind={mode_ind}\")" - ] - }, - { - "cell_type": "markdown", - "id": "a9fe1aaf-5e75-445a-80a5-e7a75197a8ee", - "metadata": {}, - "source": [ - "Since this is already the default mode index, we can leave the original `make_sim()` function as is. However, to generate a new mode source with a different `mode_index`, we could do the following and rewrite that function with the returned `mode_src`." - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "5e1974b0-28c8-4d65-9d79-976cb012b870", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:40.227904Z", - "iopub.status.busy": "2023-08-19T03:36:40.227653Z", - "iopub.status.idle": "2023-08-19T03:36:40.254818Z", - "shell.execute_reply": "2023-08-19T03:36:40.254147Z" - } - }, - "outputs": [], - "source": [ - "# select the mode index\n", - "mode_index = 0\n", - "\n", - "# make the mode source with appropriate mode index\n", - "mode_src = ms.to_source(\n", - " mode_index=mode_index, source_time=mode_src.source_time, direction=mode_src.direction\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "ab0e3e8c-3336-4fa3-9eca-6e89e0c50812", - "metadata": {}, - "source": [ - "## Defining objective function\n", - "\n", - "Now we can define our objective function to maximize. The objective function first generates a simulation given the parameters, runs the simulation using the `jax`-compatible [tidy3d.plugins.adjoint.run](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.web.run.html) function, measures the power transmitted into the TE0 output mode at our desired polarization, and then subtracts the radius of curvature penalty that we defined earlier.\n", - "\n", - "For convenience, we also return the [JaxSimulationData](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulationData.html) as the 2nd output, which will be ignored by `jax` when we pass `has_aux=True` when computing the gradient of this function." - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "9f02432c-1de7-45da-ac8d-dc730093acff", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:40.257345Z", - "iopub.status.busy": "2023-08-19T03:36:40.257036Z", - "iopub.status.idle": "2023-08-19T03:36:40.280125Z", - "shell.execute_reply": "2023-08-19T03:36:40.279510Z" - } - }, - "outputs": [], - "source": [ - "def objective(params, use_fld_mnt: bool = True):\n", - " sim = make_sim(params, use_fld_mnt=use_fld_mnt)\n", - " sim_data = run(sim, task_name=\"bend\", verbose=False)\n", - " amps = sim_data[monitor_name].amps.sel(direction=\"-\", mode_index=mode_index).values\n", - " transmission = jnp.abs(jnp.array(amps)) ** 2\n", - " J = jnp.sum(transmission) - eval_penalty(params)\n", - " return J, sim_data" - ] - }, - { - "cell_type": "markdown", - "id": "8e82ef72-d130-48dd-b88d-bdc5e5ccde0f", - "metadata": {}, - "source": [ - "Next, we use [jax.value_and_grad](https://jax.readthedocs.io/en/latest/_autosummary/jax.value_and_grad.html) to transform this objective function into a function that returns the \n", - "\n", - "* Objective function evaluated at the passed parameters.\n", - "\n", - "* Auxiliary [JaxSimulationData](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.plugins.adjoint.JaxSimulationData.html) corresponding to the forward pass (for plotting later).\n", - "\n", - "* Gradient of the objective function with respect to the passed parameters." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "d8390c85-0506-4a4f-aa85-fa9311a969bd", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:40.282832Z", - "iopub.status.busy": "2023-08-19T03:36:40.282663Z", - "iopub.status.idle": "2023-08-19T03:36:40.307756Z", - "shell.execute_reply": "2023-08-19T03:36:40.307056Z" - } - }, - "outputs": [], - "source": [ - "val_grad = jax.value_and_grad(objective, has_aux=True)" - ] - }, - { - "cell_type": "markdown", - "id": "a1cad86d-800f-40c8-8966-d2483cdd254e", - "metadata": {}, - "source": [ - "Let's run this function and take a look at the outputs." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "99393577-a86b-4d20-98b2-8e45b4b88058", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:36:40.310393Z", - "iopub.status.busy": "2023-08-19T03:36:40.310186Z", - "iopub.status.idle": "2023-08-19T03:39:21.714893Z", - "shell.execute_reply": "2023-08-19T03:39:21.714313Z" - } - }, - "outputs": [], - "source": [ - "(val, sim_data), grad = val_grad(params)" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "0adcb4f4-8b8d-4526-bfcd-5be2caf810c2", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:39:21.717000Z", - "iopub.status.busy": "2023-08-19T03:39:21.716821Z", - "iopub.status.idle": "2023-08-19T03:39:21.743289Z", - "shell.execute_reply": "2023-08-19T03:39:21.742376Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.56060445\n", - "[-0.0188388 -0.02968329 -0.04119703 -0.05332524 -0.06572866 -0.07820014\n", - " -0.09031231 -0.10045926 -0.11044138 -0.11628448 -0.11940151 -0.11856014\n", - " -0.11367594 -0.10428433 -0.09043697 -0.07393097 -0.05485657 -0.0337466\n", - " -0.01146656 0.01097788 0.03232807 0.05213357 0.07016988 0.08564365\n", - " 0.0990769 0.10944834 0.11628198 0.12678821 0.1184852 0.12728047\n", - " 0.12726428 0.11848194 0.12681223 0.11626809 0.10944062 0.09910151\n", - " 0.0856217 0.0701622 0.05214944 0.03230007 0.01097185 -0.01146848\n", - " -0.03375959 -0.05487485 -0.07394323 -0.09041391 -0.10433427 -0.11368035\n", - " -0.11850713 -0.1194798 -0.11626592 -0.1103911 -0.10054259 -0.09028433\n", - " -0.07816786 -0.06577757 -0.05332028 -0.04118454 -0.02970344 -0.01884984]\n" - ] - } - ], - "source": [ - "print(val)\n", - "print(grad)" - ] - }, - { - "cell_type": "markdown", - "id": "6aea9708-fe5c-4f9e-aae3-0d4aa769fa17", - "metadata": {}, - "source": [ - "These seem reasonable and can now be used for plugging into our optimization algorithm.\n", - "\n", - "## Optimization Procedure\n", - "\n", - "With our gradients defined, we write a simple optimization loop using the [optax](https://optax.readthedocs.io/en/latest/) package. We use the `adam` method with a tunable number of steps and learning rate. The intermediate values, parameters, and data are stored for visualization later.\n", - "\n", - "> Note: this will take several minutes. While not shown here, it is good practice to checkpoint your optimization results by saving to file on every iteration, or ensure you have a stable internet connection. See [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/) for more details. " - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "f0a6b1e7-6d87-483e-a54e-ac8ca19a03fa", - "metadata": { - "execution": { - "iopub.execute_input": "2023-08-19T03:39:21.746507Z", - "iopub.status.busy": "2023-08-19T03:39:21.746145Z", - "iopub.status.idle": "2023-08-19T04:29:23.024309Z" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tJ = 5.6060e-01\n", - "\tgrad_norm = 6.7527e-01\n", - "step = 2\n", - "\tJ = 8.4790e-01\n", - "\tgrad_norm = 4.8038e-01\n", - "step = 3\n", - "\tJ = 8.9984e-01\n", - "\tgrad_norm = 4.0508e-01\n", - "step = 4\n", - "\tJ = 8.5131e-01\n", - "\tgrad_norm = 5.0347e-01\n", - "step = 5\n", - "\tJ = 8.3507e-01\n", - "\tgrad_norm = 4.8750e-01\n", - "step = 6\n", - "\tJ = 8.6679e-01\n", - "\tgrad_norm = 4.8799e-01\n", - "step = 7\n", - "\tJ = 9.2224e-01\n", - "\tgrad_norm = 3.5351e-01\n", - "step = 8\n", - "\tJ = 9.5242e-01\n", - "\tgrad_norm = 1.8942e-01\n", - "step = 9\n", - "\tJ = 9.4218e-01\n", - "\tgrad_norm = 2.4272e-01\n", - "step = 10\n", - "\tJ = 9.1946e-01\n", - "\tgrad_norm = 2.9455e-01\n", - "step = 11\n", - "\tJ = 9.0531e-01\n", - "\tgrad_norm = 3.2003e-01\n", - "step = 12\n", - "\tJ = 9.1071e-01\n", - "\tgrad_norm = 3.1154e-01\n", - "step = 13\n", - "\tJ = 9.3085e-01\n", - "\tgrad_norm = 2.5687e-01\n", - "step = 14\n", - "\tJ = 9.5300e-01\n", - "\tgrad_norm = 1.7602e-01\n", - "step = 15\n", - "\tJ = 9.6490e-01\n", - "\tgrad_norm = 1.0443e-01\n", - "step = 16\n", - "\tJ = 9.6218e-01\n", - "\tgrad_norm = 1.3480e-01\n", - "step = 17\n", - "\tJ = 9.5239e-01\n", - "\tgrad_norm = 1.9509e-01\n", - "step = 18\n", - "\tJ = 9.4549e-01\n", - "\tgrad_norm = 2.2595e-01\n", - "step = 19\n", - "\tJ = 9.4680e-01\n", - "\tgrad_norm = 2.1706e-01\n", - "step = 20\n", - "\tJ = 9.5441e-01\n", - "\tgrad_norm = 1.7688e-01\n", - "step = 21\n", - "\tJ = 9.6259e-01\n", - "\tgrad_norm = 1.2695e-01\n", - "step = 22\n", - "\tJ = 9.6678e-01\n", - "\tgrad_norm = 9.8817e-02\n", - "step = 23\n", - "\tJ = 9.6526e-01\n", - "\tgrad_norm = 1.2305e-01\n", - "step = 24\n", - "\tJ = 9.6186e-01\n", - "\tgrad_norm = 1.4717e-01\n", - "step = 25\n", - "\tJ = 9.5993e-01\n", - "\tgrad_norm = 1.5419e-01\n", - "step = 26\n", - "\tJ = 9.6063e-01\n", - "\tgrad_norm = 1.4312e-01\n", - "step = 27\n", - "\tJ = 9.6385e-01\n", - "\tgrad_norm = 1.2450e-01\n", - "step = 28\n", - "\tJ = 9.6808e-01\n", - "\tgrad_norm = 1.0043e-01\n", - "step = 29\n", - "\tJ = 9.7131e-01\n", - "\tgrad_norm = 7.4764e-02\n", - "step = 30\n", - "\tJ = 9.7190e-01\n", - "\tgrad_norm = 6.6778e-02\n", - "step = 31\n", - "\tJ = 9.7000e-01\n", - "\tgrad_norm = 8.9502e-02\n", - "step = 32\n", - "\tJ = 9.6791e-01\n", - "\tgrad_norm = 1.0968e-01\n", - "step = 33\n", - "\tJ = 9.6787e-01\n", - "\tgrad_norm = 1.1277e-01\n", - "step = 34\n", - "\tJ = 9.7046e-01\n", - "\tgrad_norm = 9.2506e-02\n", - "step = 35\n", - "\tJ = 9.7376e-01\n", - "\tgrad_norm = 5.5469e-02\n", - "step = 36\n", - "\tJ = 9.7542e-01\n", - "\tgrad_norm = 2.4987e-02\n", - "step = 37\n", - "\tJ = 9.7487e-01\n", - "\tgrad_norm = 4.3868e-02\n", - "step = 38\n", - "\tJ = 9.7339e-01\n", - "\tgrad_norm = 6.9073e-02\n", - "step = 39\n", - "\tJ = 9.7261e-01\n", - "\tgrad_norm = 8.0034e-02\n", - "step = 40\n", - "\tJ = 9.7333e-01\n", - "\tgrad_norm = 7.4658e-02\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# hyperparameters\n", - "num_steps = 40\n", - "learning_rate = 0.1\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = np.array(params).copy()\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "objective_history = []\n", - "param_history = [params]\n", - "data_history = []\n", - "\n", - "for i in range(num_steps):\n", - " # compute gradient and current objective function value\n", - " (value, sim_data), gradient = val_grad(params)\n", - "\n", - " # multiply all by -1 to maximize obj_fn\n", - " gradient = -np.array(gradient.copy())\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient\n", - " updates, opt_state = optimizer.update(gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # save history\n", - " objective_history.append(value)\n", - " param_history.append(params)\n", - " data_history.append(sim_data)" - ] - }, - { - "cell_type": "markdown", - "id": "9760808f-512b-4806-85b6-809ba15e389c", - "metadata": {}, - "source": [ - "## Analyzing results\n", - "\n", - "After the optimization is finished, let's look at the results." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "09aac45c-d7d8-4fd1-a599-0a7888257120", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "_ = plt.plot(objective_history)\n", - "ax = plt.gca()\n", - "ax.set_xlabel(\"iteration number\")\n", - "ax.set_ylabel(\"objective function\")\n", - "ax.set_title(\"optimization progress\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "bdbff561-b56c-4e83-8841-3c1ea7d5ba9e", - "metadata": {}, - "source": [ - "Next, we can grab our initial and final device from the history lists." - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "d782b925-2af0-4bb8-bf87-88526a3f359f", - "metadata": {}, - "outputs": [], - "source": [ - "sim_start = make_sim(param_history[0])\n", - "data_start = data_history[0]\n", - "\n", - "sim_final = make_sim(param_history[-1])\n", - "data_final = data_history[-1]" - ] - }, - { - "cell_type": "markdown", - "id": "63a876ae-1d19-4f38-a958-170c9576b21a", - "metadata": {}, - "source": [ - "Let's take a look at the final structure. We see that it has a smooth design which is symmetric about the 45 degree angle." - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "id": "7ec2b20e-74de-4b82-949f-591696437315", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = sim_final.plot(z=0.01)" - ] - }, - { - "cell_type": "markdown", - "id": "95b503b9-a29e-455c-aef3-e4a97a0c59b2", - "metadata": {}, - "source": [ - "Now let's inspect the difference between the initial and final intensity patterns. We notice that the final device is quite effective at coupling light into the output waveguide! This is especially evident when compared to the starting device." - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "id": "a2dddafd-8cee-42fd-a1c7-ddc94f4ef9e8", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "f, ((ax1, ax2), (ax3, ax4)) = plt.subplots(2, 2, tight_layout=True, figsize=(10, 6))\n", - "\n", - "_ = data_start.plot_field(\"field\", \"E\", \"abs^2\", ax=ax1)\n", - "_ = sim_start.plot(z=0, ax=ax2)\n", - "ax1.set_title(\"starting device\")\n", - "ax2.set_title(\"starting device\")\n", - "\n", - "_ = data_final.plot_field(\"field\", \"E\", \"abs^2\", ax=ax3)\n", - "_ = sim_final.plot(z=0, ax=ax4)\n", - "ax3.set_title(\"final device\")\n", - "ax4.set_title(\"final device\")\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "38997828-6ea8-489c-96b0-3cb4aae502c2", - "metadata": {}, - "source": [ - "Let's view the transmission now, both in linear and dB scale.\n", - "\n", - "The mode amplitudes are simply an [xarray.DataArray](https://docs.xarray.dev/en/stable/generated/xarray.DataArray.html) that can be selected, post processed, and plotted." - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "id": "9075ae74-7b0a-4336-98a6-0b89a650d0d3", - "metadata": {}, - "outputs": [], - "source": [ - "amps = sim_data[\"mode_bb\"].amps.sel(direction=\"-\", mode_index=mode_index)" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "id": "93a9b8e8-7581-4fb3-a3f4-f718a80082d0", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkAAAAHHCAYAAABXx+fLAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/OQEPoAAAACXBIWXMAAA9hAAAPYQGoP6dpAABoeklEQVR4nO3deVhU1f8H8Pcw7Nsg+yKCggIqAuKKu1Iu5F4ulYhrpWZqWtqmprmW+c3SrNz9uaSZppZoJoqKa+IuCi6gAorIvs+c3x/G5AQqKHAH5v16Hh6dO2fO/Zy5M8ybO/eeKxNCCBARERHpED2pCyAiIiKqagxAREREpHMYgIiIiEjnMAARERGRzmEAIiIiIp3DAEREREQ6hwGIiIiIdA4DEBEREekcBiAiIiLSOQxARI+5efMmZDIZVq9eXSn9u7u7IywsTOv7JOmtXr0aMpkMN2/elLSOsLAwuLu7S1oDUWVgACKiSpOWlobRo0fDzs4OZmZm6NSpE/7+++/n7u/o0aOYMWMG0tLSKq7IamTp0qWlhvNLly5hxowZkoelmiw/Px/vvvsu7OzsULt2bcyePbtEm9u3b8Pc3BxHjhyRoEIqLwYgoioUExODH3/8UeoyqoRKpUJISAg2bNiAcePGYcGCBbh37x46duyIa9euPVefR48excyZM3UiAA0ZMgS5ublwc3NTL3taAJo5cyYDUCVauHAh1q5diylTpmDYsGH4/PPPsXHjRo02U6ZMQa9evdCmTRuJqqTy0Je6ACJdYmRkJHUJVWbr1q04evQotmzZgldffRUAMGDAADRo0ADTp0/Hhg0bKnX9KpUKBQUFMDY2rtT1VBa5XA65XC51GTolOzsbZmZmpd63a9cuvP/++/jggw8AAAkJCfjtt98wePBgAMDhw4exc+dOXLlypcrqpRfDPUCkVWbMmAGZTIarV6/izTffhEKhgJ2dHT799FMIIZCQkIDevXvD0tISjo6O+Oqrr0r0ce/ePYwYMQIODg4wNjaGn58f1qxZU6JdWloawsLCoFAoYGVlhaFDhz5xz8KVK1fw6quvwtraGsbGxmjWrBl+++23co/vv8frFB/nceTIEUyaNEn9VVHfvn1x//59jccKITB79mzUrl0bpqam6NSpEy5evFjqetLS0jBhwgS4urrCyMgInp6emD9/PlQqlbqvTp06wc7ODvfu3VM/rqCgAL6+vvDw8EB2dna5x/e4rVu3wsHBAf369VMvs7Ozw4ABA7Bjxw7k5+eXq78ZM2ZgypQpAIC6detCJpNpHCMjk8kwbtw4/N///R8aNWoEIyMj7NmzBwDw5ZdfIigoCDY2NjAxMUFgYCC2bt1aYh3FfWzfvh2NGzeGkZERGjVqpO6nWGZmJiZMmAB3d3cYGRnB3t4eL730ksbXex07dkTjxo1x7tw5dOjQAaampvD09FSv9+DBg2jZsiVMTEzg5eWFP//8U2Md/z0GyN3dHRcvXsTBgwfVY+/YsSNWr16N1157DQDQqVMn9X0RERHqvv744w+0a9cOZmZmsLCwQEhISKmvneJxGxsbo3Hjxvj111/LvH3c3d3xyiuvYO/evfD394exsTEaNmyIbdu2lWh7/fp1vPbaa7C2toapqSlatWqF3bt3q+8XQsDW1haTJk1SL1OpVLCysoJcLtd4n86fPx/6+vrIyspSLyvL+7X4+T148CDGjBkDe3t71K5d+4njy83NRa1atdS3ra2tkZOTo67tvffewwcffPDUPkjLCCItMn36dAFA+Pv7i8GDB4ulS5eKkJAQAUAsWrRIeHl5iXfeeUcsXbpUtGnTRgAQBw8eVD8+JydH+Pj4CAMDAzFx4kTxzTffiHbt2gkAYvHixep2KpVKtG/fXujp6YkxY8aIJUuWiM6dO4smTZoIAGLVqlXqthcuXBAKhUI0bNhQzJ8/X3z77beiffv2QiaTiW3btpVrfG5ubmLo0KHq26tWrRIAREBAgOjcubNYsmSJeP/994VcLhcDBgzQeOwnn3wiAIgePXqIb7/9VgwfPlw4OzsLW1tbjT6zs7NFkyZNhI2Njfjoo4/E999/L0JDQ4VMJhPvvfeeut3169eFubm56Nu3r3rZ1KlThUwm03hOCwoKxP3798v0o1Qq1Y/z9PQU3bt3L/Ec/PTTTwKAOHfuXLmeu7Nnz4rBgwcLAOLrr78W69atE+vWrRNZWVlCCCEACB8fH2FnZydmzpwpvvvuO3HmzBkhhBC1a9cWY8aMEd9++61YtGiRaNGihQAgdu3apbEOAMLPz084OTmJWbNmicWLF4t69eoJU1NTkZKSom73+uuvC0NDQzFp0iTx008/ifnz54uePXuK9evXq9t06NBBODs7C1dXVzFlyhSxZMkS0bBhQyGXy8WmTZuEo6OjmDFjhli8eLFwcXERCoVCZGRkqB9f/Nq4ceOGEEKIX3/9VdSuXVt4e3urx753714RFxcnxo8fLwCIjz76SH1fUlKSEEKItWvXCplMJrp16yaWLFki5s+fL9zd3YWVlZW6byGECA8PF3p6eqJx48Zi0aJF4uOPPxYKhUI0atRIuLm5PXP7uLm5iQYNGggrKysxdepUsWjRIuHr6yv09PTE3r171e2SkpKEg4ODsLCwEB9//LFYtGiR8PPzE3p6ehrvp169eonAwED17TNnzggAQk9PT2O7hYSEiGbNmqlvl/X9Wvz8NmzYUHTo0EEsWbJEzJs374njGzFihGjcuLE4d+6cOHr0qHB0dBSzZ88WQgjxww8/iDp16oicnJxnPk+kPRiASKsUB6DRo0erlxUVFYnatWsLmUym8Qvq4cOHwsTEROPDf/HixQKAxgdRQUGBaN26tTA3N1d/wGzfvl0AEAsWLNBYT3FYejwAdenSRfj6+oq8vDz1MpVKJYKCgkT9+vXLNb4nBaDg4GChUqnUyydOnCjkcrlIS0sTQghx7949YWhoKEJCQjTaffTRRwKARp+zZs0SZmZm4urVqxrrnjp1qpDL5SI+Pl69bPny5ern69ixY0Iul4sJEyZoPO7AgQMCQJl+Hv9ANTMzE8OHDy/xHOzevVsAEHv27CnXcyeEEAsXLiyxnmLFH44XL14scd9/P5gKCgpE48aNRefOnUv0YWhoKGJjY9XLzp49KwCIJUuWqJcpFAoxduzYp9baoUMHAUBs2LBBvezKlSvqOo8dO6ZeHh4eXuJ1998AJIQQjRo1Eh06dCixri1btggA4sCBAxrLMzMzhZWVlRg1apTG8qSkJKFQKDSW+/v7CycnJ/VrTggh9u7dKwCUOQABEL/88ot6WXp6unBychIBAQHqZRMmTBAARGRkpEaddevWFe7u7uoQvXDhQiGXy9Xv2W+++Ua4ubmJFi1aiA8//FAIIYRSqRRWVlZi4sSJ6r7K+n4tfn7btm0rioqKnjm+hIQE0ahRI/VrvV27diIzM1OkpaUJOzs7sWnTpmf2QdqFX4GRVho5cqT6/3K5HM2aNYMQAiNGjFAvt7KygpeXF65fv65e9vvvv8PR0VH9vTwAGBgYYPz48cjKysLBgwfV7fT19fHOO+9orOfdd9/VqCM1NRV//fUXBgwYgMzMTKSkpCAlJQUPHjxA165dce3aNdy5c+eFxzt69GjIZDL17Xbt2kGpVOLWrVsAgD///BMFBQV49913NdpNmDChRF9btmxBu3btUKtWLXW9KSkpCA4OhlKpxKFDhzTW27VrV7z77rsYMmQIPDw8MGfOHI3+/Pz8sG/fvjL9ODo6qh+Xm5tb6jFPxcfk5ObmPt+T9RQdOnRAw4YNSyw3MTFR///hw4dIT09Hu3btSj0jLTg4GB4eHurbTZo0gaWlpcbrzMrKCsePH8fdu3efWo+5uTkGDRqkvu3l5QUrKyv4+PigZcuW6uXF/398HRVh3759SEtLw+DBgzVeC3K5HC1btsSBAwcAAImJiYiOjsbQoUOhUCjUj3/ppZdKfT6fxNnZGX379lXftrS0RGhoKM6cOYOkpCQAj957LVq0QNu2bdXtzM3NMXr0aNy8eROXLl0C8O974OjRowCAyMhItGvXDu3atUNkZCQA4MKFC0hLS0O7du0APN/7ddSoUWU61qp27do4c+YMzpw5g4sXLyIiIgLm5uaYOXMmvLy8MHDgQBw+fBgtW7aEq6srxo8fj4KCgjI/d1T1eBA0aaU6depo3FYoFDA2NoatrW2J5Q8ePFDfvnXrFurXrw89Pc1s7+Pjo76/+F8nJyeYm5trtPPy8tK4HRsbCyEEPv30U3z66ael1nrv3j24uLiUY3Ql/Xe8xccaPHz4UKPu+vXra7Szs7PTOC4BAK5du4Zz587Bzs7uifU+bsWKFfDw8MC1a9dw9OhRjbBQXEtwcHA5R/QodJR2nE9eXp76/opWt27dUpfv2rULs2fPRnR0tEZNj4fJYv/dFsCj56B4WwDAggULMHToULi6uiIwMBA9evRAaGgo6tWrp/G42rVrl1iHQqGAq6triWUANNZREYrPtuvcuXOp91taWgJ48usLePSeKOvUBZ6eniXG26BBAwCP5thydHTErVu3NMJfscffo40bN0bTpk1hamqKyMhIdO3aFZGRkZg5cyYcHR2xZMkS5OXlqYNQcZh6nvfrk14zpTEwMIC/v7/69pUrV7B06VIcPXoUqampCAkJwdSpU9GpUycMGzYMX3zxBWbOnFnm/qlqMQCRVirtL7In/ZUmhKi0OooPGp48eTK6du1aahtPT88XXk9Fjk2lUuGll15Sn63yX8UfSMUiIiLUoeD8+fNo3bq1xv0FBQVITU0t07rt7OzUY3FyckJiYmKJNsXLnJ2dy9RneZQWqiIjI9GrVy+0b98eS5cuhZOTEwwMDLBq1apSz0Qry7YYMGAA2rVrh19//RV79+7FwoULMX/+fGzbtg3du3d/Zl9V9Voufv2uW7dOY+9cMX197f0IMDAwQMuWLXHo0CHExsYiKSkJ7dq1g4ODAwoLC3H8+HFERkbC29tbHfaf5/36IkF84sSJePPNN9G0aVOsW7cO1tbWmDZtGgDggw8+YADSctr76id6Dm5ubjh37hxUKpXGXqDiU1OL51Rxc3PD/v37kZWVpbEXKCYmRqO/4r/oDQwMnmsvSEUprvvatWsaexnu379fYq+Bh4cHsrKyylRvYmIi3n33Xbz88sswNDRUf3A8PvfM0aNH0alTpzLVeePGDfWswf7+/oiMjCyxLY4fPw5TU9MSQawsSttj8yy//PILjI2NER4ervGV3KpVq8rd1+OcnJwwZswYjBkzBvfu3UPTpk3xxRdfaASgivak8T9pefFXefb29k99PTz++vqv/74nnqZ4D8zj9Vy9ehUA1K8LNze3Uvv873sUePQ12Pz58/Hnn3/C1tYW3t7ekMlkaNSoESIjIxEZGYlXXnlF3b4q36+7du3C0aNH1c/Z3bt34eTkpL7f2dm5Qr4ep8rDY4CoRunRoweSkpKwefNm9bKioiIsWbIE5ubm6NChg7pdUVERli1bpm6nVCqxZMkSjf7s7e3RsWNHLF++vNS9Gf89Vb2yBAcHw8DAAEuWLNHYS7B48eISbQcMGICoqCiEh4eXuC8tLQ1FRUXq26NGjYJKpcKKFSvwww8/QF9fHyNGjNBYx/MeA/Tqq68iOTlZ4zTolJQUbNmyBT179nyuOZGK52gpz0SIcrkcMpkMSqVSvezmzZvYvn17udcPPHqdpKenayyzt7eHs7NzuU/tLy8zM7NSx/6k56Vr166wtLTEnDlzUFhYWOJxxa9fJycn+Pv7Y82aNRpj27dvn/qYnLK4e/euxqnzGRkZWLt2Lfz9/dWvjR49euDEiROIiopSt8vOzsYPP/wAd3d3jWOO2rVrh/z8fCxevBht27ZVB6t27dph3bp1uHv3rvr4H6Dq3q8FBQWYNGkSPvnkE9jb2wMAHBwcEBsbq35/Xb58udS9bqQ9uAeIapTRo0dj+fLlCAsLw+nTp+Hu7o6tW7fiyJEjWLx4MSwsLAAAPXv2RJs2bTB16lTcvHlTPV/Jfz/YAOC7775D27Zt4evri1GjRqFevXpITk5GVFQUbt++jbNnz1b6uOzs7DB58mTMnTsXr7zyCnr06IEzZ87gjz/+KHFc1JQpU/Dbb7/hlVdeQVhYGAIDA5GdnY3z589j69atuHnzJmxtbbFq1Srs3r0bq1evVs9dsmTJErz55ptYtmwZxowZA+D5jwF69dVX0apVKwwbNgyXLl2Cra0tli5dCqVSWeJrgbCwMKxZs0ZjD1JpAgMDAQAff/wxBg0aBAMDA/Ts2fOJk9cBQEhICBYtWoRu3brh9ddfx7179/Ddd9/B09MT586dK/e4MjMzUbt2bbz66qvw8/ODubk5/vzzT5w8ebLUeakqUmBgIJYtW4bZs2fD09MT9vb26Ny5M/z9/SGXyzF//nykp6fDyMgInTt3hr29PZYtW4YhQ4agadOmGDRoEOzs7BAfH4/du3ejTZs2+PbbbwEAc+fORUhICNq2bYvhw4cjNTUVS5YsQaNGjTTm2HmaBg0aYMSIETh58iQcHBywcuVKJCcna+xtmzp1KjZu3Iju3btj/PjxsLa2Vm/7X375RWNvYevWraGvr4+YmBiMHj1avbx9+/bqP14eD0BA1bxf//e//wEA3nvvPfWyHj16YOzYsXj99dcRFBSEWbNmaZzMQVpIqtPPiEpTfBr8/fv3NZYPHTpUmJmZlWjfoUMH0ahRI41lycnJYtiwYcLW1lYYGhoKX19fjdOLiz148EAMGTJEWFpaCoVCIYYMGaKea+S/7ePi4kRoaKhwdHQUBgYGwsXFRbzyyiti69at5Rrfk06DP3nypEa74lPPHz+tWalUipkzZwonJydhYmIiOnbsKC5cuFCiTyEenVY8bdo04enpKQwNDYWtra0ICgoSX375pSgoKBAJCQlCoVCInj17lqixb9++wszMTFy/fr1cYytNamqqGDFihLCxsRGmpqaiQ4cOJcYqhBD9+/cXJiYm4uHDh8/sc9asWcLFxUXo6elpnCYO4Imnpq9YsULUr19fGBkZCW9vb7Fq1Sr1a+1xT+rj8ec4Pz9fTJkyRfj5+QkLCwthZmYm/Pz8xNKlSzUeU9prs7ivkJCQEsv/u+7SToNPSkoSISEhwsLCQgDQOCX+xx9/FPXq1RNyubzEa+fAgQOia9euQqFQCGNjY+Hh4SHCwsLEqVOnNGr45ZdfhI+PjzAyMhINGzYU27ZtE0OHDi3zafAhISEiPDxcNGnSRP1cb9mypUTbuLg48eqrrworKythbGwsWrRoUWJOpmLNmzcXAMTx48fVy27fvi0ACFdX11IfU5b365Pee8+SlJQkLCwsxG+//Vbivj/++EN4e3sLKysrERoaKrKzs8vVN1UtmRCVeAQpEVEZODg4IDQ0FAsXLpS6FHpO7u7uaNy4MXbt2iV1KURlwmOAiEhSFy9eRG5uLj788EOpSyEiHcJjgIgqQPEkb09iYmKiMcEc/atRo0bIyMiQugwi0jEMQEQV4PHTX0szdOhQrF69umqKISKiZ2IAIqoA+/bte+r9lTHpH5E2Kb5qPVF1wYOgiYiISOfwIGgiIiLSOfwKrBQqlQp3796FhYXFc029T0RERFVPCIHMzEw4OzuXuCj2fzEAleLu3bslrtZMRERE1UNCQoJ6hvsnYQAqRfHlEhISEmBpaSlxNURERFQWGRkZcHV1VX+OPw0DUCmKv/aytLRkACIiIqpmynL4Cg+CJiIiIp3DAEREREQ6hwGIiIiIdA4DEBEREekcBiAiIiLSOQxAREREpHMYgIiIiEjnMAARERGRzmEAIiIiIp3DAEREREQ6hwGIiIiIdA4DEBEREekcBiAiIiItp1IJZOQVIik9DwVFKqnLqRF4NXgiIqJKVKhUITOvCJl5hf/8+/j/C5GV/2hZxn9uP94+K79I3Z+eDHC2MoG7jRncbEzhbmOGOsX/WpvCxFAu4WirDwYgIiKiUgghkFeoQmZeITL+CSGPB5d/w8y/tx9v8+gxhcgrrLg9NnoyQCWA2w9zcfthLg7HlmzjaGlcIhi52ZjCzcYUFsYGFVZLdccARERENVpeoRKXEzOQllOIjFKCSolAk/9Pm7wiFKlEhdVhaiiHuZE+LIz1YWFsAAtjfVgaG5RYZm6sD8vHbxv9+38jfT3cz8rHrQc5uJmS/ejfB9mIT83BjZRsZOYVISkjD0kZeTh+I7VEDbbmhnCzMYObtSncbMzgbvvPvzamsDI1rLCxVgcyIUTFbd0aIiMjAwqFAunp6bC0tJS6HCIiKocipQrn7qTjaGwKjsY9wKlbD1/ouBk9GTRCSHFYKRlmHoUXCyMDjeXFIUZfXrmH3QohkJZTiJsPHgWjRz/Z6tsPsgue+niFicE/e4oeBaLH/7U1N4RMJqvU+itCeT6/GYBKwQBERFR9qFQCMcmZOBKbgqi4Bzh+I1XjmBkAsDU3gqPCSB1OzP/Z+/JvQNEMN+owY2wAM0N5tfjwf5aMvELE/xOMHoWibNz8JyQlZ+Q/9bGmhvJSg5GbjSkcLY2hp6cdzw8D0AtiACIi0l5CCNx6kIOjcQ9wJC4Fx+IelNi7oTAxQOt6NmjjaYPWHrbwsDOrESGmsuQWKBGfWjIY3XqQgztpuXhaUjDU1/v3K7V/jjV69H8zOFsZV/qer8eV5/ObxwAREZHWS87Iw9G4FByJfYCouAe4k5arcb+JgRwt6lqjjacNgjxs4eNkCbmW7JWoDkwM5fBytICXo0WJ+/KLlLj9MPdRMEr5JxilPtqTlJCag4IiFa7dy8K1e1klHquvJ4Or9T+h6D/HHdWuZQIjfenOWGMAIiIirZOWU4Bj1x/gSOwDHI1LQdz9bI37DeQyBNSphSAPG7TxtIVfbSsY6nNqu8pgpC+Hh505POzMS9xXpFThblreoz1HqTm4lfLY3qN/wtGNlGzcSMku8VgPOzPsf79jFYygdAxAREQkuez8Ipy8mYqjcY8Cz8W7GRpfu8hkgK+LAq09bNDGwxbN3GvB1JAfYVLTl+uhjo0p6tiYlrhPpRJIysh77GBszX/dbMwkqPhffPUQEVGVyy9SIjo+DUfiHiAqLgVn4tNKnHJe394cQR42CPK0Rau6NlCYcg6b6kRPTwZnKxM4W5mgtYeNxn1CCOQWKiWq7BEGICIiqnRKlcDFu+nqr7RO3kwtMUGgi5UJ2ng++kqrdT0b2FsaS1QtVTaZTCb5HjwGICIiqnBCCMTey3p0plZsCo5df4CMvP+emm6I1h62aOPx6MBlV2sTnqlFVYYBiIiIKkRCag6i/jk1/WjcA9zP1JxbxsJIHy3/OTU9yMMWDRzMGXhIMgxARET0XO5n5iPq+gP1jMvxqTka9xvp66G5u/WjA5c9bdHY2bJK54QhehoGICIiKpOMvEIcv56qnnE5JjlT4365ngz+rlaPDlz2sEVAHSsYG/DK5KSdGICIiKhURUoVjl1PVX+ldf52Gv57bdCGTpbquXia17WGuRE/Vqh64CuViIg05BUqseVUApYfuo7bDzVnXK5ra6YOPK3q2cDaTLeuIE41BwMQEREBADLzCrH+WDxWHL6BlKxHBzDXMjVAJ297BHnYIsjDBs5WJhJXSVQxGICIiHRcSlY+Vh25gbVRt5D5z6nqLlYmGN2+HgY0c4WJIY/joZqHAYiISEfdfpiDHw9dx+ZTCepJCT3tzfF2Bw/09neGAc/YohqMAYiISMfE3svEsojr2BF9R335Cb/aCrzT0RMvN3SAHq+iTjqAAYiISEecTUjD0ohY7L2UrL7QaBtPG4zp6IkgDxtOSkg6hQGIiKgGE0LgaNwDLI2IxZHYB+rlLzd0wJhOnvB3tZKuOCIJMQAREdVAKpXAvsvJWBoRh7MJaQAeTVTY298Z73TwQH0HC2kLJJIYAxARUQ1SqFTht+i7+P5gHK7dywLw6JIUg5q7YlT7eqhdy1TiCom0AwMQEVENkFeoxOaTCfjh0HXcSXs0eaGFkT5Cg9wwrE1d2JobSVwhkXZhACIiqsbScwux/tgtrDx8Aw+yCwAAtuZGGNG2Lt5oVQeWxgYSV0iknRiAiIiqofuZ+Vh55AbWR91CZv6jyQtr1zLBWx088FpgbV6ElOgZGICIiKqRhNQc/HDoOn4+lYD8okeTFzZwMMc7HT3Qs4kz9Dl5IVGZSP5OyczMxIQJE+Dm5gYTExMEBQXh5MmT6vtlMlmpPwsXLixT//PmzYNMJsOECRMqaQRERJXvanImJm6ORscvI7Du2C3kF6kQUMcKP4Y2w5732qNvQG2GH6JykHwP0MiRI3HhwgWsW7cOzs7OWL9+PYKDg3Hp0iW4uLggMTFRo/0ff/yBESNGoH///s/s++TJk1i+fDmaNGlSWeUTEVWqM/EPsTQiDvsuJauXtatvizEdPdGqnjUnLyR6TjIhiucDrXq5ubmwsLDAjh07EBISol4eGBiI7t27Y/bs2SUe06dPH2RmZmL//v1P7TsrKwtNmzbF0qVLMXv2bPj7+2Px4sVlqisjIwMKhQLp6emwtLQs15iIiF6UEAKHY1Ow9EAcoq4/mrxQJgO6NXLEmI6e8K2tkLhCIu1Uns9vSfcAFRUVQalUwtjYWGO5iYkJDh8+XKJ9cnIydu/ejTVr1jyz77FjxyIkJATBwcGlBqnH5efnIz8/X307IyOjjCMgIqo4KpVA+MUkLI2Iw/k76QAAfT0Z+ga44K0OHvC0N5e4QqKaQ9IAZGFhgdatW2PWrFnw8fGBg4MDNm7ciKioKHh6epZov2bNGlhYWKBfv35P7XfTpk34+++/NY4lepq5c+di5syZzzUGIqIXVVCkwvboO/j+YByu388GABgb6GFwizoY1a4enK1MJK6QqOaR/BigdevWYfjw4XBxcYFcLkfTpk0xePBgnD59ukTblStX4o033iixx+hxCQkJeO+997Bv376ntnvctGnTMGnSJPXtjIwMuLq6ln8wRETlkFugxKaT8fjx0HXcTc8DAFga62NokDvCgtxhw8kLiSqNpMcAPS47OxsZGRlwcnLCwIEDkZWVhd27d6vvj4yMRPv27REdHQ0/P78n9rN9+3b07dsXcvm/c2AolUrIZDLo6ekhPz9f477S8BggIqpM6TmFWBt1E6uO3kTqP5MX2lkYYWTbuni9ZR1YcPJCoudSbY4BepyZmRnMzMzw8OFDhIeHY8GCBRr3r1ixAoGBgU8NPwDQpUsXnD9/XmPZsGHD4O3tjQ8//PCZ4YeIqLLcy8jDisM38H/H45H1z+SFdaxN8VaHeujflJMXElUlyQNQeHg4hBDw8vJCbGwspkyZAm9vbwwbNkzdJiMjA1u2bMFXX31Vah9dunRB3759MW7cOFhYWKBx48Ya95uZmcHGxqbEciKiqhD/IAfLD8Vhy+nbKPhn8kJvRwu809EDIb5OnL+HSAKSB6D09HRMmzYNt2/fhrW1Nfr3748vvvgCBgb/7gLetGkThBAYPHhwqX3ExcUhJSWlqkomIiqTK0kZWBYRh51n70L1z8EGgW61MKajBzp723MOHyIJac0xQNqExwAR0Yu4nJiBL8NjsP/KPfWyDg3sMLaTJ1rUtZawMqKarVoeA0REVN0pVQI/HLqORftiUKgUkMmAHr5OeKeDBxq7cPJCIm3CAEREVAESUnMw6edonLz5EADwUkMHfNTDB3VtzSSujIhKwwBERPQChBDYcuo2Zu68iOwCJcyN9DG9Z0O8Glibx/gQaTEGICKi55SSlY9p286rL1Tawt0aXw3wg6u1qcSVEdGzMAARET2HfZeSMW3bOaRkFcBALsP7L3thVLt6kOtxrw9RdcAARERUDln5RZi96xI2nUwA8Gg+n0UD/NHQmWeMElUnDEBERGV06mYqJv4cjYTUXMhkwOh29TDp5QYw0ucMzkTVDQMQEdEzFBSp8PWfV7H8YBxUAnCxMsFXA/zQqp6N1KUR0XNiACIieoqYpExM3ByNS4kZAIBXA2tjes+GvGApUTXHAEREVAqVSmDlkRtYEB6DgiIVrM0MMaevL7o1dpS6NCKqAAxARET/cSctF5N/Pouo6w8AAJ297TGvvy/sLYwlroyIKgoDEBHRP4QQ+PXMHUzfcRGZ+UUwNZTjk5CGGNzClZMaEtUwDEBERAAeZhfg4+3n8fv5JABA0zpWWDTAH+68lAVRjcQAREQ670DMPXyw9RzuZ+ZDX0+GiS81wFvt60Ffrid1aURUSRiAiEhn5RQU4Yvdl/F/x+MBAJ725lg80J9XbifSAQxARKSTzsQ/xKSfz+JGSjYAYHibuvigmxeMDTipIZEuYAAiIp1SqFRhyf5r+C4iDkqVgJPCGF++5oc2nrZSl0ZEVYgBiIh0Ruy9LEzcHI3zd9IBAH38nTGzd2MoTDipIZGuYQAiohpPpRJYG3UTc/+4gvwiFRQmBviib2O80sRZ6tKISCIMQERUoyWl52HK1rOIvJYCAGhX3xYLX/WDo4KTGhLpMgYgIqqxfjt7F5/8eh4ZeUUwNtDDRz18MKSVGyc1JCIGICKqedJzCvHJjgvYefYuAMCvtgKLBvrDw85c4sqISFswABFRjRJ57T6mbDmHpIw8yPVkGNfJE+M6e8KAkxoS0WMYgIioRsgtUGL+nitYffQmAKCurRm+HugPf1crSesiIu3EAERE1d752+mYsPkM4u4/mtRwSCs3TOvhDVND/oojotLxtwMRVVtFShWWRcThf/uvoUglYG9hhAWvNkFHL3upSyMiLccARETV0o2UbEz6ORpn4tMAACG+TpjdpzFqmRlKWxgRVQsMQERUrQghsOFEPGbvuozcQiUsjPUxq3dj9PZ35untRFRmDEBEVG3cy8jDh7+cw4GY+wCAIA8bfPmaH5ytTCSujIiqGwYgIqoW/jifiI9+PY+HOYUw1NfDh928MSzIHXp63OtDROXHAEREWi0jrxAzfruIbX/fAQA0crbE1wP90cDBQuLKiKg6YwAiIq0VFfcAk7ecxZ20XOjJgHc6euC9Lg1gqM9JDYnoxTAAEZHWyStU4qu9Mfjp8A0IAdSxNsXXA/0Q6GYtdWlEVEMwABGRVrl4Nx2TNp9FTHImAGBwC1d8EtIQZkb8dUVEFYe/UYhIa6w6cgNzfr+MQqWArbkh5vdvgi4+DlKXRUQ1EAMQEUlOCIEF4TFYFhEHAHi5oQPm9vOFjbmRxJURUU3FAEREklKqBD7ZfgEbT8QDAD7o5oV3OnhwUkMiqlQMQEQkmfwiJSZtPovd5xMhkwFz+vpicIs6UpdFRDqAAYiIJJGdX4S3159G5LUUGMhl+N+gAPTwdZK6LCLSEQxARFTl0nIKELbqJKIT0mBqKMfyIYFoV99O6rKISIcwABFRlUpKz0PoyuO4mpwFhYkBVg9rjoA6taQui4h0DAMQEVWZGynZGLLiOG4/zIWDpRHWjWjJS1oQkSQYgIioSly8m46hK08gJasA7jamWDeiJVytTaUui4h0FAMQEVW6EzdSMWLNSWTmFaGhkyXWDG8BOwvO8UNE0mEAIqJK9deVZLyz/m/kF6nQ3L0WfhraHAoTA6nLIiIdxwBERJVm+5k7mLzlLIpUAp297fHd601hYiiXuiwiIgYgIqoca47exPTfLgIA+vg7Y+FrfjCQ60lcFRHRIwxARFShhBD43/5rWPznNQBAWJA7PnulIfT0eGkLItIeDEBEVGFUKoHPd13C6qM3AQATgxtgfBdPXteLiLQOAxARVYhCpQpTtpzF9ui7AICZvRphaJC7tEURET0BAxARvbDcAiXGbvgbf125B309Gb58zQ99AlykLouI6IkYgIjohaTnFmLkmpM4efMhjPT1sOzNpujs7SB1WURET8UARETP7X5mPkJXnsDlxAxYGOtjZVhzNHe3lrosIqJnYgAioueSkJqDISuO4+aDHNiaG2HN8OZo5KyQuiwiojJhACKicruanIkhK44jOSMftWuZYP2IlnC3NZO6LCKiMmMAIqJyORP/EMNWn0RaTiEaOJhj7fCWcFQYS10WEVG5MAARUZlFXruPt9adRk6BEv6uVlg9rDmsTA2lLouIqNwYgIioTH4/n4j3Np1BoVKgXX1bfP9mIMyM+CuEiKon/vYiomfaeCIeH/16HkIAIb5OWDTQD0b6vKgpEVVfDEBE9ERCCCw7GIcFe2IAAINb1MHsPo0h53W9iKiaYwAiolIJITD3jyv44dB1AMCYjh6Y0tWL1/UiohqBAYiISihSqvDRr+fx86nbAICPe/hgVPt6EldFRFRx9KQuIDMzExMmTICbmxtMTEwQFBSEkydPqu+XyWSl/ixcuPCJfc6dOxfNmzeHhYUF7O3t0adPH8TExFTFcIiqvbzCR9f1+vnUbejJgAWvNmH4IaIaR/IANHLkSOzbtw/r1q3D+fPn8fLLLyM4OBh37twBACQmJmr8rFy5EjKZDP37939inwcPHsTYsWNx7Ngx7Nu3D4WFhXj55ZeRnZ1dVcMiqpay8oswfPVJhF9MhqFcD0vfCMSAZq5Sl0VEVOFkQggh1cpzc3NhYWGBHTt2ICQkRL08MDAQ3bt3x+zZs0s8pk+fPsjMzMT+/fvLvJ779+/D3t4eBw8eRPv27Z/ZPiMjAwqFAunp6bC0tCzzeoiqs9TsAoStOoFzt9NhZijHj6HNEORpK3VZRERlVp7Pb0mPASoqKoJSqYSxseYssiYmJjh8+HCJ9snJydi9ezfWrFlTrvWkp6cDAKyteZFGotLcTcvFkBXHEXc/G7VMDbB6WAv4uVpJXRYRUaWRNABZWFigdevWmDVrFnx8fODg4ICNGzciKioKnp6eJdqvWbMGFhYW6NevX5nXoVKpMGHCBLRp0waNGzcutU1+fj7y8/PVtzMyMso/GKJqKu5+Fob8dBx30/PgpDDGuhEt4WlvLnVZRESVSvJjgNatWwchBFxcXGBkZIRvvvkGgwcPhp5eydJWrlyJN954o8Qeo6cZO3YsLly4gE2bNj2xzdy5c6FQKNQ/rq485oF0w4U76RjwfRTupuehnq0Ztr4TxPBDRDpB0mOAHpednY2MjAw4OTlh4MCByMrKwu7du9X3R0ZGon379oiOjoafn1+Z+hw3bhx27NiBQ4cOoW7duk9sV9oeIFdXVx4DRDVaVNwDjFp7Cln5RWjsYok1w1rAxtxI6rKIiJ5btTkG6HFmZmYwMzPDw4cPER4ejgULFmjcv2LFCgQGBpYp/Agh8O677+LXX39FRETEU8MPABgZGcHIiL/4SXfsu5SMsRv+RkGRCq3qWePH0GawMDaQuiwioioj+Vdg4eHh2LNnD27cuIF9+/ahU6dO8Pb2xrBhw9RtMjIysGXLFowcObLUPrp06YJvv/1WfXvs2LFYv349NmzYAAsLCyQlJSEpKQm5ubmVPh4ibffL6dt4e/1pFBSpEOzjgNXDWjD8EJHOkTwApaenY+zYsfD29kZoaCjatm2L8PBwGBj8+wt506ZNEEJg8ODBpfYRFxeHlJQU9e1ly5YhPT0dHTt2hJOTk/pn8+bNlT4eIm32U+R1vL/lLJQqgf5Na+P7N5vC2IAXNSUi3aM1xwBpE84DRDWNEAJf7b2Kbw/EAgBGtK2Lj3v4QI8XNSWiGqRaHgNERJVDqRKY/tsFrD8WDwCY0tULYzp68KKmRKTTGICIarCCIhUm/RyNXecSIZMBs3o3xput3KQui4hIcgxARDVUTkER3ln/Nw5evQ8DuQyLBvijp5+z1GUREWkFBiCiGig9pxDD15zE6VsPYWIgx/dDAtGhgZ3UZRERaQ0GIKIa5l5GHoasOIGY5ExYGutj1bDmCHTjdfCIiB7HAERUg9x6kI03VxxHQmou7C2MsHZEC3g78kxGIqL/YgAiqiEuJ2YgdOUJ3M/MRx1rU6wf0RJ1bEylLouISCsxABHVAKdvpWLYqpPIyCuCt6MF1g5vAXvLsl80mIhI1zAAEVVzMUmZCFt5Epn5RWjmVgsrhjaHwpSXtiAiehoGIKJq7H5mPoavfhR+WrhbY83wFjAx5KUtiIieRfJrgRHR88krVGLU2lO4k5aLurZmWD4kkOGHiKiMGICIqiGVSmDSz9GITkiDlakBVoY1Ry0zQ6nLIiKqNhiAiKqhhXtj8Pv5JBjIZVj+ZiDq2ppJXRIRUbXCAERUzfx8MgHLIuIAAPP7N0HLejYSV0REVP0wABFVI0djU/DRr+cBAOM7e6Jf09oSV0REVD0xABFVE7H3svD2+tMoUgn08nPGxJcaSF0SEVG1xQBEVA08yHp0untG3qO5fha82gQymUzqsoiIqi0GICItl1eoxOh1pxGfmoM61qZYPiQQxgY83Z2I6EUwABFpMSEEPth6DqdvPYSlsT5WhjWHjbmR1GUREVV7DEBEWuzrfVfx29m70NeT4fs3A+Fpby51SURENQIDEJGW+uX0bXzzVywAYE4/XwR52kpcERFRzcEARKSFjl9/gKnbzgEA3unogQHNXCWuiIioZmEAItIyN1Ky8db60yhUCoT4OmHKy15Sl0REVOMwABFpkYfZBRi++iTScgrh72qFrwb4QU+Pp7sTEVU0BiAiLZFfpMRb60/jRko2XKxM8GNoM57uTkRUSRiAiLSAEALTfjmPEzdSYWGkj1XDmsPOgqe7ExFVFgYgIi2w5K9YbDtzB3I9GZa+2RQNHCykLomIqEZjACKS2I7oO1i07yoAYFbvxmhX307iioiIaj4GICIJnb6ViilbH53uPqpdXbzeso7EFRER6QYGICKJ3HqQjVFrT6OgSIWXGzpgancfqUsiItIZDEBEEkjPKcSw1SeRml0AXxcFFg/yh5ynuxMRVRkGIKIqVlCkwtvrT+P6/Ww4KYyxYmgzmBrqS10WEZFOYQAiqkJCCHyy/Tyirj+AmaEcK8Oaw97SWOqyiIh0DgMQURVadjAOP5+6DT0Z8O3rTeHjZCl1SUREOokBiKiK/H4+EQv2xAAAZvRqhE7e9hJXRESkuxiAiKrAmfiHmLg5GgAwrI07Qlu7S1oPEZGuYwAiqmQJqTkYtfYU8otU6OJtj09CGkpdEhGRzmMAIqpEGXmFGL76JFKyCtDQyRLfDA7g6e5ERFqAAYiokhQqVRj7f3/j2r0sOFgaYUVYM5gZ8XR3IiJtwABEVAmEEJj+20VEXkuBiYEcK4Y2h5PCROqyiIjoH+X+c1SlUuHgwYOIjIzErVu3kJOTAzs7OwQEBCA4OBiurq6VUSdRtfJT5A1sOB4PmQz4ZnAAGrsopC6JiIgeU+Y9QLm5uZg9ezZcXV3Ro0cP/PHHH0hLS4NcLkdsbCymT5+OunXrokePHjh27Fhl1kyk1cIvJmHOH5cBAJ+ENMRLDR0kroiIiP6rzHuAGjRogNatW+PHH3/ESy+9BAMDgxJtbt26hQ0bNmDQoEH4+OOPMWrUqAotlkjbnb+djgmboiEEMKSVG4a3cZe6JCIiKoVMCCHK0vDy5cvw8Snb1aoLCwsRHx8PDw+PFypOKhkZGVAoFEhPT4elJWfqpbK5m5aLPt8dwb3MfHRoYIcVQ5tBX87D7IiIqkp5Pr/L/Nu5rOEHAAwMDKpt+CF6Hln5RRi++iTuZebD29EC374ewPBDRKTFXuic3KKiIixfvhwRERFQKpVo06YNxo4dC2NjXtyRdEeRUoVxG/7GlaRM2JobYUVYc1gYl/yKmIiItMcLBaDx48fj6tWr6NevHwoLC7F27VqcOnUKGzdurKj6iLTerF2XEBFzH8YGelgxtBlcrHi6OxGRtitXAPr111/Rt29f9e29e/ciJiYGcrkcANC1a1e0atWqYisk0mKrjtzAmqhbkMmAxQP94edqJXVJRERUBuU6SGHlypXo06cP7t69CwBo2rQp3n77bezZswc7d+7EBx98gObNm1dKoUTaZv/lZMzadQkAMLWbN7o1dpK4IiIiKqtyBaCdO3di8ODB6NixI5YsWYIffvgBlpaW+Pjjj/Hpp5/C1dUVGzZsqKxaibTGxbvpeHfjGagEMLiFK0a3ryd1SUREVA5lPg3+cWlpafjggw9w9uxZfP/99wgICKiM2iTD0+DpaZLS89DnuyNIyshDW09brBrWHAY844uISHKVchr846ysrPDDDz9g4cKFCA0NxZQpU5CXl/dcxRJVJ9n5RRix5iSSMvJQ394c373RlOGHiKgaKtdv7vj4eAwYMAC+vr544403UL9+fZw+fRqmpqbw8/PDH3/8UVl1EklOqRJ4b1M0Lt7NgI2ZIVaGNYfChKe7ExFVR+UKQKGhodDT08PChQthb2+Pt956C4aGhpg5cya2b9+OuXPnYsCAAZVVK5Gk5vx+GX9eToahvh5+CG0GV2tTqUsiIqLnVK7T4E+dOoWzZ8/Cw8MDXbt2Rd26ddX3+fj44NChQ/jhhx8qvEgiqa07dgsrDt8AACwa4IdAt1oSV0RERC+iXAEoMDAQn332GYYOHYo///wTvr6+JdqMHj26wooj0gYRMfcw47eLAIApXb3wShNniSsiIqIXVa6vwNauXYv8/HxMnDgRd+7cwfLlyyurLiKtcCUpA+M2nIFSJfBqYG2M6chr3BER1QTl2gPk5uaGrVu3VlYtRFrlXmYeRqw+haz8IrSqZ405fX0hk8mkLouIiCpAmfcAZWdnl6vj8rYn0ia5BUqMWnMKd9JyUc/WDN+/GQhDfZ7uTkRUU5T5N7qnpyfmzZuHxMTEJ7YRQmDfvn3o3r07vvnmmwopkKiqqVQCEzdH4+ztdNQyNcCqYc1hZWoodVlERFSByvwVWEREBD766CPMmDEDfn5+aNasGZydnWFsbIyHDx/i0qVLiIqKgr6+PqZNm4a33nqrMusmqjTzw69gz8UkGMofne7uZmMmdUlERFTByn0pjPj4eGzZsgWRkZG4desWcnNzYWtri4CAAHTt2hXdu3dXXx2+uuKlMHTXxhPxmLbtPIBHV3fvE+AicUVERFRW5fn8fq5rgdV0DEC66fC1FAxddQJKlcCE4PqYENxA6pKIiKgcKv1aYBUpMzMTEyZMgJubG0xMTBAUFISTJ0+q75fJZKX+LFy48Kn9fvfdd3B3d4exsTFatmyJEydOVPZQqBq7lpyJd/7vNJQqgb4BLnivS32pSyIiokokeQAaOXIk9u3bh3Xr1uH8+fN4+eWXERwcjDt37gAAEhMTNX5WrlwJmUyG/v37P7HPzZs3Y9KkSZg+fTr+/vtv+Pn5oWvXrrh3715VDYuqkZSsfAxbfRKZeUVo7l4L8/rzdHcioppO0q/AcnNzYWFhgR07diAkJES9PDAwEN27d8fs2bNLPKZPnz7IzMzE/v37n9hvy5Yt0bx5c3z77bcAAJVKBVdXV7z77ruYOnXqM+viV2C6I69QicE/HsOZ+DS42Zji1zFtYG3GM76IiKqjavMVWFFREZRKJYyNjTWWm5iY4PDhwyXaJycnY/fu3RgxYsQT+ywoKMDp06cRHBysXqanp4fg4GBERUWV+pj8/HxkZGRo/FDNJ4TAlK3ncCY+DQoTA6wKa87wQ0SkI8oVgD7//HPk5ORU2MotLCzQunVrzJo1C3fv3oVSqcT69esRFRVV6nxDa9asgYWFBfr16/fEPlNSUqBUKuHg4KCx3MHBAUlJSaU+Zu7cuVAoFOofV1fXFxsYVQubTyZg59m7MJDL8P2bgahnZy51SUREVEXKFYBmzpyJrKysCi1g3bp1EELAxcUFRkZG+OabbzB48GDo6ZUsbeXKlXjjjTdK7DF6UdOmTUN6err6JyEhoUL7J+1zMyUbn++6BACY/LIXWnvYSFwRERFVpXJdC6wyDhfy8PDAwYMHkZ2djYyMDDg5OWHgwIGoV6+eRrvIyEjExMRg8+bNT+3P1tYWcrkcycnJGsuTk5Ph6OhY6mOMjIxgZGT0YgOhaqNIqcKEzdHIKVCiVT1rjGxX79kPIiKiGqXcxwBV1tkxZmZmcHJywsOHDxEeHo7evXtr3L9ixQoEBgbCz8/vqf0YGhoiMDBQ4yBplUqF/fv3o3Xr1pVSO1Uv3x2IQ3RCGiyM9fHVAH/I9XjGFxGRrinXHiAAaNCgwTNDUGpqapn7Cw8PhxACXl5eiI2NxZQpU+Dt7Y1hw4ap22RkZGDLli346quvSu2jS5cu6Nu3L8aNGwcAmDRpEoYOHYpmzZqhRYsWWLx4MbKzszX6JN10Jv4hvvnrGgBgdp/GcLEykbgiIiKSQrkD0MyZM6FQKCqsgPT0dEybNg23b9+GtbU1+vfvjy+++AIGBgbqNps2bYIQAoMHDy61j7i4OKSkpKhvDxw4EPfv38dnn32GpKQk+Pv7Y8+ePSUOjCbdkp1fhImbo6FUCfT0c0Zvf17mgohIV5VrHiA9PT0kJSXB3t6+MmuSHOcBqpmmbTuPjSfi4aQwxp732kNhavDsBxERUbVRafMAcXZcqq7+vJSMjSfiAQBfvebH8ENEpOPKFYB43VSqju5n5uPDX84BAEa1q4sgT1uJKyIiIqmV6xgglUpVWXUQVQohBKb+cg4Psgvg7WiByV29pC6JiIi0gOQXQyWqTBtOxGP/lXswlOth8SB/GOnLpS6JiIi0AAMQ1VjX72dh9q7LAIAPunnB25EHtBMR0SMMQFQjFSpVmLg5GrmFSgR52GB4m7pSl0RERFqEAYhqpCV/xeLs7XRYGuvjqwF+0ONsz0RE9BgGIKpxTt96iO8OxAIAvujrCycFZ3smIiJNDEBUo2TnF2HSz49me+7j74yefs5Sl0RERFqIAYhqlFm7LuHWgxy4WJlgZu/GUpdDRERaigGIaozwi0nYdDIBMhnw1QA/KEw42zMREZWOAYhqhHuZeZi27TwAYHT7emhVz0biioiISJsxAFG1J4TAB1vPITW7AD5Olpj0UgOpSyIiIi3HAETV3vrj8YiIuQ9DfT38j7M9ExFRGTAAUbUWdz8LX+y+BACY2s0bDRwsJK6IiIiqAwYgqrYKlSpM2BSNvEIV2nraIizIXeqSiIiommAAomrrf39ew/k76VCYGODL1zjbMxERlR0DEFVLp26mYmnEo9me5/T1haPCWOKKiIioOmEAomonM68QE3+OhkoA/Zq6IKSJk9QlERFRNcMARNXO5zsvISE1Fy5WJpjRq5HU5RARUTXEAETVyp4Lidhy+jZkMuDrgf6wNOZsz0REVH4MQFRtJGfkYeo/sz2/3cEDLepaS1wRERFVVwxAVC0IITBl6zmk5RSikbMlJgZztmciInp+DEBULayNuoVDV+/D6J/Zng31+dIlIqLnx08R0nrXkjMx5/fLAICPevjA056zPRMR0YthACKtVlCkwoTN0cgvUqF9AzuEtnaTuiQiIqoBGIBIq33951VcvJuBWqYGWPhqE8hknO2ZiIheHAMQaa0TN1Lx/cE4AMDcfr5wsORsz0REVDEYgEgrZeQVYuLmaAgBvBZYG90ac7ZnIiKqOAxApJVm/HYRd9Jy4Wptgumc7ZmIiCoYAxBpnd3nErHt7zvQkwFfD/CHuZG+1CUREVENwwBEWiUpPQ8f/fpotucxHT3RzJ2zPRMRUcVjACKtoVIJTNl6Fum5hfB1UeC94PpSl0RERDUUAxBpjdVHbyLyWgqMDfTw9UB/GMj58iQiosrBTxjSCleTMzFvzxUAwMc9fOBpby5xRUREVJMxAJHk8ouUeG9TNAqKVOjoZYc3W3G2ZyIiqlwMQCS5Rfuu4nJiBqzNDLGAsz0TEVEVYAAiSR27/gA/HLoO4NFsz/YWnO2ZiIgqHwMQSSY9txDv/3wWQgADm7miayNHqUsiIiIdwQBEkpm+4wLupOXCzcYUn/VsKHU5RESkQxiASBK/nb2L7dF3oScDFg3whxlneyYioirEAERV7m5aLj75Z7bncZ3rI9CtlsQVERGRrmEAoiqlUglM3nIWGXlF8KutwLudPaUuiYiIdBADEFWplUdu4GjcA5gYyDnbMxERSYafPlRlriRlYMGeGADAJ6/4oJ4dZ3smIiJpMABRlcgrVGLCpmgUKFXo4m2P11vUkbokIiLSYQxAVCW+2huDK0mZsDEzxLz+nO2ZiIikxQBEle5obAp+jLwBAJjfvwnsLIwkroiIiHQdAxBVqvScQry/5SwAYHCLOghu6CBxRURERAxAVMk+2XEBiel5qGtrhk9f8ZG6HCIiIgAMQFSJdkTfwc6zdyHXk+Hrgf4wNeRsz0REpB0YgKhS3EnLxSfbLwAA3u3sCX9XK2kLIiIiegwDEFU4lUrg/Z+jkZlXBH9XK4zrxNmeiYhIuzAAUYX76fB1HLueClNDORYP9Ic+Z3smIiItw08mqlCX7mZgYfij2Z4/e6Uh3G3NJK6IiIioJAYgqjB5hUpM2HwGhUqBYB8HDGzuKnVJREREpWIAogqzYE8MriZnwdbcCPP7+3K2ZyIi0loMQFQhDl9Lwcojj2Z7XvCqL2zMOdszERFpLwYgemFpOQV4f0s0AODNVnXQ2ZuzPRMRkXZjAKIXIoTAx79eQHJGPurZmuHjHg2lLomIiOiZGIDohfx65g52n0+Evp4Miwf5w8RQLnVJREREz8QARM8tITUH03dcBAC816U+mtS2krYgIiKiMpI0AGVmZmLChAlwc3ODiYkJgoKCcPLkSY02ly9fRq9evaBQKGBmZobmzZsjPj7+qf0uXrwYXl5eMDExgaurKyZOnIi8vLzKHIrOUaoE3v/5LDLzixDoVgvvdPSQuiQiIqIyk/TqlCNHjsSFCxewbt06ODs7Y/369QgODsalS5fg4uKCuLg4tG3bFiNGjMDMmTNhaWmJixcvwtjY+Il9btiwAVOnTsXKlSsRFBSEq1evIiwsDDKZDIsWLarC0dVsPxy6jhM3U2FmKMfXAzjbMxERVS8yIYSQYsW5ubmwsLDAjh07EBISol4eGBiI7t27Y/bs2Rg0aBAMDAywbt26Mvc7btw4XL58Gfv371cve//993H8+HEcPny4TH1kZGRAoVAgPT0dlpaWZR+Ujoi9l4Xu/zuEQqXAglebYEAzTnhIRETSK8/nt2R/thcVFUGpVJbYm2NiYoLDhw9DpVJh9+7daNCgAbp27Qp7e3u0bNkS27dvf2q/QUFBOH36NE6cOAEAuH79On7//Xf06NGjsoaiU4QQmLnzIgqVAp287PBaYG2pSyIiIio3yQKQhYUFWrdujVmzZuHu3btQKpVYv349oqKikJiYiHv37iErKwvz5s1Dt27dsHfvXvTt2xf9+vXDwYMHn9jv66+/js8//xxt27aFgYEBPDw80LFjR3z00UdPfEx+fj4yMjI0fqh0+y4lI/JaCgzlepjesxFneyYiompJ0gM31q1bByEEXFxcYGRkhG+++QaDBw+Gnp4eVCoVAKB3796YOHEi/P39MXXqVLzyyiv4/vvvn9hnREQE5syZg6VLl+Lvv//Gtm3bsHv3bsyaNeuJj5k7dy4UCoX6x9WVX+mUJq9QiVm7LwEARrarywudEhFRtSVpAPLw8MDBgweRlZWFhIQEnDhxAoWFhahXrx5sbW2hr6+Phg01J9bz8fF56llgn376KYYMGYKRI0fC19cXffv2xZw5czB37lx1qPqvadOmIT09Xf2TkJBQoeOsKX44dB0JqblwtDTG2E6eUpdDRET03CQ9C6yYmZkZzMzM8PDhQ4SHh2PBggUwNDRE8+bNERMTo9H26tWrcHNze2JfOTk50NPTzHVy+aPJ+Z50vLeRkRGMjHjtqqe5k5aLpRGxAICPQnxgZqQVLx0iIqLnIumnWHh4OIQQ8PLyQmxsLKZMmQJvb28MGzYMADBlyhQMHDgQ7du3R6dOnbBnzx7s3LkTERER6j5CQ0Ph4uKCuXPnAgB69uyJRYsWISAgAC1btkRsbCw+/fRT9OzZUx2EqPzm7L6MvEIVWtS1Rs8mTlKXQ0RE9EIkDUDp6emYNm0abt++DWtra/Tv3x9ffPEFDAwMAAB9+/bF999/j7lz52L8+PHw8vLCL7/8grZt26r7iI+P19jj88knn0Amk+GTTz7BnTt3YGdnh549e+KLL76o8vHVFEfjUrD7fCL0ZMAMHvhMREQ1gGTzAGkzzgP0ryKlCiHfHEZMciaGtHLDrD6NpS6JiIioVNViHiCqHtYdu4WY5EzUMjXA+y83kLocIiKiCsEARE/0ICsfi/ZdBQBM7uoFK1NDiSsiIiKqGAxA9EQLw2OQmVeERs6WGNS8jtTlEBERVRgGICrVudtp2Hzq0XxIM3s1glyPBz4TEVHNwQBEJahUAtN/uwghgD7+zmjmbi11SURERBWKAYhK2HbmDs7Ep8HMUI5pPXykLoeIiKjCMQCRhsy8Qsz74woA4N0u9eFgaSxxRURERBWPAYg0fLP/GlKy8lHX1gzD2rhLXQ4REVGlYAAitdh7WVh15CYA4LOeDWGkz0uHEBFRzcQARAAeXSh25s6LKFIJBPvYo5OXvdQlERERVRoGIAIA7L2UjMhrKTCU6+GTkIZSl0NERFSpGIAIeYVKzNp1CQAwqn1duNuaSVwRERFR5WIAIvxw6DpuP8yFo6UxxnT0lLocIiKiSscApOPupOViaUQsAOCjEB+YGelLXBEREVHlYwDScXN2X0ZeoQot6lqjZxMnqcshIiKqEgxAOuxobAp2n0+EngyY0bMRZDJe74uIiHQDA5COKlKqMGPnRQDAm63c0NDZUuKKiIiIqg4DkI5ad+wWriZnoZapASa91EDqcoiIiKoUA5AOepCVj0X7rgIAJnf1gpWpocQVERERVS0GIB20MDwGmXlFaORsiUHN60hdDhERUZVjANIx526nYfOpBADAzF6NINfjgc9ERKR7GIB0iEolMP23ixAC6Bvggmbu1lKXREREJAkGIB2y7cwdnIlPg5mhHFO7e0tdDhERkWQYgHREZl4h5v1xBQDwbpf6cLA0lrgiIiIi6TAA6Yhv9l9DSlY+6tmaYXibulKXQ0REJCkGIB0Qey8Tq47cBAB82rMhDPW52YmISLfxk7CGE0Jg5s5LKFIJBPvYo5OXvdQlERERSY4BqIbbeykZkddSYCjXw6evNJS6HCIiIq3AAFSD5RUqMWvXJQDAqPZ14WZjJnFFRERE2oEBqAb74dB13H6YCyeFMcZ28pS6HCIiIq3BAFRD3X6Yg6URsQCAj3r4wNRQX+KKiIiItAcDUA019/cryCtUoWVda7zSxEnqcoiIiLQKA1ANdDQ2BbvPJ0JPBszo1QgyGa/3RURE9DgGoBqmSKnCjJ0XAQBvtnKDj5OlxBURERFpHwagGmbdsVu4mpyFWqYGmPRSA6nLISIi0koMQDVISlY+Fu27CgCY0tUbVqaGEldERESknRiAapAvw2OQmVeExi6WGNjcVepyiIiItBYDUA1x7nYaNp9KAADM6NkIcj0e+ExERPQkDEA1gEolMP23ixAC6Bvggmbu1lKXREREpNUYgGqAbWfu4Ex8GswM5ZjW3VvqcoiIiLQeA1A1l5FXiHl/XAEAjO9SH/aWxhJXREREpP0YgKq5JfuvISUrH/VszTCsTV2pyyEiIqoWGICqsdh7mVh15CYA4LOeDWGoz81JRERUFvzErKaEEJi58xKKVALBPg7o6GUvdUlERETVBgNQNbX3UjIir6XAUF8Pn77iI3U5RERE1QoDUDWUV6jErF2XAACj29WDm42ZxBURERFVLwxA1dAPh67j9sNcOCmMMaaTh9TlEBERVTsMQNXM7Yc5WBoRCwD4qIcPTA31Ja6IiIio+mEAqmbm/n4FeYUqtKxrjVeaOEldDhERUbXEAFSNHI1Nwe7zidCTATN6NYJMxut9ERERPQ8GoGqiUKnCjJ0XAQBDWrnBx8lS4oqIiIiqLwagamL9sVu4mpyFWqYGmPhSA6nLISIiqtYYgKqBlKx8LNp3FQAwpas3rEwNJa6IiIioemMAqgYW7olBZl4RGrtYYmBzV6nLISIiqvYYgLTc2YQ0/Hw6AQAws1cjyPV44DMREdGLYgDSYiqVwPTfLkIIoF+ACwLdrKUuiYiIqEZgANJi287cQXRCGswM5Zja3VvqcoiIiGoMBiAtlZFXiHl/XAEAjO9SH/aWxhJXREREVHMwAGmpb/68hpSsfNSzNcOwNnWlLoeIiKhGYQDSQrH3MrH66E0AwGc9G8JQn5uJiIioIvGTVcsIITBz5yUUqQSCfRzQ0cte6pKIiIhqHAYgLbP3UjIir6XAUF8Pn73SUOpyiIiIaiQGIC2SV6jErF2XAACj29VDHRtTiSsiIiKqmSQNQJmZmZgwYQLc3NxgYmKCoKAgnDx5UqPN5cuX0atXLygUCpiZmaF58+aIj49/ar9paWkYO3YsnJycYGRkhAYNGuD333+vzKFUiOUHr+P2w1w4KYwxppOH1OUQERHVWPpSrnzkyJG4cOEC1q1bB2dnZ6xfvx7BwcG4dOkSXFxcEBcXh7Zt22LEiBGYOXMmLC0tcfHiRRgbP/mU8IKCArz00kuwt7fH1q1b4eLiglu3bsHKyqrqBvYcbj/MwdKIWADARz18YGoo6aYhIiKq0WRCCCHFinNzc2FhYYEdO3YgJCREvTwwMBDdu3fH7NmzMWjQIBgYGGDdunVl7vf777/HwoULceXKFRgYGDxXbRkZGVAoFEhPT4elpeVz9VFeY/7vNH4/n4RW9ayxcVQryGS85AUREVF5lOfzW7KvwIqKiqBUKkvszTExMcHhw4ehUqmwe/duNGjQAF27doW9vT1atmyJ7du3P7Xf3377Da1bt8bYsWPh4OCAxo0bY86cOVAqlU98TH5+PjIyMjR+qtLR2BT8fj4JejJgRq9GDD9ERESVTLIAZGFhgdatW2PWrFm4e/culEol1q9fj6ioKCQmJuLevXvIysrCvHnz0K1bN+zduxd9+/ZFv379cPDgwSf2e/36dWzduhVKpRK///47Pv30U3z11VeYPXv2Ex8zd+5cKBQK9Y+ra9Vdcb1QqcKMnRcBAENaucHbsWr2OBEREekyyb4CA4C4uDgMHz4chw4dglwuR9OmTdGgQQOcPn0a+/fvh4uLCwYPHowNGzaoH9OrVy+YmZlh48aNpfbZoEED5OXl4caNG5DL5QCARYsWYeHChUhMTCz1Mfn5+cjPz1ffzsjIgKura5V8Bbby8A18vusSrM0MceD9jlCYPt/XdkRERLquPF+BSXqkrYeHBw4ePIjs7GxkZGTAyckJAwcORL169WBrawt9fX00bKg5F46Pjw8OHz78xD6dnJxgYGCgDj/Fj0lKSkJBQQEMDQ1LPMbIyAhGRkYVN7AySsnKx9d/XgUATOnqxfBDRERURbRiHiAzMzM4OTnh4cOHCA8PR+/evWFoaIjmzZsjJiZGo+3Vq1fh5ub2xL7atGmD2NhYqFQqjcc4OTmVGn6ktHBPDDLzitDYxRIDmlXd125ERES6TtIAFB4ejj179uDGjRvYt28fOnXqBG9vbwwbNgwAMGXKFGzevBk//vgjYmNj8e2332Lnzp0YM2aMuo/Q0FBMmzZNffudd95Bamoq3nvvPVy9ehW7d+/GnDlzMHbs2Cof39OcTUjDz6cTAAAzezWCXI8HPhMREVUVSb8CS09Px7Rp03D79m1YW1ujf//++OKLL9Snr/ft2xfff/895s6di/Hjx8PLywu//PIL2rZtq+4jPj4eenr/5jhXV1eEh4dj4sSJaNKkCVxcXPDee+/hww8/rPLxPYlKJTD9t4sQAugX4IJAN2upSyIiItIpkh4Era0qex6gLacSMGXrOZgb6eOv9zvA3vLJEzsSERFR2VSLeYB0VUZeIebveXRc0/gungw/REREEmAAqmLf/HkNKVn5qGdnhrCgulKXQ0REpJMYgKpQ7L1MrD56EwAwvWcjGOrz6SciIpICr7hZhe5l5MPG3BBNaluhQwM7qcshIiLSWQxAVSjI0xb73++InIIiqUshIiLSaQxAVczcSB/mRnzaiYiIpMSDUIiIiEjnMAARERGRzmEAIiIiIp3DAEREREQ6hwGIiIiIdA4DEBEREekcBiAiIiLSOQxAREREpHMYgIiIiEjnMAARERGRzmEAIiIiIp3DAEREREQ6hwGIiIiIdA4vS14KIQQAICMjQ+JKiIiIqKyKP7eLP8efhgGoFJmZmQAAV1dXiSshIiKi8srMzIRCoXhqG5koS0zSMSqVCnfv3oWFhQVkMlmlrScjIwOurq5ISEiApaVlpa1HKjV9fEDNHyPHV/3V9DHW9PEBNX+MFTk+IQQyMzPh7OwMPb2nH+XDPUCl0NPTQ+3atatsfZaWljXyRV2spo8PqPlj5Piqv5o+xpo+PqDmj7GixvesPT/FeBA0ERER6RwGICIiItI5DEASMjIywvTp02FkZCR1KZWipo8PqPlj5Piqv5o+xpo+PqDmj1Gq8fEgaCIiItI53ANEREREOocBiIiIiHQOAxARERHpHAYgIiIi0jkMQM/p0KFD6NmzJ5ydnSGTybB9+/ZnPua7776Dj48PTExM4OXlhbVr15Zos2XLFnh7e8PY2Bi+vr74/fffNe4XQuCzzz6Dk5MTTExMEBwcjGvXrlXUsNQqY3w//vgj2rVrh1q1aqFWrVoIDg7GiRMnNNqEhYVBJpNp/HTr1q0ihwagcsa3evXqErUbGxtrtKmq7QdUzhg7duxYYowymQwhISHqNlW1DefOnYvmzZvDwsIC9vb26NOnD2JiYp75uIp4j6WmpuKNN96ApaUlrKysMGLECGRlZWn9+AoLC/Hhhx/C19cXZmZmcHZ2RmhoKO7evavRh7u7e4ltOG/evAodX2WNESjba7C6bkMApb4HZTIZFi5cqG5TFdvwecZ38eJF9O/fX13f4sWLS2333Xffwd3dHcbGxmjZsmWJz4q8vDyMHTsWNjY2MDc3R//+/ZGcnFy+AQh6Lr///rv4+OOPxbZt2wQA8euvvz61/dKlS4WFhYXYtGmTiIuLExs3bhTm5ubit99+U7c5cuSIkMvlYsGCBeLSpUvik08+EQYGBuL8+fPqNvPmzRMKhUJs375dnD17VvTq1UvUrVtX5Obmav34Xn/9dfHdd9+JM2fOiMuXL4uwsDChUCjE7du31W2GDh0qunXrJhITE9U/qampFTq2yhrfqlWrhKWlpUbtSUlJGv1U1farrDE+ePBAY3wXLlwQcrlcrFq1St2mqrZh165dxapVq8SFCxdEdHS06NGjh6hTp47Iysp64mMq6j3WrVs34efnJ44dOyYiIyOFp6enGDx4sNaPLy0tTQQHB4vNmzeLK1euiKioKNGiRQsRGBio0Y+bm5v4/PPPNbbh09arTWMUomyvweq6DYUQGuNKTEwUK1euFDKZTMTFxanbVMU2fJ7xnThxQkyePFls3LhRODo6iq+//rpEm02bNglDQ0OxcuVKcfHiRTFq1ChhZWUlkpOT1W3efvtt4erqKvbv3y9OnTolWrVqJYKCgspVPwNQBSjLh0vr1q3F5MmTNZZNmjRJtGnTRn17wIABIiQkRKNNy5YtxVtvvSWEEEKlUglHR0excOFC9f1paWnCyMhIbNy48QVH8WQVNb7/KioqEhYWFmLNmjXqZUOHDhW9e/d+kXLLraLGt2rVKqFQKJ7Yh1TbT4jK24Zff/21sLCw0PiFJ8U2FEKIe/fuCQDi4MGDT2xTEe+xS5cuCQDi5MmT6jZ//PGHkMlk4s6dOxU5JA0VMb7SnDhxQgAQt27dUi9zc3Mr9YOpslXUGJ/1Gqxp27B3796ic+fOGsuk2IZlGd/jnlRjixYtxNixY9W3lUqlcHZ2FnPnzhVCPHpPGhgYiC1btqjbXL58WQAQUVFRZa6XX4FVkfz8/BJfh5iYmODEiRMoLCwEAERFRSE4OFijTdeuXREVFQUAuHHjBpKSkjTaKBQKtGzZUt1GKmUZ33/l5OSgsLAQ1tbWGssjIiJgb28PLy8vvPPOO3jw4EGl1V1WZR1fVlYW3Nzc4Orqit69e+PixYvq+7R5+wHPtw1XrFiBQYMGwczMTGO5FNswPT0dAEq8nh5XEe+xqKgoWFlZoVmzZuo2wcHB0NPTw/HjxytsPP9VEeN7Ur8ymQxWVlYay+fNmwcbGxsEBARg4cKFKCoqev7iy6gix/i012BN2obJycnYvXs3RowYUeK+qt6GZRnfsxQUFOD06dMaz4Genh6Cg4PVz8Hp06dRWFio0cbb2xt16tQp1+9SBqAq0rVrV/z00084ffo0hBA4deoUfvrpJxQWFiIlJQUAkJSUBAcHB43HOTg4ICkpSX1/8bIntZFKWcb3Xx9++CGcnZ01XsTdunXD2rVrsX//fsyfPx8HDx5E9+7doVQqq2oopSrL+Ly8vLBy5Urs2LED69evh0qlQlBQEG7fvg1Au7cfUP5teOLECVy4cAEjR47UWC7FNlSpVJgwYQLatGmDxo0bP7FdRbzHkpKSYG9vr3G/vr4+rK2tK207VtT4/isvLw8ffvghBg8erHERyvHjx2PTpk04cOAA3nrrLcyZMwcffPBBxQzmCSpyjM96DdakbbhmzRpYWFigX79+GsurehuWdXzPkpKSAqVS+cz3oKGhYYnQXt7fpbwafBX59NNPkZSUhFatWkEIAQcHBwwdOhQLFiyAnl71z6HlHd+8efOwadMmREREaOx1GDRokPr/vr6+aNKkCTw8PBAREYEuXbpUyVhKU5bxtW7dGq1bt1Y/JigoCD4+Pli+fDlmzZolVellVt5tuGLFCvj6+qJFixYay6XYhmPHjsWFCxdw+PDhSulfapUxvsLCQgwYMABCCCxbtkzjvkmTJqn/36RJExgaGuKtt97C3LlzK+1yBRU5Rm38PVJZr9GVK1fijTfeKLH3tqq3YXV8D1b/T95qwsTEBCtXrkROTg5u3ryJ+Ph4uLu7w8LCAnZ2dgAAR0fHEkexJycnw9HRUX1/8bIntZFKWcZX7Msvv8S8efOwd+9eNGnS5Kn91qtXD7a2toiNja3M8p+pPOMrZmBggICAAHXt2rz9gPKNMTs7G5s2bSp1t/t/VfY2HDduHHbt2oUDBw6gdu3aT21bEe8xR0dH3Lt3T+P+oqIipKamVsp2rMjxFSsOP7du3cK+ffs09v6UpmXLligqKsLNmzefawzPUhljfNx/X4M1YRsCQGRkJGJiYkrshS1NZW7D8ozvWWxtbSGXy5/5HiwoKEBaWtoT25QFA1AVMzAwQO3atSGXy7Fp0ya88sorGnsQ9u/fr9F+37596r0KdevWhaOjo0abjIwMHD9+XGPPg5SeNj4AWLBgAWbNmoU9e/ZofP/+JLdv38aDBw/g5ORUmWWX2bPG9zilUonz58+ra68O2w8o2xi3bNmC/Px8vPnmm8/sr7K2oRAC48aNw6+//oq//voLdevWfeZjKuI91rp1a6SlpeH06dPqNn/99RdUKhVatmxZEUMDUDnjA/4NP9euXcOff/4JGxubZ/YbHR0NPT29El8bvajKGuN//fc1WN23YbEVK1YgMDAQfn5+z+y3Mrbh84zvWQwNDREYGKjxHKhUKuzfv1/9HAQGBsLAwECjTUxMDOLj48v3u7TMh0uThszMTHHmzBlx5swZAUAsWrRInDlzRn0mxdSpU8WQIUPU7WNiYsS6devE1atXxfHjx8XAgQOFtbW1uHHjhrrNkSNHhL6+vvjyyy/F5cuXxfTp00s9RdfKykrs2LFDnDt3TvTu3btSTqOujPHNmzdPGBoaiq1bt2qcmpmZmale5+TJk0VUVJS4ceOG+PPPP0XTpk1F/fr1RV5entaPb+bMmSI8PFzExcWJ06dPi0GDBgljY2Nx8eJFjeegKrZfZY2xWNu2bcXAgQNLXWdVbcN33nlHKBQKERERofF6ysnJUbcZMmSImDp1qvp2Rb3HunXrJgICAsTx48fF4cOHRf369Sv8FOrKGF9BQYHo1auXqF27toiOjtboNz8/XwghxNGjR8XXX38toqOjRVxcnFi/fr2ws7MToaGhFTq+yhpjWV+D1XUbFktPTxempqZi2bJlJdZbVdvwecaXn5+v/r3k5OQkJk+eLM6cOSOuXbumbrNp0yZhZGQkVq9eLS5duiRGjx4trKysNKYVefvtt0WdOnXEX3/9JU6dOiVat24tWrduXa76GYCe04EDBwSAEj9Dhw4VQjw6DbNDhw7q9pcuXRL+/v7CxMREWFpait69e4srV66U6Pfnn38WDRo0EIaGhqJRo0Zi9+7dGverVCrx6aefCgcHB2FkZCS6dOkiYmJiqsX43NzcSu1z+vTpQgghcnJyxMsvvyzs7OyEgYGBcHNzE6NGjSoxl462jm/ChAmiTp06wtDQUDg4OIgePXqIv//+W6NNVW2/yhqjEEJcuXJFABB79+4tcV9VbsPSxgZAY06iDh06qMdbrCLeYw8ePBCDBw8W5ubmwtLSUgwbNkwd5LV5fDdu3HhivwcOHBBCCHH69GnRsmVLoVAohLGxsfDx8RFz5syp8ABbWWMs62uwum7DYsuXLxcmJiYiLS2txH1VtQ2fZ3xPeg0+/rtICCGWLFmi/n3aokULcezYMY37c3NzxZgxY0StWrWEqamp6Nu3r0hMTCxX/bJ/BkFERESkM3gMEBEREekcBiAiIiLSOQxAREREpHMYgIiIiEjnMAARERGRzmEAIiIiIp3DAEREREQ6hwGIiMpMCIHRo0fD2toaMpkM0dHRUpeklcLCwiCTySCTybB9+/YK7TsiIkLdd58+fSq0b6IXdejQIfTs2RPOzs7P9frPy8tDWFgYfH19oa+v/8zX+JEjR6Cvrw9/f/9y18oARERltmfPHqxevRq7du1CYmIiGjduLHVJWqtbt25ITExE9+7d1cue9IEQFhZW5jATFBSExMREDBgwoIIqJao42dnZ8PPzw3ffffdcj1cqlTAxMcH48eMRHBz81LZpaWkIDQ1Fly5dnmtdDEBEVGZxcXFwcnJCUFAQHB0doa+vX6JNQUGBBJVpHyMjIzg6OsLIyKhC+zU0NISjoyNMTEwqtF+iitC9e3fMnj0bffv2LfX+/Px8TJ48GS4uLjAzM0PLli0RERGhvt/MzAzLli3DqFGjnnll97fffhuvv/76c19MmgGIiMokLCwM7777LuLj4yGTyeDu7g4A6NixI8aNG4cJEybA1tYWXbt2BQBcuHAB3bt3h7m5ORwcHDBkyBCkpKSo+8vOzkZoaCjMzc3h5OSEr776Ch07dsSECRPUbUrbY2JlZYXVq1erbyckJGDAgAGwsrKCtbU1evfujZs3b2rU3adPH3z55ZdwcnKCjY0Nxo4di8LCQnWb/Px8fPjhh3B1dYWRkRE8PT2xYsUKCCHg6emJL7/8UqOG6OhoyGQyxMbGvtiTWoqbN2+qv+J6/Kdjx44Vvi6iqjZu3DhERUVh06ZNOHfuHF577TV069YN165dK1c/q1atwvXr1zF9+vTnroUBiIjK5H//+x8+//xz1K5dG4mJiTh58qT6vjVr1sDQ0BBHjhzB999/j7S0NHTu3BkBAQE4deoU9uzZg+TkZI2vbaZMmYKDBw9ix44d2Lt3LyIiIvD333+Xq6bCwkJ07doVFhYWiIyMxJEjR2Bubo5u3bpp7Ik6cOAA4uLicODAAaxZswarV6/WCFGhoaHYuHEjvvnmG1y+fBnLly+Hubk5ZDIZhg8fjlWrVmmsd9WqVWjfvj08PT3L+Sw+m6urKxITE9U/Z86cgY2NDdq3b1/h6yKqSvHx8Vi1ahW2bNmCdu3awcPDA5MnT0bbtm1LvMee5tq1a5g6dSrWr19f6l7osnr+RxKRTlEoFLCwsIBcLi+xa7p+/fpYsGCB+vbs2bMREBCAOXPmqJetXLkSrq6uuHr1KpydnbFixQqsX79e/f39mjVrULt27XLVtHnzZqhUKvz000+QyWQAHoUTKysrRERE4OWXXwYA1KpVC99++y3kcjm8vb0REhKC/fv3Y9SoUbh69Sp+/vln7Nu3T33MQb169dTrCAsLw2effYYTJ06gRYsWKCwsxIYNG0rsFSqrwYMHQy6XayzLz89HSEgIAGg8v3l5eejTpw9at26NGTNmPNf6iLTF+fPnoVQq0aBBA43l+fn5sLGxKVMfSqUSr7/+OmbOnFmin/JiACKiFxYYGKhx++zZszhw4ADMzc1LtI2Li0Nubi4KCgrQsmVL9XJra2t4eXmVa71nz55FbGwsLCwsNJbn5eUhLi5OfbtRo0YaocPJyQnnz58H8OjrLLlcjg4dOpS6DmdnZ4SEhGDlypVo0aIFdu7cifz8fLz22mvlqrXY119/XeLgzg8//BBKpbJE2+HDhyMzMxP79u2Dnh532FP1lpWVBblcjtOnT5f4I6C03xWlyczMxKlTp3DmzBmMGzcOAKBSqSCEgL6+Pvbu3YvOnTuXqS8GICJ6YWZmZhq3s7Ky0LNnT8yfP79EWycnpzIfOyOTySCE0Fj2+LE7WVlZCAwMxP/93/+VeKydnZ36/wYGBiX6ValUAFCmg4lHjhyJIUOG4Ouvv8aqVaswcOBAmJqalmkM/+Xo6FjiqzMLCwukpaVpLJs9ezbCw8Nx4sSJEgGPqDoKCAiAUqnEvXv30K5du+fqw9LSUv3HS7GlS5fir7/+wtatW1G3bt0y98UAREQVrmnTpvjll1/g7u5e6nf0Hh4eMDAwwPHjx1GnTh0AwMOHD3H16lWNPTF2dnZITExU37527RpycnI01rN582bY29vD0tLyuWr19fWFSqXCwYMHn3jabY8ePdRnp+zZsweHDh16rnWV1S+//ILPP/8cf/zxBzw8PCp1XUQVKSsrS+MPnBs3biA6OhrW1tZo0KAB3njjDYSGhuKrr75CQEAA7t+/j/3796NJkybqr4EvXbqEgoICpKamIjMzUz3fmL+/P/T09EpMv2Fvbw9jY+NyT8vBfapEVOHGjh2L1NRUDB48GCdPnkRcXBzCw8MxbNgwKJVKmJubY8SIEZgyZQr++usvXLhwAWFhYSW+5uncuTO+/fZbnDlzBqdOncLbb7+tsTfnjTfegK2tLXr37o3IyEjcuHEDERERGD9+PG7fvl2mWt3d3TF06FAMHz4c27dvV/fx888/q9vI5XKEhYVh2rRpqF+//nOfdlsWFy5cQGhoKD788EM0atQISUlJSEpKQmpqaqWtk6iinDp1CgEBAQgICAAATJo0CQEBAfjss88APDpGLzQ0FO+//z68vLzQp08fnDx5Uv2HEPDoD46AgADs3LkTERERGv1VJAYgIqpwzs7OOHLkCJRKJV5++WX4+vpiwoQJsLKyUoechQsXol27dujZsyeCg4PRtm3bEscSffXVV3B1dUW7du3w+uuvY/LkyRpfPZmamuLQoUOoU6cO+vXrBx8fH4wYMQJ5eXnl2iO0bNkyvPrqqxgzZgy8vb0xatQoZGdna7QZMWIECgoKMGzYsBd4Zp7t1KlTyMnJwezZs+Hk5KT+6devX6Wul6gidOzYEUKIEj/FZ10aGBhg5syZuHHjBgoKCnD37l1s27YNvr6+6j5u3rxZah9PMmPGjOealV4mntYrEVEV6tixI/z9/bF48WKpSykhMjISXbp0QUJCAhwcHJ7aNiwsDGlpaRV+GYyqXgdRTcY9QERET5Gfn4/bt29jxowZeO21154Zfort2rUL5ubm2LVrV4XWExkZCXNz81IP/CaisuNB0ERET7Fx40aMGDEC/v7+WLt2bZkes2DBAnzyyScAHp31VpGaNWum3t1f1lOHiagkfgVGREREOodfgREREZHOYQAiIiIincMARERERDqHAYiIiIh0DgMQERER6RwGICIiItI5DEBERESkcxiAiIiISOcwABEREZHO+X/IfXtJ9VZaHwAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "transmission = abs(amps) ** 2\n", - "transmission_percent = 100 * transmission\n", - "transmission_percent.plot(x=\"f\")\n", - "ax = plt.gca()\n", - "ax.set_title(\"mode_index=0, transmitted power %\")\n", - "ax.set_ylabel(\"T (%)\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "d3e0d5af-c8b5-4e02-8955-c1701b5c454e", - "metadata": {}, - "source": [ - "We can also put this in log scale." - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "id": "53fa2b4f-7b59-4318-9510-8df38ae7d1a2", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "loss = 1 - transmission\n", - "loss_db = 10 * np.log10(transmission)\n", - "loss_db.plot(x=\"f\")\n", - "plt.ylabel(\"loss (dB)\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "ed3f4cd4-fe66-4320-962d-e91d40faefe7", - "metadata": {}, - "source": [ - "Finally, let's animate the field pattern evolution over the entire optimization. This will take a minute or so." - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "id": "fd145f1b-74f9-432b-9f9c-71ac61944568", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "
\n", - " \n", - "
\n", - " \n", - "
\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
\n", - "
\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
\n", - "
\n", - "
\n", - "\n", - "\n", - "\n" - ], - "text/plain": [ - "" - ] - }, - "execution_count": 37, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import matplotlib.animation as animation\n", - "from IPython.display import HTML\n", - "\n", - "fig, (ax1, ax2) = plt.subplots(1, 2, tight_layout=False, figsize=(8, 4))\n", - "\n", - "\n", - "def animate(i):\n", - " # grab data at iteration \"i\"\n", - " sim_data_i = data_history[i]\n", - "\n", - " # plot permittivity\n", - " sim_i = sim_data_i.simulation\n", - " sim_i.plot_eps(z=0, monitor_alpha=0.0, source_alpha=0.0, ax=ax1)\n", - " # ax1.set_aspect('equal')\n", - "\n", - " # plot intensity\n", - " int_i = sim_data_i.get_intensity(\"field\")\n", - " int_i.squeeze().plot.pcolormesh(x=\"x\", y=\"y\", ax=ax2, add_colorbar=False, cmap=\"magma\")\n", - " # ax2.set_aspect('equal')\n", - "\n", - "\n", - "# create animation\n", - "ani = animation.FuncAnimation(fig, animate, frames=len(data_history))\n", - "plt.close()\n", - "\n", - "# display the animation (press \"play\" to start)\n", - "HTML(ani.to_jshtml())" - ] - }, - { - "cell_type": "markdown", - "id": "8b94076e-345e-41b5-a122-1a9da5495e40", - "metadata": {}, - "source": [ - "> To save the animation to file, uncomment the line below. Will take a few minutes to render." - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "id": "3728bfe3-12f2-479b-9f5a-f0068530171b", - "metadata": {}, - "outputs": [], - "source": [ - "# ani.save('animation_bend_adjoint.gif', fps=60)" - ] - }, - { - "cell_type": "markdown", - "id": "2b2b6145", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "id": "e6dc501b-54ef-4ef5-b54d-a45ce55af44e", - "metadata": {}, - "outputs": [], - "source": [ - "sim_final = data_history[-1].simulation\n", - "sim_final.to_gds_file(\n", - " fname=\"./misc/inverse_des_wg_bend.gds\",\n", - " z=0,\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates the adjoint optimization of a waveguide bend in Tidy3D using the adjoint plugin.", - "feature_image": "./img/adjoint_8.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, bend, design optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.9" - }, - "title": "Adjoint Optimization of a Waveguide Bend in Tidy3D Using the Adjoint Plugin| Flexcompute" - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AdjointPlugin9WDM.ipynb b/AdjointPlugin9WDM.ipynb deleted file mode 100644 index 888d75d8..00000000 --- a/AdjointPlugin9WDM.ipynb +++ /dev/null @@ -1,68904 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "6fa5d362-5b46-4387-8013-951463db2211", - "metadata": {}, - "source": [ - "# Adjoint optimization of a wavelength division multiplexer\n", - "\n", - "In this notebook, we will use a multi-objective optimization to design a wavelength division multiplexer (WDM).\n", - "\n", - "In short, this device takes in broadband light and directs light of different wavelengths to different output ports.\n", - "\n", - "\"Schematic\n", - "\n", - "This demo combines the basic setup of our 3rd tutorial of a [mode converter](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/) with the multi-frequency feature introduced in Tidy3D version 2.5.\n", - "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "c76c454e-e2c8-40ab-a4ed-e41a5e3e0d65", - "metadata": {}, - "outputs": [], - "source": [ - "import jax\n", - "import jax.numpy as jnp\n", - "import matplotlib.pylab as plt\n", - "import numpy as np\n", - "\n", - "# first import tidy3d, its adjoint plugin, numpy, and jax.\n", - "import tidy3d as td\n", - "import tidy3d.plugins.adjoint as tda\n", - "\n", - "np.random.seed(2)" - ] - }, - { - "cell_type": "markdown", - "id": "e12a92cd-ca91-4981-8168-da4bcd7bb156", - "metadata": {}, - "source": [ - "## Setup\n", - "\n", - "First we set up our basic simulation. \n", - "\n", - "We have an input waveguide connected to a square design region, which has two output waveguides. \n", - "\n", - "The square design region is a custom medium with a pixellated permittivity grid that we wish to optimize such that input light of different wavelengths get directed to different output ports.\n", - "\n", - "As this is a SOI device, we typically define the design region and waveguides as Silicon sitting on an SiO2 substrate. For this demo, we make a 2D simulation, but it can be easily made 3D by changing the `Lz` parameter, adding dimension to the structures, and adding a substrate." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "3d77b41c-91ac-49af-bc3d-cca78e938e3c", - "metadata": {}, - "outputs": [], - "source": [ - "# material information\n", - "n_si = 3.49\n", - "n_sio2 = 1.45 # not used in 2D\n", - "n_air = 1\n", - "\n", - "# design output wavelengths\n", - "wavelength_top = 1.300\n", - "wavelength_bot = 1.550\n", - "\n", - "# and their corresponding frequencies and spectral information\n", - "freq_top = td.C_0 / wavelength_top\n", - "freq_bot = td.C_0 / wavelength_bot\n", - "freq0 = (freq_top + freq_bot) / 2.0\n", - "fwidth = abs(freq_bot - freq_top)\n", - "run_time = 100 / fwidth\n", - "\n", - "# create dictionaries to reference these later by string key 'top' or 'bot'\n", - "freqs = dict(top=freq_top, bot=freq_bot)\n", - "wavelengths = dict(top=wavelength_top, bot=wavelength_bot)\n", - "\n", - "# size of design region\n", - "lx = 2.8\n", - "ly = 2.8\n", - "lz = td.inf # in 2D, we say the size of components is inf but the size of simulation is 0.\n", - "\n", - "# size of waveguides\n", - "wg_width = 0.3\n", - "wg_length = 1.5\n", - "wg_spacing = 0.8\n", - "\n", - "# spacing between design region and PML in y\n", - "buffer = 1.5\n", - "\n", - "# size of simulation\n", - "Lx = lx + wg_length * 2\n", - "Ly = ly + buffer * 2\n", - "Lz = 0.0\n", - "\n", - "# fabrication constraint (feature size and projection strength)\n", - "radius = 0.200\n", - "beta = 30\n", - "\n", - "# resolution information\n", - "min_steps_per_wvl = 25" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "32d9d769-d0eb-4f53-a11f-a43b8d33b7b4", - "metadata": {}, - "outputs": [], - "source": [ - "# define the waveguide ports\n", - "\n", - "wg_in = td.Structure(\n", - " geometry=td.Box(\n", - " center=(-Lx / 2, 0, 0),\n", - " size=(wg_length * 2, wg_width, lz),\n", - " ),\n", - " medium=td.Medium(permittivity=n_si**2),\n", - ")\n", - "\n", - "wg_top = td.Structure(\n", - " geometry=td.Box(\n", - " center=(+Lx / 2, +wg_width / 2 + wg_spacing / 2, 0),\n", - " size=(wg_length * 2, wg_width, lz),\n", - " ),\n", - " medium=td.Medium(permittivity=n_si**2),\n", - ")\n", - "\n", - "wg_bot = td.Structure(\n", - " geometry=td.Box(\n", - " center=(+Lx / 2, -wg_width / 2 - wg_spacing / 2, 0),\n", - " size=(wg_length * 2, wg_width, lz),\n", - " ),\n", - " medium=td.Medium(permittivity=n_si**2),\n", - ")\n", - "\n", - "# and a field monitor that measures fields on the z=0 plane\n", - "fld_mnt = td.FieldMonitor(\n", - " center=(0, 0, 0),\n", - " size=(td.inf, td.inf, 0),\n", - " freqs=[freq_top, freq_bot],\n", - " name=\"field\",\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "d120bf86-54c3-4af2-b3eb-33726288d008", - "metadata": {}, - "source": [ - "> Note we can ignore this warning as it will be resolved after 2.4.0\n", - "\n", - "### Define design region\n", - "\n", - "Here we define the design region as a pixellated grid of permittivity values.\n", - "\n", - "We first define the overall geometry as a `JaxBox` and also the number of pixels in x and y." - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "209f2e58-fd7d-42f7-bb6d-459f41511250", - "metadata": {}, - "outputs": [], - "source": [ - "nx = 55\n", - "ny = 55\n", - "\n", - "design_region_geo = tda.JaxBox(size=(lx, ly, lz), center=(0, 0, 0))\n", - "design_region_dl = lx / nx" - ] - }, - { - "cell_type": "markdown", - "id": "9b02b2fa-ce32-49ad-9844-1af1a4ff864d", - "metadata": {}, - "source": [ - "Next we write a function to give us the pixellated array as a function of our parameters through our filtering and projection methods, which are used to make the resulting structures easier to fabricate. For more details, refer to our 4th lecture in the [inverse design 101 lecture series](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/), which focuses on fabrication constraints.\n", - "\n", - "We also wrap this function in another one that generates the entire `JaxStructure` corresponding to the design region, for convenience later." - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "761964ee-79d7-486a-8bbb-03d2917ff844", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.filter import ConicFilter\n", - "\n", - "conic_filter = ConicFilter(radius=radius, design_region_dl=design_region_dl)\n", - "\n", - "# note: params is an array of shape (nx, ny) that stores values between -inf (air) and +inf (silicon)\n", - "\n", - "\n", - "def tanh_projection(x, beta, eta=0.5):\n", - " tanhbn = jnp.tanh(beta * eta)\n", - " num = tanhbn + jnp.tanh(beta * (x - eta))\n", - " den = tanhbn + jnp.tanh(beta * (1 - eta))\n", - " return num / den\n", - "\n", - "\n", - "def filter_project(x, beta, eta=0.5):\n", - " x = conic_filter.evaluate(x)\n", - " return tanh_projection(x, beta=beta, eta=eta)\n", - "\n", - "\n", - "# number of times to filter -> project. Two times with a lower beta (~30) seems to give decent results.\n", - "num_projections = 2\n", - "\n", - "\n", - "def pre_process(params, beta):\n", - " \"\"\"Get the permittivity values (1, eps_wg) array as a function of the parameters (0,1)\"\"\"\n", - " for _ in range(num_projections):\n", - " params = filter_project(params, beta=beta)\n", - " return params\n", - "\n", - "\n", - "def make_eps(params, beta):\n", - " params = pre_process(params, beta=beta)\n", - " eps_values = 1 + (n_si**2 - 1) * params\n", - " return eps_values\n", - "\n", - "\n", - "def make_custom_medium(params, beta):\n", - " \"\"\"Make JaxCustomMedium as a function of provided parameters.\"\"\"\n", - " eps = make_eps(params, beta).reshape((nx, ny, 1, 1))\n", - " eps = jnp.where(eps < 1, 1, eps)\n", - " eps = jnp.where(eps > n_si**2, n_si**2, eps)\n", - "\n", - " xs = list(jnp.linspace(-lx / 2, lx / 2, nx))\n", - " ys = list(jnp.linspace(-ly / 2, ly / 2, ny))\n", - " zs = [0]\n", - " freqs = [freq0]\n", - " coords = dict(x=xs, y=ys, z=zs, f=freqs)\n", - "\n", - " eps_dataset = tda.JaxDataArray(values=eps, coords=coords)\n", - "\n", - " medium = tda.JaxCustomMedium(\n", - " eps_dataset=tda.JaxPermittivityDataset(\n", - " eps_xx=eps_dataset,\n", - " eps_yy=eps_dataset,\n", - " eps_zz=eps_dataset,\n", - " )\n", - " )\n", - "\n", - " struct = tda.JaxStructure(geometry=design_region_geo, medium=medium)\n", - "\n", - " return struct" - ] - }, - { - "cell_type": "markdown", - "id": "d8dcf2f1-9bf8-49e6-9e1e-6221992c7210", - "metadata": {}, - "source": [ - "### Define base simulation\n", - "\n", - "With all of these functions and variables defined, we can write a single function to return our \"base\" `JaxSimulation` as a function of our design parameters. This function first constructs the design region and then creates a `JaxSimulation` with all of the basic parameters.\n", - "\n", - "Note, we don't yet have a source or monitors for injecting and measuring our fields, but will add those next after running the mode solver." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "1d67ccdc-758e-4648-aabc-4264b83086a1", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim_base(params, beta):\n", - " input_struct = make_custom_medium(params, beta=beta)\n", - "\n", - " return tda.JaxSimulation(\n", - " size=(Lx, Ly, Lz),\n", - " grid_spec=td.GridSpec.auto(min_steps_per_wvl=min_steps_per_wvl, wavelength=wavelength_top),\n", - " structures=[wg_in, wg_top, wg_bot],\n", - " monitors=[fld_mnt],\n", - " input_structures=[input_struct],\n", - " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=True if Lz else False),\n", - " run_time=run_time,\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "aaab90f1-970e-4fde-89d8-f08ae61f530f", - "metadata": {}, - "source": [ - "Let's test out our function. We'll make an initially random array of parameters between 0 and 1 and generate the base simulation to plot and inspect." - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "08846717-16bc-49ee-bcb3-dd5e1c30cd6c", - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "WARNING:jax._src.xla_bridge:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)\n" - ] - } - ], - "source": [ - "params0 = np.random.random((nx, ny))\n", - "sim_base = make_sim_base(params0, beta=1)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "65933269-50cd-4dea-b32d-25f39492c7dc", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = sim_base.plot_eps(z=0, monitor_alpha=0.0)" - ] - }, - { - "cell_type": "markdown", - "id": "27386dd2-e686-4fd0-8210-20bac15dc422", - "metadata": {}, - "source": [ - "It all looks good, so now we add the bits that define the optimization.\n", - "\n", - "## Adding Mode Sources and Monitors\n", - "\n", - "### Solving modes\n", - "First, we need to create our `ModeSource` and `ModeMonitor` objects that inject and measure the modes that we are interested in optimizing.\n", - "\n", - "We'll use `tidy3d`'s `ModeSolver` and use the remote `run` function that gets more accurate results by running on Flexcompute's servers." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "439f9a65-ec0b-4fab-bdc1-d6831108153e", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.mode import ModeSolver\n", - "from tidy3d.plugins.mode.web import run as run_mode_solver\n", - "\n", - "# we'll ask for 4 modes just to inspect\n", - "num_modes = 4\n", - "\n", - "# let's define how large the mode planes are and how far they are from the PML relative to the design region\n", - "mode_size = (0, 1.8 * wg_spacing + wg_width, max([Lz, lz, 3]))\n", - "space_fraction = 0.2\n", - "\n", - "# make a plane corresponding to where we wish to measure the input mode\n", - "plane_in = td.Box(\n", - " center=(-Lx / 2 + space_fraction * wg_length, 0, 0),\n", - " size=mode_size,\n", - ")\n", - "\n", - "# construct the mode solver using our base sim (converted from `JaxSimulation` to regular `Simulation`) + our plane\n", - "mode_solver = ModeSolver(\n", - " simulation=sim_base.to_simulation()[0],\n", - " plane=plane_in,\n", - " freqs=[freq_top],\n", - " mode_spec=td.ModeSpec(num_modes=num_modes),\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "10bd2470-6bf4-4f46-9912-191ad57ca3ab", - "metadata": {}, - "source": [ - "Next we run the mode solver on the servers." - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "682799a3-1e90-4b17-a0aa-c416a4171f9f", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
10:59:18 PST Mode solver created with                                           \n",
-       "             task_id='fdve-9a68c85b-b233-4b9c-918f-aec0bf5cdcd1',               \n",
-       "             solver_id='mo-4bbb01f6-7a1f-490a-a82f-7d81cbd26a0f'.               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m10:59:18 PST\u001b[0m\u001b[2;36m \u001b[0mMode solver created with \n", - "\u001b[2;36m \u001b[0m\u001b[33mtask_id\u001b[0m=\u001b[32m'fdve-9a68c85b-b233-4b9c-918f-aec0bf5cdcd1'\u001b[0m, \n", - "\u001b[2;36m \u001b[0m\u001b[33msolver_id\u001b[0m=\u001b[32m'mo-4bbb01f6-7a1f-490a-a82f-7d81cbd26a0f'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e0e5ff68a99b45ec99841716a4bceba7", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "c5dd6fcd080c46ddb8a3339145124798", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
10:59:19 PST Mode solver status: queued                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m10:59:19 PST\u001b[0m\u001b[2;36m \u001b[0mMode solver status: queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:30 PST Mode solver status: running                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:30 PST\u001b[0m\u001b[2;36m \u001b[0mMode solver status: running \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:01:33 PST Mode solver status: success                                        \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:01:33 PST\u001b[0m\u001b[2;36m \u001b[0mMode solver status: success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "e9b6dd0d593345a48a32b5eed5363545", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "mode_data = run_mode_solver(mode_solver, reduce_simulation=True)" - ] - }, - { - "cell_type": "markdown", - "id": "d0403fdb-7cc3-44c0-97ed-75183da44c93", - "metadata": {}, - "source": [ - "And visualize the results." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "b84807cb-0c7e-497a-88de-6ebc753f2636", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Effective index of computed modes: [[3.141611 2.806403 1.953868 1.062474]]\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig, axs = plt.subplots(num_modes, 3, figsize=(12, 12), tight_layout=True)\n", - "for mode_index in range(num_modes):\n", - " vmax = 1.1 * max(\n", - " abs(mode_data.field_components[n].sel(mode_index=mode_index)).max()\n", - " for n in (\"Ex\", \"Ey\", \"Ez\")\n", - " )\n", - " for field_name, ax in zip((\"Ex\", \"Ey\", \"Ez\"), axs[mode_index]):\n", - " field = mode_data.field_components[field_name].sel(mode_index=mode_index)\n", - " field.real.plot(label=\"Real\", ax=ax)\n", - " field.imag.plot(ls=\"--\", label=\"Imag\", ax=ax)\n", - " ax.set_title(f\"index={mode_index}, {field_name}\")\n", - " ax.set_ylim(-vmax, vmax)\n", - "\n", - "axs[0, 0].legend()\n", - "\n", - "print(\"Effective index of computed modes: \", np.array(mode_data.n_eff))" - ] - }, - { - "cell_type": "markdown", - "id": "43d92a79-c290-48c9-8354-55c998e5b870", - "metadata": {}, - "source": [ - "We identify `mode_index=0` as the first order mode that is out of plane of the device. Let's choose to optimize our device with respect to this as the mode of interest for both the input and output.\n", - "\n", - "We re-set the `ModeSpec` to only compute the number of modes we need (1) and also update our `ModeSolver` accordingly." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "8ebd9a87-8928-48a6-bbe1-56d774a49c89", - "metadata": {}, - "outputs": [], - "source": [ - "mode_index = 0\n", - "mode_spec = td.ModeSpec(num_modes=mode_index + 1)\n", - "mode_solver = mode_solver.updated_copy(mode_spec=mode_spec)" - ] - }, - { - "cell_type": "markdown", - "id": "46058639-ce2e-4f35-9938-04163e7ef11d", - "metadata": {}, - "source": [ - "### Make input and output mode sources and monitors\n", - "Next, we will generate the input `ModeSource` and output `ModeMonitor` objects using the convenience methods defined in the `ModeSolver`.\n", - "\n", - "Because our `plane` was defined at the input port, we'll modify the centers of the `ModeMonitor`s to place them at the output ports to the right of the device." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "id": "b2b17072-8e40-4509-904d-37197a542f07", - "metadata": {}, - "outputs": [], - "source": [ - "# make source\n", - "mode_src = mode_solver.to_source(\n", - " source_time=td.GaussianPulse(\n", - " freq0=freq0,\n", - " fwidth=fwidth,\n", - " ),\n", - " direction=\"+\",\n", - " mode_index=mode_index,\n", - ")\n", - "\n", - "# make a basic monitor\n", - "mode_mnt = mode_solver.to_monitor(freqs=[freq0], name=\"_\")\n", - "\n", - "# construct the proper centers for the monitors at the 'top' and 'bot' ports\n", - "mnt_center_top = list(plane_in.center)\n", - "mnt_center_bot = list(plane_in.center)\n", - "mnt_center_top[0] = -plane_in.center[0]\n", - "mnt_center_bot[0] = -plane_in.center[0]\n", - "mnt_center_top[1] = wg_top.geometry.center[1]\n", - "mnt_center_bot[1] = wg_bot.geometry.center[1]\n", - "\n", - "# make a dictionary of names and frequencies to refer to later by key\n", - "mnt_names = dict(top=\"mode_top\", bot=\"mode_bot\")\n", - "mnt_freqs = dict(top=freq_top, bot=freq_bot)\n", - "\n", - "# make two updated copies of the mode monitor with the proper frequencies, centers, and names\n", - "mode_mnt_top = mode_mnt.updated_copy(\n", - " freqs=[mnt_freqs[\"top\"]], center=mnt_center_top, name=mnt_names[\"top\"]\n", - ")\n", - "mode_mnt_bot = mode_mnt.updated_copy(\n", - " freqs=[mnt_freqs[\"bot\"]], center=mnt_center_bot, name=mnt_names[\"bot\"]\n", - ")\n", - "\n", - "# make another dictionary mapping the keys to the monitors\n", - "mode_mnts = dict(top=mode_mnt_top, bot=mode_mnt_bot)" - ] - }, - { - "cell_type": "markdown", - "id": "a2b3655c-b014-440b-a4f6-6bd95ab95d90", - "metadata": {}, - "source": [ - "### Add flux monitors\n", - "\n", - "For plotting later, we'll add a couple of `FluxMonitor` objects at the output ports to measure the total flux over a large spectrum. With this data, we should be able to clearly see the difference in transmission for each of the ports at the design region and get an idea about the device bandwidth." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "id": "84d7cde0-78da-476a-b34f-c5d874f97f2f", - "metadata": {}, - "outputs": [], - "source": [ - "Nf = 121\n", - "freqs_flux = np.linspace(freq_bot - fwidth / 10, freq_top + fwidth / 10, Nf)\n", - "\n", - "flux_mnt_names = dict(top=\"flux_top\", bot=\"flux_bot\")\n", - "\n", - "flux_mnt_top = td.FluxMonitor(\n", - " center=mode_mnt_top.center,\n", - " size=mode_mnt_top.size,\n", - " name=flux_mnt_names[\"top\"],\n", - " freqs=list(freqs_flux),\n", - ")\n", - "\n", - "flux_mnt_bot = td.FluxMonitor(\n", - " center=mode_mnt_bot.center,\n", - " size=mode_mnt_bot.size,\n", - " name=flux_mnt_names[\"bot\"],\n", - " freqs=list(freqs_flux),\n", - ")" - ] - }, - { - "cell_type": "markdown", - "id": "3c5e650d-a063-40d8-b18c-ed613739b733", - "metadata": {}, - "source": [ - "### Add to simulation\n", - "\n", - "Finally, we will wrap our previous `make_sim_base()` function in a new one that adds our new objects to this base simulation." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "id": "8511b5e1-288c-409e-9715-b88a04389d1c", - "metadata": {}, - "outputs": [], - "source": [ - "def make_sim(params, beta):\n", - " output_monitors = [mode_mnts[\"top\"], mode_mnts[\"bot\"]]\n", - "\n", - " sim_base = make_sim_base(params, beta=beta)\n", - " return sim_base.updated_copy(\n", - " output_monitors=output_monitors,\n", - " sources=[mode_src],\n", - " monitors=tuple(list(sim_base.monitors) + [flux_mnt_top, flux_mnt_bot]),\n", - " )" - ] - }, - { - "cell_type": "markdown", - "id": "86fcca5e-392b-485f-a00c-2b99b9594606", - "metadata": {}, - "source": [ - "Let's make the final simulation and visualize it with the sources and monitors added." - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "id": "08e654f3-cec5-4429-bcee-430ef12efcdf", - "metadata": {}, - "outputs": [], - "source": [ - "sim = make_sim(params0, beta=1)" - ] - }, - { - "cell_type": "markdown", - "id": "dacc319d-247a-4d23-a95b-639b2baf803e", - "metadata": {}, - "source": [ - "Note: the `FluxMonitor` objects are overlaying the output `ModeMonitor` objects." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "9bcacc4f-275e-4167-b283-d468f12cc0eb", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = sim.plot_eps(z=0.01)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "36ddbde9-64c9-4771-ab9e-8bf2c224f860", - "metadata": {}, - "source": [ - "## Defining objective function\n", - "\n", - "With our simulation fully defined as a function of our parameters, we are ready to define our objective function.\n", - "\n", - "### Computing power transmission\n", - "\n", - "In this case, it is quite simple, we simply measure the transmitted power in our output waveguide mode. We wish to maximize transmission to the top port at the \"top\" wavelength (1330 nm) and maximize transmission to the bottom port at the \"bot\" wavelength (1550 nm)." - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "56ed21ad-0882-46ca-bc99-f1e4163fcc72", - "metadata": {}, - "outputs": [], - "source": [ - "def measure_power(sim_data) -> float:\n", - " \"\"\"Extract power from simulation data.\"\"\"\n", - "\n", - " def get_power(mnt_key: str, freq_key: str) -> float:\n", - " \"\"\"Get the power at monitor 'mnt_key' at frequency 'freq_key' (both either 'top' or 'bot').\"\"\"\n", - " mnt_name = mnt_names[mnt_key]\n", - " freq = freqs[freq_key]\n", - " mnt_data = sim_data[mnt_name]\n", - " amp = mnt_data.amps.sel(direction=\"+\", mode_index=0, f=freq)\n", - " return jnp.abs(amp) ** 2\n", - "\n", - " power_max = get_power(\"top\", \"top\") + get_power(\"bot\", \"bot\")\n", - " return power_max / 2.0" - ] - }, - { - "cell_type": "markdown", - "id": "55bbda26-70af-4e53-a77e-d92dac0221f6", - "metadata": {}, - "source": [ - "Next we add a penalty to produce structures that are invariant under erosion and dilation, which is a useful approach to implementing minimum length scale features." - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "7f7da424-d19e-4e0c-b95d-2ac7c877e246", - "metadata": {}, - "outputs": [], - "source": [ - "from tidy3d.plugins.adjoint.utils.penalty import ErosionDilationPenalty\n", - "\n", - "\n", - "def penalty(params, beta) -> float:\n", - " \"\"\"Penalty based on the amount of change after erosion and dilation of structures.\"\"\"\n", - " params = pre_process(params, beta=beta)\n", - "\n", - " ed_penalty = ErosionDilationPenalty(length_scale=radius, pixel_size=design_region_dl)\n", - " return ed_penalty.evaluate(params)" - ] - }, - { - "cell_type": "markdown", - "id": "218ac455-3626-4399-a11d-1ba288fe63bf", - "metadata": {}, - "source": [ - "### Writing objective function\n", - "\n", - "Then we write an `objective` function that constructs our simulation, runs it, measures the power, and subtracts our penalty.\n", - "\n", - "> Note, we return a `JaxSimulationData` as the second output. The reason for this is that we might wish to access our flux and field data later on. `jax` gives an option `has_aux` to use only the first output for differentiation while letting the user have access to the 2nd \"auxiliary\" output, which we will make use of." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "3bba8bcc-4d2e-4268-a338-aab37513f5df", - "metadata": {}, - "outputs": [], - "source": [ - "def objective(params, beta, verbose=False) -> float:\n", - " sim = make_sim(params, beta=beta)\n", - " sim_data = tda.web.run(sim, task_name=\"WDM_MULTIFREQ\", verbose=verbose)\n", - " power = measure_power(sim_data)\n", - " J = power - penalty(params, beta=beta)\n", - " return J, sim_data" - ] - }, - { - "cell_type": "markdown", - "id": "94fa67f3-31e2-444c-b2d2-9aea353eef10", - "metadata": {}, - "source": [ - "### Differentiating objective\n", - "\n", - "Finally, we can simply use `jax` to transform this objective function into a function that returns our objective function value, the auxiliary data, and our gradient, which we will feed to the optimizer." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "3d210aba-1184-4191-a405-08c12531991c", - "metadata": {}, - "outputs": [], - "source": [ - "grad_fn = jax.value_and_grad(objective, has_aux=True)" - ] - }, - { - "cell_type": "markdown", - "id": "afabe317-16c2-4d01-a5c0-6678eb470735", - "metadata": {}, - "source": [ - "Let's try out our gradient function with verbosity on for just this run." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "2bb09792-cb44-4fb0-a3c9-6f82fb67d865", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "
11:02:20 PST Created task 'WDM_MULTIFREQ' with task_id                          \n",
-       "             'fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:20 PST\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'WDM_MULTIFREQ'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a\n",
-       "             3-4a23-b70a-1cae0a9791e3'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=220288;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=553347;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=220288;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=176647;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=220288;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32m-c66b7065-34a\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=220288;https://tidy3d.simulation.cloud/workbench?taskId=fdve-c66b7065-34a3-4a23-b70a-1cae0a9791e3\u001b\\\u001b[32m3-4a23-b70a-1cae0a9791e3'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "16f941e116514781ba62210cabc70884", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "150eb872324049de96bc7f1e2e408c0d", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:21 PST status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:21 PST\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "a7fc153bc15740aa8bf58d97b7ed43e1", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:22 PST loading simulation from simulation_data.hdf5                       \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:22 PST\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:23 PST WARNING: 2 unique frequencies detected in the output monitors with \n",
-       "             a minimum spacing of 3.720e+13 (Hz). Setting the 'fwidth' of the   \n",
-       "             adjoint sources to 0.1 times this value = 3.720e+12 (Hz) to avoid  \n",
-       "             spectral overlap. To account for this, the corresponding 'run_time'\n",
-       "             in the adjoint simulation is will be set to 2.688527e-11 compared  \n",
-       "             to 2.688527e-12 in the forward simulation. If the adjoint          \n",
-       "             'run_time' is large due to small frequency spacing, it could be    \n",
-       "             better to instead run one simulation per frequency, which can be   \n",
-       "             done in parallel using 'tidy3d.plugins.adjoint.web.run_async'.     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:23 PST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[1;36m2\u001b[0m\u001b[31m unique frequencies detected in the output monitors with \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31ma minimum spacing of \u001b[0m\u001b[1;36m3.720e+13\u001b[0m\u001b[31m \u001b[0m\u001b[1;31m(\u001b[0m\u001b[31mHz\u001b[0m\u001b[1;31m)\u001b[0m\u001b[31m. Setting the \u001b[0m\u001b[32m'fwidth'\u001b[0m\u001b[31m of the \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31madjoint sources to \u001b[0m\u001b[1;36m0.1\u001b[0m\u001b[31m times this value = \u001b[0m\u001b[1;36m3.720e+12\u001b[0m\u001b[31m \u001b[0m\u001b[1;31m(\u001b[0m\u001b[31mHz\u001b[0m\u001b[1;31m)\u001b[0m\u001b[31m to avoid \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mspectral overlap. To account for this, the corresponding \u001b[0m\u001b[32m'run_time'\u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31min the adjoint simulation is will be set to \u001b[0m\u001b[1;36m2.688527e-11\u001b[0m\u001b[31m compared \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mto \u001b[0m\u001b[1;36m2.688527e-12\u001b[0m\u001b[31m in the forward simulation. If the adjoint \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[32m'run_time'\u001b[0m\u001b[31m is large due to small frequency spacing, it could be \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mbetter to instead run one simulation per frequency, which can be \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mdone in parallel using \u001b[0m\u001b[32m'tidy3d.plugins.adjoint.web.run_async'\u001b[0m\u001b[31m. \u001b[0m\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             Created task 'WDM_MULTIFREQ_adj' with task_id                      \n",
-       "             'fdve-7c7a36f3-2152-4379-82f1-707760603828' and task_type 'FDTD'.  \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'WDM_MULTIFREQ_adj'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'fdve-7c7a36f3-2152-4379-82f1-707760603828'\u001b[0m and task_type \u001b[32m'FDTD'\u001b[0m. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             View task using web UI at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-215\n",
-       "             2-4379-82f1-707760603828'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=637591;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=774026;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=637591;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=890783;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=637591;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32m-7c7a36f3-215\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=637591;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[32m2-4379-82f1-707760603828'\u001b[0m\u001b]8;;\u001b\\. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "0ebc31f5703c42009963efbab27b9c58", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "1dc5b7ad1a864b7d8b64a1790c00f30c", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:24 PST status = queued                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:24 PST\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             To cancel the simulation, use 'web.abort(task_id)' or              \n",
-       "             'web.delete(task_id)' or abort/delete the task in the web UI.      \n",
-       "             Terminating the Python script will not stop the job running on the \n",
-       "             cloud.                                                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the \n", - "\u001b[2;36m \u001b[0mcloud. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:32 PST status = preprocess                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:32 PST\u001b[0m\u001b[2;36m \u001b[0mstatus = preprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:02:36 PST Maximum FlexCredit cost: 0.031. Use 'web.real_cost(task_id)' to get\n",
-       "             the billed FlexCredit cost after a simulation run.                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:36 PST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.031\u001b[0m. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get\n", - "\u001b[2;36m \u001b[0mthe billed FlexCredit cost after a simulation run. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             starting up solver                                                 \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             running solver                                                     \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "0f16c2b18fe34926bb873cc23b7183f7", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:48 PST early shutoff detected at 4%, exiting.                             \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:48 PST\u001b[0m\u001b[2;36m \u001b[0mearly shutoff detected at \u001b[1;36m4\u001b[0m%, exiting. \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
             status = postprocess                                               \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mstatus = postprocess \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
11:02:50 PST status = success                                                   \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:50 PST\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
11:02:51 PST View simulation result at                                          \n",
-       "             'https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-215\n",
-       "             2-4379-82f1-707760603828'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m11:02:51 PST\u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=537675;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=712304;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=537675;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=997764;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34mfdve\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=537675;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34m-7c7a36f3-215\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=537675;https://tidy3d.simulation.cloud/workbench?taskId=fdve-7c7a36f3-2152-4379-82f1-707760603828\u001b\\\u001b[4;34m2-4379-82f1-707760603828'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "ac4f89418957472e92ea0e026c8e1997", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
\n",
-       "
\n" - ], - "text/plain": [ - "\n" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "(J, sim_data), grad = grad_fn(params0, beta=1, verbose=True)" - ] - }, - { - "cell_type": "markdown", - "id": "2351757c-e19c-4715-8bf5-71a775f47602", - "metadata": {}, - "source": [ - "## Run Optimization\n", - "\n", - "Finally, we are ready to optimize our device. We will make use the `optax` package to define an optimizer using the `adam` method, as we've done in the previous adjoint tutorials.\n", - "\n", - "We record a history of objective function values, simulation data, and parameters for visualization later." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "id": "4a24ecd3-f2d1-43b0-88cd-8e0a41cc515a", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "step = 1\n", - "\tJ = 1.1304e-01\n", - "\tbeta = 1.00\n", - "\tgrad_norm = 1.3148e-01\n", - "step = 2\n", - "\tJ = 1.7998e-01\n", - "\tbeta = 1.59\n", - "\tgrad_norm = 2.2417e-01\n", - "step = 3\n", - "\tJ = 1.9274e-01\n", - "\tbeta = 2.18\n", - "\tgrad_norm = 2.6165e-01\n", - "step = 4\n", - "\tJ = 5.7359e-02\n", - "\tbeta = 2.78\n", - "\tgrad_norm = 3.2920e-01\n", - "step = 5\n", - "\tJ = -2.7653e-02\n", - "\tbeta = 3.37\n", - "\tgrad_norm = 5.1749e-01\n", - "step = 6\n", - "\tJ = -1.0726e-01\n", - "\tbeta = 3.96\n", - "\tgrad_norm = 5.9930e-01\n", - "step = 7\n", - "\tJ = 5.4190e-02\n", - "\tbeta = 4.55\n", - "\tgrad_norm = 2.8124e-01\n", - "step = 8\n", - "\tJ = 1.3695e-01\n", - "\tbeta = 5.14\n", - "\tgrad_norm = 3.0855e-01\n", - "step = 9\n", - "\tJ = 1.9039e-01\n", - "\tbeta = 5.73\n", - "\tgrad_norm = 3.4029e-01\n", - "step = 10\n", - "\tJ = 2.1604e-01\n", - "\tbeta = 6.33\n", - "\tgrad_norm = 5.2777e-01\n", - "step = 11\n", - "\tJ = 2.6796e-01\n", - "\tbeta = 6.92\n", - "\tgrad_norm = 5.9430e-01\n", - "step = 12\n", - "\tJ = 2.0278e-01\n", - "\tbeta = 7.51\n", - "\tgrad_norm = 1.1882e+00\n", - "step = 13\n", - "\tJ = 3.2679e-01\n", - "\tbeta = 8.10\n", - "\tgrad_norm = 6.3214e-01\n", - "step = 14\n", - "\tJ = 3.6340e-01\n", - "\tbeta = 8.69\n", - "\tgrad_norm = 2.8383e-01\n", - "step = 15\n", - "\tJ = 3.7389e-01\n", - "\tbeta = 9.29\n", - "\tgrad_norm = 2.3837e-01\n", - "step = 16\n", - "\tJ = 3.9022e-01\n", - "\tbeta = 9.88\n", - "\tgrad_norm = 1.6353e-01\n", - "step = 17\n", - "\tJ = 4.0384e-01\n", - "\tbeta = 10.47\n", - "\tgrad_norm = 1.3485e-01\n", - "step = 18\n", - "\tJ = 4.1686e-01\n", - "\tbeta = 11.06\n", - "\tgrad_norm = 1.2928e-01\n", - "step = 19\n", - "\tJ = 4.2954e-01\n", - "\tbeta = 11.65\n", - "\tgrad_norm = 1.1254e-01\n", - "step = 20\n", - "\tJ = 4.3786e-01\n", - "\tbeta = 12.24\n", - "\tgrad_norm = 1.2386e-01\n", - "step = 21\n", - "\tJ = 4.4402e-01\n", - "\tbeta = 12.84\n", - "\tgrad_norm = 1.3647e-01\n", - "step = 22\n", - "\tJ = 4.4862e-01\n", - "\tbeta = 13.43\n", - "\tgrad_norm = 3.4103e-01\n", - "step = 23\n", - "\tJ = 4.5884e-01\n", - "\tbeta = 14.02\n", - "\tgrad_norm = 1.4109e-01\n", - "step = 24\n", - "\tJ = 4.6795e-01\n", - "\tbeta = 14.61\n", - "\tgrad_norm = 8.9034e-02\n", - "step = 25\n", - "\tJ = 4.7021e-01\n", - "\tbeta = 15.20\n", - "\tgrad_norm = 1.6547e-01\n", - "step = 26\n", - "\tJ = 4.8514e-01\n", - "\tbeta = 15.80\n", - "\tgrad_norm = 1.6582e-01\n", - "step = 27\n", - "\tJ = 5.0607e-01\n", - "\tbeta = 16.39\n", - "\tgrad_norm = 1.3549e-01\n", - "step = 28\n", - "\tJ = 5.2328e-01\n", - "\tbeta = 16.98\n", - "\tgrad_norm = 1.7107e-01\n", - "step = 29\n", - "\tJ = 5.5337e-01\n", - "\tbeta = 17.57\n", - "\tgrad_norm = 1.8353e-01\n", - "step = 30\n", - "\tJ = 5.8120e-01\n", - "\tbeta = 18.16\n", - "\tgrad_norm = 2.6351e-01\n", - "step = 31\n", - "\tJ = 6.0239e-01\n", - "\tbeta = 18.76\n", - "\tgrad_norm = 2.1676e-01\n", - "step = 32\n", - "\tJ = 6.1259e-01\n", - "\tbeta = 19.35\n", - "\tgrad_norm = 4.3566e-01\n", - "step = 33\n", - "\tJ = 5.4708e-01\n", - "\tbeta = 19.94\n", - "\tgrad_norm = 9.3248e-01\n", - "step = 34\n", - "\tJ = 6.1207e-01\n", - "\tbeta = 20.53\n", - "\tgrad_norm = 6.7785e-01\n", - "step = 35\n", - "\tJ = 6.4012e-01\n", - "\tbeta = 21.12\n", - "\tgrad_norm = 2.7947e-01\n", - "step = 36\n", - "\tJ = 6.2275e-01\n", - "\tbeta = 21.71\n", - "\tgrad_norm = 6.0492e-01\n", - "step = 37\n", - "\tJ = 6.6233e-01\n", - "\tbeta = 22.31\n", - "\tgrad_norm = 1.6761e-01\n", - "step = 38\n", - "\tJ = 6.5566e-01\n", - "\tbeta = 22.90\n", - "\tgrad_norm = 6.2367e-01\n", - "step = 39\n", - "\tJ = 6.5845e-01\n", - "\tbeta = 23.49\n", - "\tgrad_norm = 6.8979e-01\n", - "step = 40\n", - "\tJ = 6.9423e-01\n", - "\tbeta = 24.08\n", - "\tgrad_norm = 3.0279e-01\n", - "step = 41\n", - "\tJ = 7.0369e-01\n", - "\tbeta = 24.67\n", - "\tgrad_norm = 2.5525e-01\n", - "step = 42\n", - "\tJ = 6.9838e-01\n", - "\tbeta = 25.27\n", - "\tgrad_norm = 5.4912e-01\n", - "step = 43\n", - "\tJ = 6.9214e-01\n", - "\tbeta = 25.86\n", - "\tgrad_norm = 7.5892e-01\n", - "step = 44\n", - "\tJ = 7.1860e-01\n", - "\tbeta = 26.45\n", - "\tgrad_norm = 4.9100e-01\n", - "step = 45\n", - "\tJ = 7.4005e-01\n", - "\tbeta = 27.04\n", - "\tgrad_norm = 2.2158e-01\n", - "step = 46\n", - "\tJ = 7.3577e-01\n", - "\tbeta = 27.63\n", - "\tgrad_norm = 5.3908e-01\n", - "step = 47\n", - "\tJ = 7.4667e-01\n", - "\tbeta = 28.22\n", - "\tgrad_norm = 4.1632e-01\n", - "step = 48\n", - "\tJ = 7.5887e-01\n", - "\tbeta = 28.82\n", - "\tgrad_norm = 2.1059e-01\n", - "step = 49\n", - "\tJ = 7.6499e-01\n", - "\tbeta = 29.41\n", - "\tgrad_norm = 3.3670e-01\n", - "step = 50\n", - "\tJ = 7.6311e-01\n", - "\tbeta = 30.00\n", - "\tgrad_norm = 4.7441e-01\n" - ] - } - ], - "source": [ - "import optax\n", - "\n", - "# we know that the source fwidth will be set automatically due to multi-freq adjoint, so suppress warnings\n", - "td.config.logging_level = \"ERROR\"\n", - "\n", - "# hyperparameters\n", - "num_steps = 50\n", - "learning_rate = 5e-2\n", - "beta_min = 1\n", - "beta_max = 30\n", - "\n", - "# initialize adam optimizer with starting parameters\n", - "params = params0\n", - "optimizer = optax.adam(learning_rate=learning_rate)\n", - "opt_state = optimizer.init(params)\n", - "\n", - "# store history\n", - "Js = []\n", - "params_history = [params0]\n", - "data_history = []\n", - "beta_history = []\n", - "\n", - "for i in range(num_steps):\n", - " perc_done = i / (num_steps - 1)\n", - " beta_i = beta_min * (1 - perc_done) + beta_max * perc_done\n", - "\n", - " # compute gradient and current objective function value\n", - " (value, data), gradient = grad_fn(params, beta=beta_i)\n", - "\n", - " # outputs\n", - " print(f\"step = {i + 1}\")\n", - " print(f\"\\tJ = {value:.4e}\")\n", - " print(f\"\\tbeta = {beta_i:.2f}\")\n", - " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", - "\n", - " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", - " updates, opt_state = optimizer.update(-gradient, opt_state, params)\n", - " params = optax.apply_updates(params, updates)\n", - "\n", - " # keep params between 0 and 1\n", - " params = jnp.minimum(1.0, params)\n", - " params = jnp.maximum(0.0, params)\n", - "\n", - " # save history\n", - " Js.append(value)\n", - " params_history.append(params)\n", - " beta_history.append(beta_i)\n", - " data_history.append(data)" - ] - }, - { - "cell_type": "markdown", - "id": "f082a060-208d-4678-8c60-9701db55faad", - "metadata": {}, - "source": [ - "## Visualize Results\n", - "\n", - "Let's visualize the results of our optimization.\n", - "\n", - "### Objective function vs Iteration\n", - "\n", - "First we inspect the objective function value as a function of optimization iteration number. We see that it steadily increases as expected. \n", - "\n", - "> The presence of fabrication constraints tends to create some minor bumps in the optimization, which can be a signal that one needs to reduce the step size, but these results are sufficient for our purposes." - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "id": "a3f9509b-2448-4505-a013-c2fd26b36052", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "plt.plot(Js)\n", - "plt.xlabel(\"iteration number\")\n", - "plt.ylabel(\"objective function\")\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "c9b97d20-1e6b-4b4c-9f35-fc3c21903e24", - "metadata": {}, - "source": [ - "### Final Simulation\n", - "\n", - "Let's take a look at the final simulation, which we grab from our history." - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "id": "a20e8905-5699-47c8-8a97-26db6f5935c6", - "metadata": {}, - "outputs": [], - "source": [ - "sim_data_final = data_history[-1]\n", - "sim_final = sim_data_final.simulation" - ] - }, - { - "cell_type": "markdown", - "id": "54b3fc86-97d6-4bf7-99c1-347037583269", - "metadata": {}, - "source": [ - "We notice that the structure has reasonably large feature sizes but is not well binarized. This could be improved by increasing the `beta` projection value slowly over iteration number, as was done in the [grating coupler tutorial](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/)." - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "4ff1a822-6656-424c-8136-febe9672b20f", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "ax = sim_final.plot_eps(z=0.01, monitor_alpha=0, source_alpha=0)" - ] - }, - { - "cell_type": "markdown", - "id": "d13065bd-e796-485f-8a18-1a55f0ebf2bd", - "metadata": {}, - "source": [ - "### Flux\n", - "\n", - "Let's inspect the flux over each of the output ports as a function of wavelength.\n", - "\n", - "We notice that the top and bottom ports have peaks in transmission at their corresponding design wavelengths, as expected!" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "73629989-47bf-4dc4-8ed3-a518dd2cac1f", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# plot flux\n", - "for key, color in zip((\"top\", \"bot\"), (\"royalblue\", \"firebrick\")):\n", - " freq = freqs[key]\n", - " flux_data = sim_data_final[flux_mnt_names[key]]\n", - " wvl_nm = 1000 * td.C_0 / freq\n", - " wavelengths_nm = 1000 * td.C_0 / np.array(flux_data.flux.f)\n", - " flux = np.array(flux_data.flux.values)\n", - " flux_db = 10 * np.log(flux)\n", - " label = f\"{key} ({int(wvl_nm)} nm)\"\n", - " plt.plot(wavelengths_nm, flux_db, label=label, color=color)\n", - " plt.scatter([wvl_nm], [0], 100, marker=\"*\", color=color)\n", - " plt.xlabel(\"wavelength (nm)\")\n", - " plt.ylabel(\"transmission (dB)\")\n", - " plt.legend()\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "5423e5c7-5e00-4a8f-b0fd-ccdbe1afc42c", - "metadata": {}, - "source": [ - "### Fields\n", - "\n", - "Let's also plot the field intensity patterns at the two design wavelengths. We see from this plot the expected result that the power is directed to the design port at each frequency." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "3f5c895a-4543-4e2a-b34d-3aeab61c5914", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# plot fields at the two design wavelengths\n", - "\n", - "fig, axes = plt.subplots(1, 2, tight_layout=True, figsize=(7, 3))\n", - "\n", - "for key, ax in zip((\"top\", \"bot\"), axes):\n", - " freq = freqs[key]\n", - " sim_data_final.plot_field(\"field\", \"E\", \"abs^2\", f=freq, ax=ax, vmax=1200)\n", - " wvl = 1000 * td.C_0 / freq\n", - " ax.set_title(f\"wavelength = {int(wvl)} nm\")" - ] - }, - { - "cell_type": "markdown", - "id": "5997d513-a66e-44b6-81ce-ce7b7d59762a", - "metadata": {}, - "source": [ - "### Animation\n", - "\n", - "Finally, we animate this plot as a function of iteration number. The animation shows the device quickly accomplishing our design objective.\n", - "\n", - "> Note: can take a few minutes to complete" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "2cb45408-ffe0-4406-92e2-c2c4c79d8750", - "metadata": {}, - "outputs": [], - "source": [ - "import matplotlib.animation as animation\n", - "from IPython.display import HTML\n", - "\n", - "fig, (ax1, ax2, ax3) = fig, axes = plt.subplots(1, 3, tight_layout=False, figsize=(9, 4))\n", - "\n", - "\n", - "def animate(i):\n", - " sim_data_i = data_history[i]\n", - "\n", - " sim_i = sim_data_i.simulation\n", - " sim_i.plot_eps(z=0.01, monitor_alpha=0, source_alpha=0, ax=ax1)\n", - " ax1.set_aspect(\"equal\")\n", - "\n", - " for key, ax in zip((\"top\", \"bot\"), (ax2, ax3)):\n", - " freq = freqs[key]\n", - " wvl = 1000 * td.C_0 / freq\n", - "\n", - " int_i = sim_data_i.get_intensity(\"field\").sel(f=freq)\n", - " int_i.squeeze().plot.pcolormesh(\n", - " x=\"x\", y=\"y\", ax=ax, add_colorbar=False, cmap=\"magma\", vmax=1000\n", - " )\n", - "\n", - " ax.set_aspect(\"equal\")\n", - " ax.set_title(f\"wavelength = {int(wvl)} nm\")\n", - "\n", - "\n", - "# create animation\n", - "ani = animation.FuncAnimation(fig, animate, frames=len(data_history))\n", - "plt.close()" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "fc5bd204-d290-42bf-b3bc-187ce4ffa026", - "metadata": {}, - "outputs": [ - { - "data": { - "text/html": [ - "\n", - "\n", - "\n", - "\n", - "\n", - "\n", - "
\n", - " \n", - "
\n", - " \n", - "
\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
\n", - "
\n", - " \n", - " \n", - " \n", - " \n", - " \n", - " \n", - "
\n", - "
\n", - "
\n", - "\n", - "\n", - "\n" - ], - "text/plain": [ - "" - ] - }, - "execution_count": 30, - "metadata": {}, - "output_type": "execute_result" - }, - { - "data": { - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "# display the animation (press \"play\" to start)\n", - "HTML(ani.to_jshtml())" - ] - }, - { - "cell_type": "markdown", - "id": "8dfd4b1c-0f7b-438d-9c06-1abd3c2f8aa3", - "metadata": {}, - "source": [ - "To save the animation as a file, uncomment the line below\n", - "\n", - "> Note: can take several more minutes to complete" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "20b92a33-34b6-4c55-8036-99c19a05d251", - "metadata": {}, - "outputs": [], - "source": [ - "# ani.save('img/animation_wdm_adjoint.gif', fps=60)" - ] - }, - { - "cell_type": "markdown", - "id": "ddbb4884", - "metadata": {}, - "source": [ - "## Export to GDS\n", - "The `Simulation` object has the [.to_gds_file](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.Simulation.html#tidy3d.Simulation.to_gds_file) convenience function to export the final design to a `GDS` file. In addition to a file name, it is necessary to set a cross-sectional plane (`z = 0` in this case) on which to evaluate the geometry, a `frequency` to evaluate the permittivity, and a `permittivity_threshold` to define the shape boundaries in custom mediums. See the [GDS export](https://www.flexcompute.com/tidy3d/examples/notebooks/GDSExport/) notebook for a detailed example on using `.to_gds_file` and other GDS related functions." - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "id": "9f283dab", - "metadata": {}, - "outputs": [], - "source": [ - "sim_final = data_history[-1].simulation\n", - "sim_final.to_gds_file(\n", - " fname=\"./misc/inv_des_wdm.gds\",\n", - " z=0,\n", - " permittivity_threshold=(n_si**2 + 1) / 2,\n", - " frequency=freq0,\n", - ")" - ] - } - ], - "metadata": { - "description": "This notebook demonstrates the adjoint optimization of a wavelength division multiplexer using the adjoint plugin.", - "feature_image": "./img/adjoint_9.png", - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "keywords": "inverse design, WDM, design optimization, adjoint, Tidy3D, FDTD", - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.12.0" - }, - "title": "Adjoint Optimization of a WDM in Tidy3D Using the Adjoint Plugin | Flexcompute" - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/AntennaCharacteristics.ipynb b/AntennaCharacteristics.ipynb index f7ca25fe..55345ed9 100644 --- a/AntennaCharacteristics.ipynb +++ b/AntennaCharacteristics.ipynb @@ -53,7 +53,7 @@ "import numpy as np\n", "import tidy3d as td\n", "import tidy3d.plugins.smatrix as smatrix\n", - "from tidy3d.web import run" + "import tidy3d.web as web" ] }, { @@ -286,15 +286,15 @@ { "data": { "text/html": [ - "
19:23:26 CEST WARNING: ℹ️ ⚠️ RF simulations are subject to new license            \n",
-       "              requirements in the future. You have instantiated at least one    \n",
-       "              RF-specific component.                                            \n",
+       "
09:45:32 EDT WARNING: ℹ️ ⚠️ RF simulations are subject to new license requirements\n",
+       "             in the future. You have instantiated at least one RF-specific      \n",
+       "             component.                                                         \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:23:26 CEST\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mrequirements in the future. You have instantiated at least one \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mRF-specific component. \u001b[0m\n" + "\u001b[2;36m09:45:32 EDT\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license requirements\u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31min the future. You have instantiated at least one RF-specific \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mcomponent. \u001b[0m\n" ] }, "metadata": {}, @@ -366,7 +366,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "The grid specification controls how the simulation domain is discretized. We make use of `LayerRefinementSpec` to automatically refine the grid near the metal layers. Otherwise, the grid size in the rest of the domain is set automatically according to the wavelength (typically 20-30 grid steps per wavelength). " + "The grid specification controls how the simulation domain is discretized. We make use of `LayerRefinementSpec` to automatically refine the grid near the metal layers. Otherwise, the grid size in the rest of the domain is set automatically according to the wavelength. " ] }, { @@ -463,17 +463,17 @@ { "data": { "text/html": [ - "
              WARNING:  ℹ️ ⚠️ RF simulations are subject to new license           \n",
-       "              requirements in the future. You are using RF-specific components  \n",
-       "              in this simulation.                                               \n",
-       "               - Contains monitors defined for RF wavelengths.                  \n",
+       "
             WARNING:  ℹ️ ⚠️ RF simulations are subject to new license            \n",
+       "             requirements in the future. You are using RF-specific components in\n",
+       "             this simulation.                                                   \n",
+       "              - Contains monitors defined for RF wavelengths.                   \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mrequirements in the future. You are using RF-specific components \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31min this simulation. \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31m - Contains monitors defined for RF wavelengths. \u001b[0m\n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mrequirements in the future. You are using RF-specific components in\u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mthis simulation. \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31m - Contains monitors defined for RF wavelengths. \u001b[0m\n" ] }, "metadata": {}, @@ -506,7 +506,25 @@ "cell_type": "code", "execution_count": 15, "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "text/html": [ + "
             WARNING: ℹ️ ⚠️ The TerminalComponentModeler class was refactored in  \n",
+       "             tidy3d version 2.10. Migration documentation will be provided, and \n",
+       "             existing functionality can be accessed in a different way.         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ The TerminalComponentModeler class was refactored in \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mtidy3d version \u001b[0m\u001b[1;36m2.10\u001b[0m\u001b[31m. Migration documentation will be provided, and \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mexisting functionality can be accessed in a different way. \u001b[0m\n" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "# Define TerminalComponentModeler\n", "modeler = smatrix.TerminalComponentModeler(\n", @@ -514,7 +532,6 @@ " freqs=freqs, # Sweep frequencies points\n", " ports=[port], # Include ports here\n", " radiation_monitors=[monitor_directivity], # Include radiation monitors here\n", - " verbose=True,\n", ")" ] }, @@ -541,7 +558,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -585,7 +602,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Before running, we can estimate the cost of the entire `TerminalComponentModeler` sweep. " + "Use the `tidy3d.web.upload()` method to upload the job and get a cost estimate. " ] }, { @@ -596,19 +613,19 @@ { "data": { "text/html": [ - "
              WARNING:  ℹ️ ⚠️ RF simulations are subject to new license           \n",
-       "              requirements in the future. You are using RF-specific components  \n",
-       "              in this simulation.                                               \n",
-       "               - Contains a 'LumpedElement'.                                    \n",
-       "               - Contains monitors defined for RF wavelengths.                  \n",
+       "
09:45:33 EDT WARNING:  ℹ️ ⚠️ RF simulations are subject to new license            \n",
+       "             requirements in the future. You are using RF-specific components in\n",
+       "             this simulation.                                                   \n",
+       "              - Contains a 'LumpedElement'.                                     \n",
+       "              - Contains monitors defined for RF wavelengths.                   \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mrequirements in the future. You are using RF-specific components \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31min this simulation. \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31m - Contains a \u001b[0m\u001b[32m'LumpedElement'\u001b[0m\u001b[31m. \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31m - Contains monitors defined for RF wavelengths. \u001b[0m\n" + "\u001b[2;36m09:45:33 EDT\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: ℹ️ ⚠️ RF simulations are subject to new license \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mrequirements in the future. You are using RF-specific components in\u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31mthis simulation. \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31m - Contains a \u001b[0m\u001b[32m'LumpedElement'\u001b[0m\u001b[31m. \u001b[0m\n", + "\u001b[2;36m \u001b[0m\u001b[31m - Contains monitors defined for RF wavelengths. \u001b[0m\n" ] }, "metadata": {}, @@ -617,38 +634,52 @@ { "data": { "text/html": [ - "
19:23:30 CEST Maximum FlexCredit cost: 0.097 for the whole batch.               \n",
+       "
             Created task 'antenna_modeler' with resource_id                    \n",
+       "             'sid-20696855-9045-4bee-8fc8-f97c113908bf' and task_type 'RF'.     \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:23:30 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.097\u001b[0m for the whole batch. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'antenna_modeler'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-20696855-9045-4bee-8fc8-f97c113908bf'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" ] }, "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "# Estimate cost before running\n", - "_ = modeler.batch.estimate_cost()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We execute the `TerminalComponentModeler` below. The simulation automatically returns the S-parameter matrix. " - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [ + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b5\n",
+       "             14-79513fcbb1f4'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=210710;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=429122;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=210710;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=879781;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=210710;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32m-13d9412b-c482-4025-b5\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=210710;https://tidy3d.simulation.cloud/rf?taskId=pa-13d9412b-c482-4025-b514-79513fcbb1f4\u001b\\\u001b[32m14-79513fcbb1f4'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=22374;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "9cd28e3f4a904563901e7509286fe876", + "model_id": "74769871b7cb422c91a9cc4db831e110", "version_major": 2, "version_minor": 0 }, @@ -672,11 +703,13 @@ { "data": { "text/html": [ - "
              Started working on Batch containing 1 tasks.                      \n",
+       "
09:45:34 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - lumped_port: 'rf-2439958b-9632-42c0-837e-2fc257d6fb3f'           \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m1\u001b[0m tasks. \n" + "\u001b[2;36m09:45:34 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- lumped_port: \u001b[32m'rf-2439958b-9632-42c0-837e-2fc257d6fb3f'\u001b[0m \n" ] }, "metadata": {}, @@ -685,11 +718,11 @@ { "data": { "text/html": [ - "
19:23:32 CEST Maximum FlexCredit cost: 0.097 for the whole batch.               \n",
+       "
09:45:35 EDT Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:23:32 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.097\u001b[0m for the whole batch. \n" + "\u001b[2;36m09:45:35 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -698,13 +731,60 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
09:45:36 EDT Maximum FlexCredit cost: 0.097. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m09:45:36 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.097\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "task_id = web.upload(modeler, task_name=\"antenna_modeler\")" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We start and monitor the job below. " + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Subtasks status - antenna_modeler                                  \n",
+       "             Group ID: 'pa-13d9412b-c482-4025-b514-79513fcbb1f4'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mSubtasks status - antenna_modeler \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-13d9412b-c482-4025-b514-79513fcbb1f4'\u001b[0m \n" ] }, "metadata": {}, @@ -713,7 +793,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "b6d11d696a024dcba004643197176aa7", + "model_id": "2ca0bd714fdb4e76871170949ab3e271", "version_major": 2, "version_minor": 0 }, @@ -727,11 +807,28 @@ { "data": { "text/html": [ - "
19:23:33 CEST Batch complete.                                                   \n",
+       "
09:46:28 EDT Modeler has finished running successfully.                         \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:23:33 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m09:46:28 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 0.082. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.082\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -746,11 +843,30 @@ }, "metadata": {}, "output_type": "display_data" - }, + } + ], + "source": [ + "# Run and monitor simulation\n", + "web.start(task_id)\n", + "web.monitor(task_id)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "After completion, we download the TCM data." + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "metadata": {}, + "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "c268c323d2ab4575bde7c3c9bb56bf8d", + "model_id": "5e6d086426e749559e4e6caad69a7d95", "version_major": 2, "version_minor": 0 }, @@ -770,26 +886,15 @@ }, "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "# Run the TerminalComponentModeler and obtain S-parameter matrix\n", - "s_matrix = modeler.run()" - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ + }, { "data": { "text/html": [ - "
19:23:43 CEST Total billed flex credit cost: 0.082.                             \n",
+       "
09:46:38 EDT loading component modeler data from ./cm_data.hdf5                 \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:23:43 CEST\u001b[0m\u001b[2;36m \u001b[0mTotal billed flex credit cost: \u001b[1;36m0.082\u001b[0m. \n" + "\u001b[2;36m09:46:38 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" ] }, "metadata": {}, @@ -797,17 +902,15 @@ } ], "source": [ - "# Print real cost after running\n", - "_ = modeler.batch.real_cost()" + "# Load TCM data\n", + "tcm_data = web.load(task_id)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "System-level results such as the S/Z-parameter matrix and antenna metrics can be accessed directly from the `TerminalComponentModeler` after running. \n", - "\n", - "To access data from individual simulations in the port sweep, we have to first load the associated batch dataset. This is necessary, for example, to access the field monitor data. " + "We can also check the real cost of the simulation. " ] }, { @@ -818,48 +921,40 @@ { "data": { "text/html": [ - "
              WARNING: 1 file has already been downloaded and will be skipped.  \n",
-       "              To forcibly overwrite existing files, invoke the load or download \n",
-       "              function with `replace_existing=True`.                            \n",
+       "
09:46:39 EDT Billed FlexCredit cost: 0.082. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: \u001b[0m\u001b[1;36m1\u001b[0m\u001b[31m file has already been downloaded and will be skipped. \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mTo forcibly overwrite existing files, invoke the load or download \u001b[0m\n", - "\u001b[2;36m \u001b[0m\u001b[31mfunction with `\u001b[0m\u001b[33mreplace_existing\u001b[0m\u001b[31m=\u001b[0m\u001b[3;92mTrue\u001b[0m\u001b[31m`. \u001b[0m\n" + "\u001b[2;36m09:46:39 EDT\u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.082\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "3e32c61baa1d4baaa4f609622819b90f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
     }
    ],
    "source": [
-    "# Load the batch data to access monitor datasets\n",
-    "batch_data = modeler.batch.load()"
+    "# Print real sim cost\n",
+    "_ = web.real_cost(task_id)"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {},
+   "source": [
+    "Use the `smatrix()` method of the TCM data object to calculate the S-matrix. "
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 21,
+   "metadata": {},
+   "outputs": [],
+   "source": [
+    "s_matrix = tcm_data.smatrix()"
    ]
   },
   {
@@ -887,12 +982,12 @@
   },
   {
    "cell_type": "code",
-   "execution_count": 21,
+   "execution_count": 22,
    "metadata": {},
    "outputs": [],
    "source": [
     "# Specify port_in and port_out to get the specific S_ij\n",
-    "S11 = np.conjugate(s_matrix.isel(port_out=0, port_in=0))\n",
+    "S11 = np.conjugate(s_matrix.data.isel(port_out=0, port_in=0))\n",
     "\n",
     "# Transform to dB\n",
     "S11dB = 20 * np.log10(np.abs(S11))"
@@ -900,12 +995,12 @@
   },
   {
    "cell_type": "code",
-   "execution_count": 22,
+   "execution_count": 23,
    "metadata": {},
    "outputs": [
     {
      "data": {
-      "image/png": "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",
+      "image/png": "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",
       "text/plain": [
        "
" ] @@ -946,17 +1041,17 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "The batch data associated with the `TerminalComponentModeler` contains all the monitor data. It is arranged as a `dict` with one entry for each port excitation. The key names are formatted as `'smatrix_port_name'` where `port_name` is the name of the port excitation. " + "The dictionary stored in the `data` attribute of the `TerminalComponentModelerData` instance contains all the monitor data. Use the respective port name as the dictionary key to access the data associated with the desired port excitation. " ] }, { "cell_type": "code", - "execution_count": 23, + "execution_count": 24, "metadata": {}, "outputs": [], "source": [ "# Get simulation data associated with the lumped port excitation\n", - "sim_data = batch_data[\"smatrix_lumped_port\"]" + "sim_data = tcm_data.data[\"lumped_port\"]" ] }, { @@ -968,12 +1063,12 @@ }, { "cell_type": "code", - "execution_count": 24, + "execution_count": 25, "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1025,7 +1120,7 @@ }, { "cell_type": "code", - "execution_count": 25, + "execution_count": 26, "metadata": {}, "outputs": [], "source": [ @@ -1049,7 +1144,7 @@ }, { "cell_type": "code", - "execution_count": 26, + "execution_count": 27, "metadata": {}, "outputs": [], "source": [ @@ -1061,17 +1156,17 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Let's plot the antenna impedance near the first resonance. " + "Let's plot the antenna impedance near the first resonance. We observe a very good match with $Z_0$ at resonance. " ] }, { "cell_type": "code", - "execution_count": 27, + "execution_count": 28, "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkkAAAG2CAYAAABrrBJlAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjEsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvc2/+5QAAAAlwSFlzAAAPYQAAD2EBqD+naQAAeI5JREFUeJzt3QVYVVkXBuBPUlAwsLsDY8yxO8caxxy7Y+yascZux9/uHHvs7u7u7lYM7KD5n7WPICgq4L2cG9/7PGc4HPCymQOXdfdee61ogYGBgSAiIiKiUGxCv0tEREREgkESERERURgYJBERERGFgUESERERURgYJBERERGFgUESERERURgYJBERERGFgUESERERURgYJBERERGFgUESERERkbkHSQ8ePED9+vXh5uYGJycnZMuWDcePHw/+uHRY6du3LxInTqw+Xrp0aVy7dk3XMRMREZF5Mpsg6cWLFyhUqBDs7e2xadMmXLx4Ef/73/8QJ06c4M8ZOXIkxo8fj6lTp+LIkSOIESMGypUrBy8vL13HTkREROYnmrk0uO3RowcOHDiAffv2hflx+TaSJEmCrl27olu3buraq1evkDBhQvz777/4/fffo3jEREREZM7MJkhyd3dXs0L379/Hnj17kDRpUrRp0wYtWrRQH7958ybSpk2LU6dOIUeOHMH/rlixYur9cePGffGY3t7e6ggSEBCA58+fq+W8aNGiRdF3RkRERD9CQpk3b96oyRIbG8MtktnBTEgQNGXKFHTp0gW9evXCsWPH0KFDBzg4OKBRo0bw8PBQnyczRyHJ+0Ef+9ywYcMwYMCAKBk/ERERGde9e/eQLFky6wuSZJYnT548GDp0qHo/Z86cOH/+vMo/kiApMnr27KmCriCyPJciRQpcvXoVcePGNdjYKXJ8fX2xa9culChRQuWikX7M/V5IXmK7du3U+cSJExE9enSYK3O/F5aE98J0yCpQhgwZ4OLiYtDHNZsgSXasyZJbSJkzZ8aKFSvUeaJEidTbx48fq88NIu+HXH4LydHRUR2fkwBJltxI/ycgZ2dndS/4BKQvc78XEiQFjVu+B3MPksz5XlgS3gvTY+hUGbPZ3SY7265cuRLqmsz4pEyZUp2nTp1aBUo7duwI/vjr16/VLrcCBQpE+XiJiIjIvJnNTFLnzp1RsGBBtdxWq1YtHD16FNOnT1dHUPTYqVMnDB48GOnTp1dBU58+fVQSV9WqVfUePhEREZkZswmS8ubNi1WrVqk8ooEDB6ogaOzYsahXr17w5/z111949+4dWrZsiZcvX6Jw4cLYvHmzWU+tE9GPs7W1VTtdg86JiCwqSBKVKlVSx9fIbJIEUHIQEQWRfJG2bdvqPQyKIv7+/ipfyNjka9jZ2amcN/maZFyym92Q2/stLkgiIiL6Vq0cKfkiKwlR9fUkF1a2nbO2nvFJgCSrSBIsRRUGSURk8eSPWVDhWNnRyj9olikoQEqQIIHadWbs+yylad6+fYuYMWNG+QyHtQkICMDDhw/x6NEjVaonqn6HGSQRkcWTAKlhw4bqfN68ecxTtECy3BUUIEVVCRf5w+3j46N+nhgkGV/8+PFVoOTn5xdlJRd4V4mIyOwF5SDJDBJZJoePy2xRmf/FIImIiCwGl1ItVzQd7i2DJCIiIqIwMEgiIiIiCgODJCKyKG8+vMOw1VNQbGBdTNgyD+99vPQeEtE3NW7cWC0lySEJybLNXYojS/2lyPr333+DHzOso0mTJgb9HiwVd7cRkUXw9ffDgn2rMWrDLHi+eaGuDVk1GbN2LkOnco30Hh7RN5UvXx5z5sxRCegnTpxAo0aNVDAzYsSISD1e7dq11WN+bvLkyeoxW7RoYYBRWz7OJBGR2ddA2nR6D4oPrIue/41SAVLahCnQ89fWSBY3ETxePUXPJaPwwQVImTENE3vJJEn9LilMmTx5ctVvtHTp0ti2bVuocgPDhg1Ts0xOTk746aefsHz58q8+nnyOPF7IQ5rEy2NMmjRJ9UKl7+NMEhGZrZO3zmPgiok4fP20et/NJQ66VWyG+kWqwt7WDq1L18XcvSsxduMcHHt3F8ee3sX5MX+g929tUThjbr2HT1EQQBtzuTUwIEA9vp23PaKFqJPk7BD9h4Lx8+fP4+DBg0iZMmXwNQluFixYgKlTp6om7nv37kX9+vVV7aCgvoTfcufOHdSsWROtWrVC8+bNIz02a8MgiYjMzp2nDzB0zRSsOb5dvR/d3hGtS9dB27IN4OIUI/jzHO0d0LLU76hTsDImb1uIaTsW49Tti6gxpi1KuOdHr6p/IFuKjDp+J2RMEsCk7Vgiyr/ujXG7EMPRKUL/Zv369apytxRKlOKnUpxy4sSJ6mPy/tChQ7F9+3YUKFBAXUuTJg3279+PadOmfTdIev/+vZqdypIli2oMT+HHIImIzMaLd6/UrNDs3ctVDpK8Wq+VvwK6V2mFJHESfPXfSeDUvUpLNC1eHWM2zsH8faux6+JhdfyWt6z6WKr4yaL0eyEKqUSJEpgyZQrevXuHMWPGqMa51atXVx+7fv26CnTKlCkT6t9Ite+cOXN+97GbNWumqpHL8p08LoUf/28Rkcnz9vXB7N3LMHbTv3j1/o26Vizzz+hbvT2yJEv/3X8vu4RCtiVpVaoORqydhpXHtmLVsa1Yd2IHGhT5DZ0rNEGCWFHT0oKMT5a9ZFbHmMttr9+8gauLyxfLbREVI0YMpEuXTp3Pnj1b5RzNmjVLBTjSH05s2LABSZMm/SKX6VskSXvdunU4cOAA4sWLF+FxWTsGSURksiRZdc2J7Ri6egrueT5S1zInTYu+1dqjRJb8kX7clPGTYnKzgWhTtj6GrJ6MXRcOY86e5VhyeANalfodbcrUD7VsR+ZJZhojuuwV0Z9PP29fODs6GbR3mzxWr1690KVLF9StWxfu7u4qGLp792648o+CbNq0Cb1798bixYtV0EURxyCJiEzSnWcP0WrG3zh956J6P1Gs+PirSkvULlABtja2BvkaWZNnwOL2Y3HgygkMXjVJ5SvJcty8fauxpMM49XEiPUiS9Z9//ql2onXr1k0dnTt3VoFZ4cKF8erVKzU75OrqqsoFfO7atWsqwJIk7SJFisDDw+OLPmhx48aNwu/IPLEEABGZHMk3CgqQYjg6q5yhAwOXom6hygYLkEIqlDE3NnafhVmthiFdwpSqjECrmX/jndd7g38tovCQ3KF27dph5MiRKk9p0KBB6NOnj9rlljlzZlUDSZbfpCRAWBYtWqTykCSxO3HixF8c1apVi/LvyRwxSCIikyOzORIgxXJ2wa4+C9C5QlOjLpsELc1UzFkC6/6arpLAbzy+i7+XjjHq1yQKqo69evXqL6736NEDT548UflK8vPZsWNHXL58WSVsy/XNmzejaNGiYT5mv379VAmErx27d++Ogu/M/DFIIiKTcuLmeYzb9K86H1HnL6SIlyRKv36cGLEwsUl/2ESzweKD67D62KeCfkRkXRgkEZHJkOWtdnP6wz/AH9XylkXVvKG3PEeVghlyoeMvjdX5nwuHq/woIrI+DJKIyGQMWDEBt57eV8tdQ3/vZtDdQlJPRo7w7kLqWrEp8qbJhjde79BmVl+VJ0VE1oVBEhGZhK1n92PevlXqfHyjvogdw9Vgjy07eXr27KkOOQ8PO1s7VSbA1SkmTtw6j1HrZhhsPERkHhgkEZHunr15gS7zh6hzqVNUOFMemILkbokxqn5PdT5+yzzsv3xc7yERURRikEREupKdNt0WDFOBUsYkadCz6h8wJVVyl0L9wr+qcbad0x+eb1/qPSQiiiIMkohIV4sPrsfmM3thL8tbTQeoZrWGJm1JGjRooA45j6iBtTojfaJUePzqGTrNHaQCJiKyfAySiEg3d54+QJ+PtYikSW14+rBFlnRSlyMypBfX1OaD4GjngG3nDmDW7mUGHx8RmR4GSUSkC9nmL9v933m/R/50OfBHmbowZRLASUNdMXDFBFy4f03vIRGRkTFIIiJdTNwyH8dunkPM6M4Y37ivUdqNGFrT4jVQNnth+Pj5orW0LfH+oPeQiMiIGCQRUZQ7e/cy/vm4pX5I7a5RXlU7sqQ1xJiGf6tmu9c87qAv25aQATRu3BhVq1Y1eKsT+Xn92tGkSRODfj1LxSCJiKLUBx8vtJ3dH34B/qpXWq38FWBO3GLGxsQm/dQfmoUH1mLtiR16D4noC7Vr18ajR4++OKRJrtQKa9Gihd5DNAsMkogoSg1ZNRnXPG4jgasbRtbrroINcyN1nDqUa6jOpXzBPc9Heg+JLEjx4sXRvn17dOrUCXHixEHChAkxY8YMvHv3Ts0Aubi4IF26dNi0adNXH8PJyQmJEiUKdVy5cgXDhg3DpEmTULBgwSj9nswVgyQiijJ7Lh7BzF1L1fnYRn+rWZmoIK1I3N3d1RHetiTf061yC+ROnRWvP7xVbUv82LbE9EipBp93Rj7ef3nNACUi5s6di3jx4uHo0aMqYPrjjz9Qs2ZNFdycPHkSZcuWVSUt3r9/H67Hu3Pnjvr3rVq1QvPmzX94fNbCTu8BEJF1ePHuFTrOHazOGxerjpJZCkTZ15blhf79+xv0MVVdp2YDUXpwA5WA/r8Ns9G9SkuDfg36Qb7vgYGJjfbwEm6HGeb3fQQ4xPihx/7pp5/w999/q3NppzN8+HAVNAUtk/Xt2xdTpkzB2bNnkT9//m8+lgRSkvOUJUsWjB079ofGZW04k0RERifFF7svGgmPV0+RNmGK4K305i5lvCT4p34PdT520xwcuHJC7yGRhciePXvwua2tLdzc3JAtW7bga7IEJ548efLdx2rWrBlevnyJZcuWwc6OcyMRwf9bRGR0K49uUQnOdja2mNikvyrOaCmq5imDPRePYvHBdaru046/FyBuzFh6D4uEvbM2q2MkAQEBeP36DVxdXUIv48rX/UH29vah3pfcvZDXgnL5ZAzfMmLECKxbtw4HDhxQM1EUMQySiMio7j/3QM//RqnzLhWbIWcq9ygfg7Qiadu2rTqXpNXo0Q0bpA2u3QXHbpzF9cd3VKPeOa1HmGVCusWRe/CDy17fJAGKg7/2NQyU62ZIktjdu3dvLF68WC3fUcSZ3l0lIoshr3I7zh2kkpslyblDeW1HmB7evHmjDmOI4eiEKc0GwsHOXvWhW3N8u1G+DlF4Xbt2DXXr1lVJ2kWKFIGHh0eo4/nz53oP0SwwSCIio5ElKMnTcXKIrmoL2dla7uR1thQZ0ekXrUDfoJUTVT0oIr0sWrRI5SFNmzYNiRMn/uKoVq2a3kM0C5b7jEVEupKWHSM/VtXuUaUVUidIDksn/eekwOSD5x6Ysm0RulRsqveQyAxIdeyQdu/e/cXn3L59O8wNEV/Tr18/ddCP4UwSERnFjB3/4fGrZ6rliGz5twYyY9anmpb7NGHLPDx68f2dR0RkuhgkEZHBPXvzAhO3zlfnPX9tDUd7B1iLX3OXxs9ps6vltiGrJ+s9HCL6AQySiMjgxmycjbde75E9RSYVNFgT2dU2sFZndb78yGacvHVe7yERUSQxSCIig7r15B7m7lmpzvtWa2ewNiA/QsaQNm1adUTFeHKkzIzaBSqq8z5Lx34zd4SITBcTt4nIoIatmQq/AH/VdkQawZoCaUsijT2jUq+qf2DdyZ04ceu8KqZZPV/5KP36RPTj9H+JR0QW4+StC6qytiw5/f1bG1izhLHioWP5Rup88KpJarcfEZkXBklEZBCypCT1gUTNfL/APVl6WLtWpesguVtiPHr5FJO2LtB7OEQUQQySiMggtp8/iEPXTsHRzgHdq7SCKfH29lZtSeSQ86gS3d4RfatpzXwnb12gWrQQkflgkEREP8w/wF8tKYnmJWshaVytQ7kpzXI9ffpUHVGdRF0pVwnkT58TXr7eGLKKJQGIzAmDJCL6YUsObcSVhzcRJ4YrOnzMwyGN5GcNqtlJvV11bKtqhEsUkgTuLVu2RNy4cdXPyenTp/UeEn3EIImIfsh7Hy/8s266Ou/4S2PEcnbRe0gm2detTsFK6vzvpWNU41+iIJs3b1atSdavX49Hjx4ha9asEfr30sZEgquwjmPHjn313xUvXvyLz2/duvV3v97169fRtGlTpEiRAo6OjkiaNClKlSqFhQsXws/PL/jz5PFWr179xb9v3LgxqlatCnPAEgBE9ENm7lyiEpMlQblJsRp6D8dkSeVx2fl35s4lLD+6GbXyV9B7SGQibty4oZrOFixYMFL/Xv6dBFch9enTBzt27ECePN8uw9GiRQsMHDgw+H1nZ+dvfv7Ro0dRunRpZMmSBZMmTUKmTJnU9ePHj6v3JcD76aefYCkYJBFRpHm+fYkJm+cFN7G1pvYjERXf1Q2dfmmicrckN6lijuKIEf3bf5DI8smsyty5c4NnXlKmTBlmM9vv1QFLlChR8Pu+vr5Ys2YN2rdvrx7zWyQoCvlvv7cs2LhxY2TIkAEHDhwIVZg1ffr0qFOnToRz/uR7TZ069RfXixUrFmaj36jG5TYiirSxG+fgjdc7ZEueAb/lLav3cExei5K1kTJeUtX4VxrgUtTw8vL66uHj4/NDnyu7JUN+TkSNGzdOzeQkS5ZMzQYFLY8NHToUMWPG/OZx9+7dMB9z7dq18PT0RJMmTb779WWJLF68eGoGqGfPnnj//v1XP/f06dO4dOkSunXr9tXK9d8Lyj6XPHly9X0HHadOnYKbmxuKFi0KU8CZJCKKlDtPH+DfPSvUeR8TaT/yNfLELX+Egs71IjNt/aq3R9NpPTBl2yLULVQFKeIl0W081qJhw4Zf/VjOnDlVcBBy+elrZSLc3d3Rv3//4PdlpubNmzehPmfp0qURGlusWLHg4uICW1vbUDM6khtUq1atb/7bJEnC/tmZNWsWypUrF/wz/zV169ZVM1fyOGfPnkX37t1x5coVrFyptRX63NWrV9XbjBkzBl978uQJ0qRJE/z+yJEj0abNp0KyMrsk31tI8v+3YkWtbU/I71uCTMlVKlCgQKj/z3pikEREkTJ87TT4+vuhuHs+FM38M0yZJJeOHj0apuCXHMVQKGNuHLhyQi29TW8xRO8hkQmSnW5yRNT9+/exZcuWcAVrsqMuSLZs2VRelCRgS46U9DkMD5n1CdqNJ4ngn8+2jRkzRuUwhSTBmL+//xePJcngEnRu27bNZF50MUgiogg7feeS2s6utR9pq/dwzLIkQOkhjVQid9PiNZE/fQ69h2XR5s37+tLm53+MZ8yYEe7PnTBhgvqjLjNBhv6jLsttcnzLxYsX1Q6zkObMmaMClypVqkT4a+bLly9491pYQVL69FoVfZltkhm4oJmgdOnSqXM7uy9DCpklCvp4EPn/9fLly1DXBg8erII7SQyXj5sKBklEFOn2I9V/Lo+syTPoPSSzIy1b6hWugvn7VqPvsjHY3GOOybxytkTRo0c32ufKzIm8NfT9i8xym/xuSpAky4v29vYR/ppBM0IyoxSWnDlzqt1so0aNUmMz1Pe8YsUKlZe1adOmcM9gRRUGSUQUITsvHFJLRQ529uhe5dN0vSmTHIigvJNhw4ap5Te9/VW5JVYf24azd6/gv0MbULdQZb2HRGa+3LZz507cunULzZs3/+JjDx48UEtpMqv2888/qyW1RYsWoUKFCmrmSXKSOnfurBKms2fP/tVZ0Dlz5qBMmTIoVKiQ+p3KnDmz2k23d+9eVdH+8/yj7zl//rwK6mQJTsoKeHh4BO/Yi8xyo6HxpQsRRar9SLMStVRtJHMgr7AlV0OOqG5L8jXxXeOiS8Wm6nzYmil46/VO7yGRmZOEbamZFFS7KCQJZGSZLGj3mgQh27dvR9myZdXnd+3aFdWrV8e6deu++TXy58+PEydOqORt6YUoyezyNRcvXqzyj/74448IjVnqK8mYZLlNZrCCjmrVqsEUcCaJiMJt5bGtuPTghqqq3aH813cMUfhIoDlv7yrcenof4zbNRe/fPu0KIuvRqVMndfwomRn6mlSpUoV6gSBb7/fs2ROpr5MhQwZVIfx7vvaCJOS/lbpLcpgqziQRUbj4+Pvin/Uz1XnH8o0RJ0YsvYdk9mTJsn+NDup82o7FqqwCEZkOBklEFC677pzAo5dPkDRuIjQtwfYjhlI2exEUzZQXPn6+GLhygt7DIaIQGCQR0Xe9ePcKm24cDG4/Et1e/8RnSyHJsANqdoJNNBtsOLUb+y8f13tIRGTuQdLw4cPVk0vIdVyp1imJZJKpLyXbJQnt8ePHuo6TyBJM2DIfH/y84Z40Har/XE7v4ViczEnTomHR39R5n2Vj4Of/qZM6EenHLIMk6W0zbdq0L7YpyvZFycxftmyZSkh7+PChyWTIE5mru88eYu6+lcGd7M2xno+8oIofP7469GxL8r2SALGdXVVivNRPosgxld2LZBn31uye7d6+fYt69eqpqqhx4sQJvv7q1Su1/VFaD5QsWRK5c+dW9RwOHjyIw4cP6zpmInM2bM1UlS+T2S0VimUy7fYjXyN1kSZNmqQOU6iRFJa4MWPhr491p0aum66WOCn8goonfqtBK5k3n48tTyJai8mqSgDIcpo0xpNeMFJXIYjUbZA6ECF7xEjtBynZfujQIVXbIawCcyEbGb5+/Vq9lceRg/QVdA94L/Rz5u7l4PYj1TOVhJ+fn8nOxFiCOvkrYt7elbj88CaGr56GwbU6f/E5/L34OmlnISkWAQEBcHZ2NvrPqsxsyB/uDx8+8PfCyOSeSjNdqW4u/98///k31u+DWQVJ//33H06ePKmW2z4nVTqlOFbs2LFDXU+YMGFwBc/PSeXdAQMGfHF9165d6heMTIM0O6SoJ09Eo49qdVfyJcmC5K4JeS+iQIVk+VWQNG/fKqQMjIOkLgnC/Dzei68HSu/evTPLZWH6NgmEpKq3VAf/nLFmEM0mSLp37x46duyonhgi0lvnW6SkepcuXULNJEmBrRIlSqjkb9L/F0Lut5TAj0wfIvox284dwNXNd+Fo74BRTf/GheNnzPZeyKv9QYMGqfM+ffqoF1SmqgKAyz4PsPH0Hmx/fAr/1RobapaCvxffJx3mZdbT2Dks8jUkpUMqTofV3JUMR34H5Of9a8Gvp6cnjMFs7qosp8lUW65cuUL9Iki/mIkTJ6ruwfJEKJ2FQ84mydSrdCEOi+QmhJWfIDeCTz6mg/cj6snuqmFrp6jzliV/R8oESXEBZ8z2Xshzxc2bN4PzGUz9e+hXoyN2nD+Eg9dOYtuFA6iYs8QXn2Ou9yIqRNX/FwlYJVCS3dS8F/oy1v9/s5mPlMZ8586dU12Kg448efKoJO6gc/mftGPHjuB/I31q7t69iwIFCug6diJzs+jAOlzzuIO4MWKhPduPRLmU8ZKgTdl66rz/8vH44OOl95CIrJKdOa0zZ82aNdS1GDFiqGWxoOvNmjVTy2fSOdjV1RXt27dXAVJYSdtEFDZptPrP+hnqXBqwujrFZJKwDtqVa4glhzbgnucjTN2+CJ0raM1wiSjqmM1MUnhIB+JKlSqpIpJFixZVy2wrV2r1XYgofCZvW4Snr58jdfxkaFiUdcb0EsPRCX2qtVPn4zfPw8MXT/QeEpHVMesgaffu3Rg7dmzw+5LQLXVQnj9/rnY3SID0tXwkIvqSx8unmLJtoTrvVbWNasBK+qmapwzypftJLbcNWjlR7+EQWR2zDpKIyLD+WTdD/UHOkyYbKuX6MlmYon5Hz+BaXdRbqVd15PppvYdEZFUYJBGRIrV5Fh9cr877VW9vccXxJK9RDnOTLUVG1CtURZ3/vWQ0/AP89R4SkdUwm8RtIjIuWc4JCAxAxZzFkTdt6L6I5k6W4qVtkbnqXqUV1p7YgXP3rmLp4Y2Ihahry0BkzTiTRETYf/k4dpw/CDsbW/Su2kbv4dBn4rvGRdeKzdT5iHXT8d6XJQGIogKDJCIrJz2RBqwYr84bFv0NaRKm0HtIFIamJWoifaKU8Hz7Euuv79d7OERWgUESkZVbeWyLWsZxiR4DXT7OVlgaqcbfv39/dQR1Ejc39rZ2GFhTa3i7684JXPO4rfeQiCwegyQiK+bl641ha6apc6msHc8lDix1tuzixYvqkHNzVSJLfpTOWkjljg1YOcHovcmIrB2DJCIrNnPnUjx47oEkcRKgRcnaeg+HwqFvtXawi2aLPZeOYts5LrsRGRODJCIr9fztK4zfPDd495STQ3S9h0ThIJXQS6XOq877LhsHb1/zXD4kMgcMkois1JiNs/H6w1tkSZYeNfKV13s4FAEV0hZEAte4uP30PmbsXKL3cIgsFoMkIiskf1z/3bMiePnG1oZ1d8xJdDtH9KjSWp2P2TgHj18903tIRBaJQRKRFRqyagp8/f1Qwj0/irnn03s4FAnV85ZDzlTueOf9HkNWTdZ7OEQWiUESkZU5cfM81p3codqO9KnWFtbC0dFRHZbCxsYGQ2p3UedShfvkrfN6D4nI4jBIIrIismU8qHBk7QIV4Z4sPayBtCWZP3++OuTcUuRKnRW18ldQ573+Y183IkNjkERkRTad3oOjN87Cyd4R3Su31Hs4ZAC9f2uDmNGdcfrORUzf8Z/ewyGyKAySiKyE5CANXjVJnbcqXQeJ4yTQe0hkAAljxUP/6h3U+Yi103Hd447eQyKyGAySiKzE/H2rcfPJPbi5xEHbsg1gTaQVybBhw9Rhrm1JvqVe4V9R3D2fqqDead5gLrsRGQiDJCIr8PLda/xvwyx13q1iM7g4xYA1kVYkp06dUoc5tyX5GknCH1W/p1p2O37zHJfdiAyEQRKRFZBlNs83L1QX+fpFquo9HDKCZHETYUCNjuqcy25EhsEgicjCHbx6Egv2r1Hn/9TrqbrJk2WqW6iKqn3FZTciw2CQRGTB5I/lXwtHqPMGRaoif/oceg+JomDZzSV6DLXsNm07l92IfgSDJCILNm7TXFx/fAcJXN3w92/WUzjSmiWNmxADagYtu03DNY/beg+JyGwxSCKyUJcf3sTELfPU+ZDaXRHL2UXvIVEUqVOwMkpkyQ9vPx90mstlN6LIYpBEZIFkB1e3BcNUbaRy2YugUq4Seg+JonjZ7X/1e6lltxO3zmPq9sV6D4nILDFIIrJAc/euVDkpMRydMazOn+qPpjWTViRLly5VhyW1JfmWJHESYEDNTup85NrpuProlt5DIjI7DJKILMzDF08wZLXWFb5X1T/UH0uyTnUKVkLJLAW0ZTfudiOKMAZJRBbWwLbXf6Pw1us9cqfOisbFquk9JDKR3W4nb13AlG2L9B4SkVlhkERkQTae3o3NZ/bCzsZW/XG0tbHVe0gmQVqRjB49Wh2W2JYkvMtu/6ybwWU3oghgkERkIV69f4Ne//1Pnbcr1wCZk6bVe0gmlch++PBhdVhiW5KILLt1nDsIfv5+eg+JyCwwSCKyEENWTcbjV8+QNmEKdKrQRO/hkAkuu7k6xcSp2xe5240onBgkEVmAI9dPY96+Ver8n3o9EN3eUe8hkYnhshtRxDFIIjJz3r4+qiaSqFeoCgpmyKX3kMhE/V6gIkplLchlN6JwYpBEZObGb56Lax53EN81LvpUa6f3cMjUl93q9QhedpuynbvdiL6FQRKRGZMlEwmSxOBaXRA7hqveQyITlzhOAgwMsex25SGX3Yi+hkESkQW0HimTrRCq5C6l95DITNQuUBGlsxWCj58vl92IvoFBEpGZmr9/NY7eOMvWI+Hg6OiIefPmqUPOrZ38rPxTt7tadjt95yImb1uo95CITBKDJCIz9OjFEwxeOUmd9/y1FZLFTaT3kEw+KJCebXIwmPy07DaoVmd1PmLtdOy/fFzvIRGZHAZJRGbo76Wj8cbrHXKlzoImxWvoPRwyU7XyV0CNfOVVT7cWM3rhztMHeg+JyKQwSCIyM5tO78GGU7u11iP1erD1SDj4+vpi0qRJ6pBzCrHsVq8HcqR0x4t3r9Fwyp946/VO72ERmQwGSURm5PWHt+i5eJQ6b1O2PtyTpdd7SGbB398fe/bsUYec0ydODtExp/VwJIwVD1ce3kS7OQOssnULUVjsEEG3bt3Cvn37cOfOHbx//x7x48dHzpw5UaBAAbXeT0TGbT3i8eopUsdPhs5sPUIGzE+a3Wo4fhv9h2qQ/M/6mehepaXewyIynyBp4cKFGDduHI4fP46ECRMiSZIkcHJywvPnz3Hjxg0VINWrVw/du3dHypQpjTtqIiu04dQuzN27Up3/U7+nmgEgMpTcabKqpTcpCTBm42zVIJllJcjahWu5TWaKxo8fj8aNG6sZpEePHuHEiRPYv38/Ll68iNevX2PNmjVqijZPnjxYtmyZ8UdOZEWuedxGh38HqfNWpX5H4Yy59R4SWWj9pFal66hzCZbO37uq95CITD9IGj58OI4cOYI2bdogefLkX3xc6o4UL14cU6dOxeXLl5EmTRpjjJXIKkkibdOp3fHO+z0KpM/J1iNkVH1+a4sS7vnxwccLjab8haevn+s9JCLTDpLKlSsX7gd0c3ND7tx8lUtkCIGBgeg4d7DqzZY4dnxMbzEYdrYRTiXUBPjLAxp6iGRh5OdrSrOBSJMgOR4890Dz6T1VZW4iaxThZ9uTJ0/C3t4e2bJlU+/LMtucOXPg7u6O/v37w8HBwRjjJLJKk7YuULlI9rZ2mNlyGOI7xQCeXQO8XgFer7W3H+Q8jCP4+sfP83kLxEkFFGgN5G4AOLro/e2RiZIegHPb/IMKw5vhyPUz6L3kfxhZtzsLcZLViXCQ1KpVK/To0UMFSTdv3sTvv/+O3377TeUhyW63sWPHGmekRFZm3+VjGLp6CmwQiJmlyiL3yQnAgnVasBNZL24DG3sAO4cBeRoD+VsBsZPB0klKwMyZM4PP6fvSJ0qFKc0GocHkrpi/bzXck6Zj4VKyOhEOkq5evYocOXKocwmMihYtikWLFuHAgQMqYGKQRPTj7ns+wthZndHX+SHqxPRGrJNabSTFISbgFAdwigVEDzpcP507xf7ymhwOzsClDcDBScCz68D+ccDBiUDW34BC7YGkOWGpZAbE1dVV72GYndLZCqJ31TYYvGoS/l46BukTp+amAbIqdpHJkQgqNLZ9+3ZUqlRJnUtC97Nnzww/QiJr8uIufE8thv+uCVjh+Fq7JrUPJSjKVg3I8TuQ/Gf5qx+5x/+5GZCnCXB1C3BgEnBrL3B2uXakKqQFSxnLAzasM0uatmXr49KD61hxdAtaTu+FTT3nIGW8JHoPi8g0gyTZ4j948GCULl1aVa+dMmVKcJFJqZ9ERBH04SVwYQ1w+j/g9gHYA5BKY96B0eCXoRxi/NwYSF8asDNQvp8EQJl+0Y6Hp7Vg6dwK9bXV4ZYWKNgGyFkXcIgBSyCtSObOnavOGzVqpPIqKfyzcKPq98T1x3dx5s4lNJr8J9b/NR0xo1vGzwbRt0T45aIsp0nydrt27dC7d2+kS5dOXV++fDkKFiwY0Ycjsk5+3sDF9cDiBsDwdMDq9ipACUQ07PdxRue3SXC05irEaLQUyFzBcAHS55LkAGrOALqdB4p0BqLHBjxvAOu6Av+4A9sGAq8fwdxJK5KtW7eqg21JIk4Kl/7begQSuLrh8sMbaD9nIFuXkFWI8ExS9uzZce7cuS+u//PPP7C1ZaNNom96+wTYNxY4uUCbQQqS0B0PUpRAte07ccc3Gnr+2hpFcpSMunG5JgHKDQCK/wmcWqjNLkmS955RKnfJNms1xAjIG3XjIZNsXTKn9QjVumTTmT0YtWEW/qrcQu9hERnVDyUevH37VlXblsPHxwcfPnww3MiILMk7T2BzH+B/2YADE7UAySUxULgj0O4gnjXehCqHL6oAqfxPRdG+XEN9xukYU9vx1vkUUHchkLIA4O8LmzNLUOTSAODFHX3GRSbVukSM3jALa0/s0HtIRKbX4FaW2nbv3g0vL69QCd2yds2pbKIQ3j/XgqJDUz9t3U+WByjRXcszsrGFn78f/pjQCQ9ePEbahCkwvnFf2OidOG1jC7hX1o77xxG4qgMcH59H4OI6QKvt2m45strWJRfvX8O0Hf+p1iVSdDJr8gx6D4vINIKk+vXrq4Bo9uzZKlGbxcWIwiDFG2XJ6uBkwPv1p/yfUr2ADOVC7U4bvnYa9l0+DmdHJ8xqNRyuTjFhUpLlgV/dxfCbWBROT68A/zUCGiwHIlv5m8yetMa5/PAm9lw6it/Hd8SyThNVQ1wiSxPhZ7kzZ86o5rYZM2Y0zoiIzJn3G23WaP8EwOtjzlGirEDJXkDmil9s3V9/cicmbpmvzsc06I1MSUy076FrEhxJ3wXFrg1DtOs7gQ1/ApVHR74UAZl965JpzQejxth2qglu9dFt8F/HccieIpPeQyMyqAjP6efNmxf37t0z7CiIzJ3POy0hW3KOtg/SAqQEmYDf5wFt9gPulb4IKK4+uqX6sonWpevi1zylYcpexUgF/2rTtO/j6Czg0GS9h0Q6ty5Z3mkicqR0x/N3r1BzbHucvHVe72ER6TuTJKX9W7dujQcPHiBr1qxf1BuR3W9EVsP3gxYw7B0DvHuqXYuXDijRA8hWXcvtCcNbr3doNq0H3nm/R8EMufD3b21gDgIzVQDKDQI2/w1s6gXETaPVWzJx0lNy4sSJwedkuEBpWacJqDexM47eOIta4zpgYbvRyJdO68pAZHVB0tOnT3Hjxg00adIk+JrkJTFxm6yuztHxf4E9/wPeeGjXpHlsyR5A9lrfzNeR3xWZQbrmcQeJY8dXyxayfGE2pCq3tDWR739pU6DFViCx1vDaVEkifIIECfQehkVycYqBxe3HouGUP3Hgygn8Pr4T5rcZhcKZ8ug9NKKoX25r2rQpcubMiUOHDqkGt7LbLeRbIosWGKhVxx6XF1j/pxYgxU4BVJ0IdDqhVan+TsAjOUgbTu2Cva0dZrYchviucWFWZLmt8v+ANMW1Zcb5tT4FimSVYkR3xoK2/0MJ9/z44OOF+pO6YueFQ3oPi+iHRfjl6507d7B27drgSttEVuPhGWBjD611h5A6RyX+AnI1CHdF7Jk7l2DIai2XZ0jtrqrujFmytQfqzAWmlQaeXQMW1AaabdKa6JogPz8/LF68WJ3XqVMHdnZmNHNnTlW5/xiJFjN6YevZ/Wg85S9Mbz4E5XMU1XtoRFE3k1SyZEm1w43Iarx5DKxsC0wpqgVIdtG1OkedT2oNY8MZIM3YsUR1UhftyjVAgyJVYdak6W6DZYBzXODBKWB5S8BEW1VIkLRu3Tp1yDkZh6O9g5odrZSrJHz8fNF8ek8WnCSzFuGXU5UrV0bnzp1Va5Js2bJ9kbhdpUoVQ46PSD++XsDBSVreUVAhyOw1gbL9gdjJI/RQ07YvRr/l49R5h/KNVNsRi6gx5pYGqLsYmFMZuLgW2D5Q+/9DVsvBzh5Tmw1ERzt7rDi6Ba1n9oGPnw9q5DP9BH+iHw6SZGebGDhw4BcfM2bi9rBhw7By5UpcvnwZTk5OqpnuiBEjQtVrkgrgXbt2xX///Qdvb2+UK1cOkydPVkUviSKWd7Qa2NwXeHnnU5XsCsOAFPki/HBTty9C/+Xj1XmnXxqje5VWlhEgBUlVAPhtojaTtHc04JYOyF1f71GRjmQjglSOd7BzwOKD69D+34FqZqluIb6IJgtfbpPOz187jLmzbc+ePWjbti0OHz6Mbdu2wdfXF2XLlsW7d++CP0dmuGQ6fdmyZerzHz58iGrVqhltTGSBZNloZnmtqrQESNL4tcYMoOX2SAVIk7cuDA6QOldoYnkBUpAcvwPF/9LO13QAbu7Te0SkM1sbW/yvfk80KlpN7ejsMn8o5uxervewiCLEbLIXN2/eHOr9f//9V23plerfRYsWxatXrzBr1iwsWrRI5U2JOXPmIHPmzCqwyp8/v04jJ7Pw+hGwbSBwepE2k2TvBBTpBBTuADjEiNRDTtq6AINWarV5ulRshj8rNbfMAClIqd6A53Xg3EpgcT2g1Q4gXnq9R0U6l14YXudPRLd3UL3eev43Ct5+Pqp4KpHFBkk7duxQx5MnT9QMUkjS0y0qSFAk4sbVtk9LsCSzS6VLf6panClTJqRIkUKVKwgrSJIlOTmCvH6t9diSx5GD9BV0D4x6L3w/wObQZNjsH4dovtqsZED2mvAv1QdwTRo0kAg/7ORtCzFs7VR13vmXJuhcvrFZJwyH+15UHg/bF3dhI01x59WCX7PNWmK3zkKOW85tbcMu8mkOouT3wsB6/9oG9rb2mLh1vppZfe/1Ae3LNYS5M8d7Yal8jXQPIhwkDRgwQOUj5cmTB4kTJ9bllbEEZp06dUKhQoVU1W/h4eGhKunGjh071OdKPpJ87Gt5TvL9fG7Xrl1wdjbNrczWSJZXDS4wEEleHEWWe4vh7OOpLj2PkQ7nU9TDC8d0wH7ZwRm5XZybbxzCqqu71XmldIWRCYmwceNGWMu9cIzXGEWf3Ibz8xt4NbUyDmbojkAbfSetQwaoW7dutYgSAEb5vTCibLbJUCV9Eay9tg8j18/AhUsXUTl9EYuYXTW3e2GJ3r9/b5THjfAzxdSpU9VSV4MGDaAXyU06f/489u/f/0OP07NnT3Tp0iXUTFLy5MlRokQJuLm5GWCk9KOvDOTJp0yZMl/sovwhHudgu7kXbO4cVO8GuiaFf+l+cMlaDQV+8Al7wpZ5wQFS14rN0Kl8Y1jlvSiQA4GzyiPem8uo5L8V/hUn6NoMV15Y5cqVS50nSZJELQOZK6P9XkSBCqiAbNsXYciaKdhw4wDiJIqHftXbI7q9I8yROd8LS+Ppqb3Y1T1I8vHxUTvL9NKuXTusX78ee/fuRbJkyYKvJ0qUSI3t5cuXoWaTHj9+rD4WFkdHR3V8Tn7Y+QNvOgx2P949A7YP1tppBAYE5x1FK9wRdgYogjhm42z1Cln0qNIKnSp8at1jdfciaXbg97nA/JqwOb0YNtK2pFA76Cl16tSwJOb6PNX+l0Zwiu6Ev5eMxoIDa3D23mVMbzEEqeJ/ej43N+Z6LyyJvZH+/0f45VTz5s1VcnRUk90REiCtWrUKO3fu/OIJL3fu3Op/kuRKBbly5Qru3r2LAgUKRPl4yYT4+wIHJwNjcgHHZmsBUrZqQMfjQMmeBqkSPXrDbIxYO12dSw0kSwyQIixDGaDCcO1853Dgwwu9R0QmonmJWljUfgzixoiFs3evoMyQRqpVD5GpCddMUsglKZm2nj59OrZv347s2bN/Eb2NHj3aaEtsEpytWbMGLi4uwXlGsWLFUnWT5G2zZs3UWCWZ29XVFe3bt1cBEne2WbHrO7VWIk8ua+8nzg5UHAmkMtxs6Kj1M9Uheldtg/blzT8h1WDytQROzAM8zgMHJgGl/9YtJ0nqrAkpC2IJOUnmrmSWAtj+93y0nvk3jt44i2bTeqJFydroU62dKkhJZArC9Uxx6tSpUO/nyJFDvZW8oJCMmYA3ZcoU9bZ48eKhrss2/8aNtbyPMWPGqFyD6tWrhyomSVbI8yawqRdw+WPCtLMbUKYvkLshYGO4nU3/rJuB/22Ypc7//q2tajdCIUjuT4kewOL6wKEpQME2uux2kyBp+fLlwV0BGCSZhiRxEmBFl8kYtnqK2hE6Y+cSHL95Ti2/JXdLrPfwiMIXJMluL73Jctv3RI8eHZMmTVIHWSnvN1obkQMTAX8fQHZV5W+p9VqTXmMG/Hn8Z/1MjP4YIPWt1h5tytYz2ONblMyVgERZtdkkafNSuo/eIyITYm9rh77V2yN/+hzo8O8gnLp9US2/ScXustkL6z08snKR2uIhydHHjx9Xh5wT6U7qdZ1aBIzNrbXGkAApXUmg3SEtL8aAAZKfvx/6Lx8XHCDJ7hwGSN+ZTZLcL3FoKvD+ud4jIhNUNnsRbO31L3KmcsfL96/RcHI3DFwxAb7+5ltfjKwsSLp9+zYqVqyIePHiIV++fOqQ80qVKqmPEeni3jFgemlgRWvgjQcQNzVQfwnQaBWQ4FNvP0PwePkUNca0U9WDxYAaHfFHGQZI35WpIpAomzbTJ7N8RGFIES8J1nSbpnKThCzBVR/dBg9fPNF7aGSlwh0k3bt3TyVAnz17FoMGDcKKFSvUIYUlz5w5oxKk79+/b9zREoX06oHWVHVaKeD+ccAhJlB2ANDhKJDpF4PX5dl/+ThKD2mIw9dPI2Z0Z5U30ap0HYN+DcueTeoRYjbJODVNyPxJ0vagWp0xs+VQuESPoZK65fdu14XDeg+NrFC4g6T+/fsjY8aMuHbtmirCWLVqVXX06tULV69eRYYMGdTnEBmdzztgx1BgbC7gtDajgxx1gM4ngaKdATvDFqaTHZ1SA6nWuA549uYF3JOmw5ae/6JK7lIG/TpWkZskuwt93mo73Yi+oVKuktjWey6yJc+A529fou7Ezhixdhr8A4zXSJ0o0kGSNJgdMmSISo7+nGzBl9klS2m9QCaedyT1jnYNV33XkCI/0HoXUGMa4BJ20dAf8fztK9Sf1FXVQAoIDMDvBSthffeZSJswhcG/lsWTmT3Z6RY0m/SOs0n0bVJgct1fM9CoaDW1WWLMxjmoObY9Hr96pvfQyEqEex/ss2fPkCpVqq9+PE2aNHj+nAmZZCS3DwIbewIPP5ajiJ0SKD8QyFLVaO0uTtw8j5YzeuPBi8eqbcKwOn+iTsFKRvlaViNzRW026dFZLTepbL8o+bLS13Ho0KHB52Q+5HdvRN2/1O63bguG4+DVk2r5bXidP1EhR3GL6P1GFjCTJM1sL168+NWPS82kr7X/IIosZ68nsF3aGJhZXguQHF2AcgOBjseArL8ZJUCSV6wzdy5B1f+1VgFSmgTJsaH7TAZIhiD3K2in2+FpUTabJPXT0qVLpw5z7ttmzX7LWxZbes5B5qRp8fT1c1V8sva4Drj66JbeQyMLFu5nC8k/6tatG54+ffrFx548eYLu3burzyEyCK9XsNnWHyXPd4fNpXVANBsgb1Og82nVbw32Xy77GsKbD+/Qcsbf+HvpGLX1WPIiJP8oS7L0Rvl6VilTBSDxTx9zkyboPRoyI+kSpcSG7rPQ6ZfGKsF77+VjKDmoPvotH6d+d4l0C5L69esHLy8vpE2bFm3atMH48eMxbtw4tG7dWr06+/DhA/r27WvwAZKVkZooR2cBY3LC9uAE2Ab6ISBNcaDtAeDXsUDM+Eb70hfvX0O5YY2x7uQO2NnYYnCtzpjRYghcnGIY7WtaJR1mk6Ti9tq1a9Uh52S+nB2io8evrbG332JVbNIvwB/Tti9GwX41seTQBrXRgijKc5LixImDI0eOqN1s//33X3ARydixY6Nu3bpqvV96phFF2rUdWiuRJ5fUu4Fu6XAk7q/IXacnbIycR7L44Hr0XPwPvHy9kTROQrW9P3earEb9mlZNSjQkyQE8PA3sHw+UG2DULyeB0YIFC9R52bJl2ZbEQpK657UZhR3nD6LP0jG4+eQeOs4dhHl7V2Ho793wU8pMeg+RLECEFuclUJIeap6enqrBrBxyPnXqVAZIFHmPLwLzagBzf9MCJKmOXXEk/P7Yj8excxgtMVt88PFC53lD0HneYBUglXDPj6295zJAisrZpCPTgXfcrUSRUyprQezqsxC9f2sDZ0cnnLh1HuWHN0G3BcNUyQ6iHxGpDEbZTZAgQQJ1cGcBRdrL+8DKNsDEgsDVrVqfNWmA2uU0UKA1YGvcTuCXH95ExRHNsfjgOthEs0H3Ki2xsN1ouMWMbdSvSx9lLA8kyanVvZLZJKJIcrR3QPtyDXGg/xJUy1tWbb5YsH8NCvWrhZm7lqpWQkRGC5LKly+Pw4e/X+30zZs3GDFiBBvM0rd9eAFs7gOMzQmcXAAEBgBZfgU6HDF4n7WwvHr/Rk3PlxrcABcfXEc8lzhY0nEcOldoyp1PUT6b9LFu0mHOJtGPSxwnASY3G4jVXaeqzRbyu/73ktEoM7SRKh1AFFHhWpivWbMmqlevjlixYqFy5crIkycPkiRJogpLvnjxQpUG2L9/vyomKb3d/vnnnwgPhKyAFH+UP4Z7/gd4fWyMnKqQlo+S/Gejf3mp1LvowDoMWzNVVfAV5X8qquqtJIptvIRw+s5sUtKcwINTwL5xQPlBeo+ILIDUVJJmuZKfJIVgLz24gWqj2+DXPKXRr3oHJImTQO8hkiUFSc2aNUP9+vWxbNkyLFmyBNOnT8erV6/Ux2S5zd3dHeXKlcOxY8eQOXNmY4+ZzI20ETi1GNgxBHj9QLuW0F3rs5ahrFFzjoIcuX5avaI8d++qej99olRq91ox93xG/9oUjtyk+bWAIzOAwh2MuoORrIetjS2aFK+BX/OUwfC1UzF/32qsOb4dW8/uR4PCVdGy9O9IFpe1/ejbwr3Fw9HRUQVKcggJkmTbv5ubG+ztjZs7QmYqMBC4shnY2j94xxpiJQNK9QZy/A7Y2Bp9CNI9fNDKiVh1bKt639UpJv6s1AKNi1eHvS13OJmEDOWApLmAByeB/TKbNFjvEZEFiRszFkbW7a4Co95L/qca5k7f+R9m716GqnnLom3ZesicNJ3ewyQTFem/ErL0JgdRmO4eAbb0A+4c1N53ig0U+xPI18JohSBDkp1qU7ctwrjNc9UONpnxrF/4V3Sv0krlIJEpzibV/Dib1NHgs0nSikRqvQWdk/XJliIj1nSbht0Xj2DS1gXYf+U4lh/ZpI6SWQqgXbkGKJA+JzcjUSh8KU2G9fQqsG0AcHGd9r5ddG3HmlTJlkDJyGRXy6bTe9B/xXjcffZQXfs5bXYMrt0F2VOwborJkmXXZLmB+yeAfWOBX4YY9OElIT9LliwGfUwyPxIAlciSXx2n71zCpC0LsOHULuy8cEgdOVO5o23Z+vglRzG1XEfEIIkMt51/90jg5HwtB0naiOSqr80QxEoaJUO48vAW+iwdrVoViMSx46Nv9faomqcMXx2ay2yS1Ms6OhMoIrNJTK4l48mRMjNmtByCW0/uYer2xapa96nbF9F8ei/Vr/GPMvVQM/8vqsEuWS8GSfRj3ngAe0YBx/4F/H0+dXov0w9IEDUzNy/fvcao9TMxZ88KtYPN0c4Bf5Spi/blGyGGo1OUjIEMIH0ZIFke4P7xj7NJQw1acXv79u3qvHTp0qy4TcFSJ0iOEXX/QrdKzVWe0pzdK1T17j8XDsfIddPRomRtNCzyG2LHcNV7qKQDPlNQ5Lx9CuwbAxyZCfh5addSFQZK9wFSFYiSIbx490rtWJFXgUFb+ivkKKa2+KaMHzWzV2SM2aTqWv8+yU1ySWiwIGn27NnqvHjx4gyS6AvxXeOqnMV2ZRuoUiFTty/CgxePMXT1FIzbNBf1i/yKVqXqsHyAlYnUM4X0bVu+fDlu3LiBP//8U7UkOXnyJBImTIikSfnHyaK99wT2jdcak/q+166lyAeU+htIWyxKhnDz8V1M37lETY9LUrbIkDi12tJfNLPx6y2REaUvDSTPC9w7ps0mVRim94jIysSI7owWpWqrHbBrjm9TSd5SZ0ma6M7cuRTF3fOpZbhy2YvALhrzlixdhIOks2fPqulq2dl2+/ZttGjRQgVJK1euxN27dzFv3jzjjJT09eElcGAicGgK4P1GuyZFACU4kj9sRs75kYTsw9dPqyeqLWf3qfeFVNVtVboOfstbllv6LWk2aW41bTZJEv4NNJtEFBHyfFIj3y+o/nN5ldQtwZJU7ZaGunK4RI+BSjlLIGlAbAQEBOg9XDKSCP9V6dKlCxo3boyRI0fCxcUl+HqFChVQt25dQ4+P9Ob1WguMJEDy0gqIIlE2rdaRdHI3cnAkOUarjm9T/ZfO3r0cfL10tkJoXaoOCmXMzaRsS5OuVIjZpDFaqxoincjzizTRlePG47uqZMCyw5tw/7kHFh9arz5nybUdqJH/F9TMVx5pEqbQe8ikZ5AkVbWnTZv2xXVZZvPw8DDUuEhv0nRUWojIkof0WhMJMgOlegGZK8ueaqN+eem5NG/PSkzeswAvvLSZK9llUit/BTUVLhWzyZJnk3oBc38Djs7+OJvEysikv7QJU6i8JSlIKzPbSw5uwJpj23Dv+SOM2ThbHXnSZFPLcVVyl0KcGKwlaHVBklTefv369RfXr169ivjx2U7AIvqryTLH3tGfGo7GSweU6Alkq2b0Ktl3nj7AjJ1LsOjgOrz3/qCuxXeJi6YlaqBh0Wpwi2n8WktkAtKV1Pr53TuqzWKyCjeZEKm7VTBDLuRNnQ2FXTMDiWNg5fEt2HPxKI7fPKcOaaJdJlth1Mr/C0pkKQAHO3amsIogqUqVKhg4cCCWLl0aPBUpuUjdu3dXTXDJjGeOjs3Rmoy+faxdi5NK69KevRZgxHwfyS86duMspu34TxWCDAjU1vczJk6DfPEyo0+TznBxjmm0r08mOptUrCuwoDZwcoG2a9KO9WrI9DjY2qNCntKoWeAXPH71TLVAkuW4C/evqUKVcsSNEQuVc5dCuZ+KqOCKtZfMR4T/8v3vf/9DjRo1kCBBAtW7rVixYmqZrUCBAhgyxLBVcikKSJ6RLKsdnAS8f65di5UcKPEXkLMuYGu8Vz+yY0R2j6w+vh23n94Pvi7VcCXfqEC6nNi0aROfUKy5bpJrEuD1Q+DSeiBb5F+ESX/JHj16BJ8TGUPCWPHQunRddUiQJMHSyqNb8OS1J+buXakOJ4foKJb5Z5TOWlDlViaKzRUYiwqSZFfbtm3bcODAAZw5cwZv375Frly51I43MiPvPIFDk7UAKSghO25qoGhXrfmsnYPRtu+vObFdBUZXHt4Mvu5k76iaTcpOtUxJ0qhrvr6+RhkDmQmZvcxVD9j9D3Bs7g8FSba2tup5iiiqyM7bLDXS4+/f2qguADJLvu3sAXi8eorNZ/aqQ2RPkRGlsxVWQZNUAZelPDIdkV5DKVSokDrIzLx5DByYoOUdyRKbiJ8RKNZN+yNkhGU12QWy9vgOrD6+LdQONVmjl8aSv+YpjbLZCqv6JESh5G6oVXS/uRt4fksL5InMiJ2tnXqekyOwbqCaYdp2bj+2nTug2qCcvXtFHaM3zFIFLWUXXZlshdRsU8zoMfQevtWL8F/EDh06IF26dOptSBMnTsT169cxduxYQ46PDOXlPW2n2ol5gJ+3di1xdqD4n0bZrfbklSfWndypltOO3jgbfF2aRhbNlFcFRtJEMpbzpzISRF+IkxJIWwK4vhM4MR8o0zfSFbf379+vzgsXLsyK26QLyeHNmjyDOjpXaIqnrz2x4/whbD9/ELsvHsbT18/x38H16pA6TQXS51TJ35KCIDvrWO4k6kX4mWLFihVYu3btF9cLFiyI4cOHM0gyNZ43gL1jgFOLgAA/7ZrUoJHgKEM5g9Y5kjYhG0/tVjNGB66cDE7All/s/OlyoGreMqiYswTiucQx2NckK5CnsRYkSQK3lAaIxGynBEmTJ09W5/nz52eQRCYhvqsbfi9YSR0+fr44cv00tp87gK1n9+PW0/tqmU417F6mtU3Jny4nCmTIiQLpc6iNLVyaM74IP1N4enqqvKTPubq64tmzj1vGSX9PLmvLFGeXAx+DFaQuqgVHaYoaJDiSX+oTt85j76Wj2HvpmJo6DgqMRK7UWVA1TxlUzlUSidnviCIrUwUgRjytmfLVLVoDZSILI+kHRTLlVceAmp1U4UoJmGRZTnb/yizTupM71CHixHBFvnQ5kD+9HDmRNVl6tbRHhhXh/6Oy1LZ582a0a9cu1HXZhZQmjZZwSzpSVYrHARdDzPZlKAMU+xNImf+Ht+pffngT+y4fw55LR3Ho2qngWkYhkxVlxqhK7tJIGS/JD309IkU2EchOy/3jgeNzGSSRVZDlNTlkM4uXrzdO376Ew9dOqefdYzfP4cW716ESwGNGd0betNnVEp0cP6XMzNpMerUlkQDp6dOnKFmypLq2Y8cOVRqAS206kb5B17ZqwdHtA5+uu1fRas1Ij7VI8nj5VJvy/ThbJFtZQ3JziaNyjKSxrLxNGpd9tsgIcjfSgqSrW4FXD4BYbKRN1kPKoGgzRjnQCU3g6++Hc3evqIBJAqcj18/g9Ye32HXhsDqCdgznSpMVP6fNjp9SZEaOVJlZbiAqgqSmTZvC29tb1UQaNGiQupYqVSpMmTIFDRs2jMwYKLL8fICzy7Q/Hk8uadekrpEUfyzcAUiYOcIP+dbrHQ5ePRU8W3T10a1QH5dfPJnalSnhYu4/I3OStFwXJ+OLnx5IVUh7ESC5SSW66z0iIt1IUrekM8jRtmx91eNS6s5pM02nVcsUzzcvcODKCXWErOMkZQZklumnlJnUW+aIflukFjD/+OMPdchskpOTE2LGZDXkKG86K8sOUgBSCu0JRxcgbxOgwB/hfpXt5++nls8kl+jkrQvqrQRFIfOKJOlaXoUUzazNFuVJk5XFHUm/cgASJMkuN1k+ZnBOFLxrOGjXXPOStVVqxDWP2zh87bR6Xj9z5xKuPLqlKoJvObtPHUGSxU2kgiWZaZIAKnuKTNx1HMIPZXmxV1sUk8TVQ1O1GkdBBSBjJgQKttECJKev9zWTX5q7no9w6rYWDJ2W+hx3LuOD78dyACGkjJdU1eiQ2aLCmXKzSSOZhqxVgQ3dgZd3gRu7gPSl9B4RkUmSF7cZEqdWR8Oiv6lr7328cOHeVZXbdObuJfX2+uM7qo6dHNI+JUiaBMlV4JQlWXpkTpoWmZOmQ+LY8a2yBEGEg6THjx+jW7duKg/pyZMn6o9vSP7+/oYcH4mn17QltdOLAX8f7Vq89EDhjkCO2mH2tJLt+PJLcPJjUCSHTL9+ziV6DORM5f7xyIKcqd3VlCyRybF3AnLU0qrEy0xqBIIkaUXSuXPn4HMia+PsEF0ldssR5M2Hdzhz97KaaZLj9J1LuPvsIW4+uaeOVce2Bn+uzC5JekXGJGk+Bk5pkSlJWoufdYpwkNS4cWPV0LZPnz5InDixVUaWUebuES0Z+/IGmQrSrqXIBxTpBGT8RS03yK6HG/ev4fKDG2rp7Mqjm+qt/KCHtY7tniw9cn0MhiQwSpsgBXOKyLxqJkmQJL8Tb58CMeOHuy2J9Jckok9cnGKgcMbc6gjy/O2r4KDp0sMbKtdJyhG8ev9G5TrJEVLSOAlDBU4y65QuYUo42huntZXJB0lStXbfvn3IkSOHcUZk7QL8gUsbgAMTgbuHP13OVAH33WvhdGAsXL5+E1f29la9zyTaD5lDFJJMmaoZIknwS+WuAiTmE5FZS5QVSJoLeHBSK5BapKPeIyKyKHFjxlIVvuUI4u3ro5bmLj24rl6ES+AkL8wfvHgcfOy8cChUjpSUgEnzsYyBBE3y9yhdopRI4OpmVpMrEQ6SkidP/sUSGxkoGfvkfAQcnAIbybmQpctotjgcIxOme8fHrsMP4LN/ZJj/NLazq2oKmyFJajX9KecS0TOXiCx2NkmCpBNztV2c4XjClTSAo0ePqvOff/5ZzSwRUfg42jtoDXuTpQ91XWaX5MV60IyTBFASPL18/zp4yU4KYoYk9ZzSJNCCp+AAKmFytaphiv07IxwkSS2kHj16YNq0aWrrP0Wc/GBJyfnbT+/D8+5ZpLm5AT+/PIMY8IUsfHkG2GKeVxzM+RAHT55KQPpE/TtnRycVAEk5enmrzpOkUTlE5hSZE/2Q7NWBTT2BZ9eB2weB1N9vtO3r64sxY8ao83nz5jFIIjKAWM4u+DndT+oIIpMosovu+uO7uPH4Dm4+vvvx/K5KA3nr9V41Og/Z7DxIoljxkTZRCjULlcItCVLGT6repoiXRJUq0OPvXISDpNq1a+P9+/dImzYtnJ2dv0iCfP78OaydFPqSIowPnj/GPc9HKhiSQwVGT+7j+btXyGX3Hq2dnqOxw2vYfrzvV/0cMMPLDTtskyNhghQomjCFCoKCgiLZqsn8IbJ6Uu4iW3WtWfPxf8MVJBFR1IgWLZoqWilHyFynoFZW8rfw5uN7avlOAqebT7QgSjYWebx6qo6QtZ2CyCSBFjAl1gKoeEm1c/U2iWnNJFkziZIlyHn4/LEKgu6/8FBvH8q6rLrmgcevPMPME7JFICo4vEGrWJ7IY/+pncedWBnwMHMNOGWtjN7xk+OfGK5R/F0RmZk8jbQg6cIaoNJIwIkF8YhMnYOdfXBpgs+9fCdLdDLjdA93PR/iztMHqmzNvWcP8fDlE9UC6/JDWdK7EeZjx3FwMY0gqVGjRrB0UkvI+66/CnaevvaEx8tnKvh5+OKJehtWbaGwfhgSx06AZG6JkDluPJT3u41cj/fD+f1T7RNsHYCfaqkaRykTZUVK439bRJYjWR4gYRbg8QXgzFIgfyu9R0REPyB2DFfkSp1VHZ+TxHH523vn2UMVQMmynTp/9hD3PB+qPnaeb78scaN7MUkvLy/4+Hys2/ORq6v5z4LUndQFNg7f/l8T3zUuksZJhKRxE3x8m1AdSeIkVMtisn5q8/IOcHgacHwG4PNW+4fObkC+5sDPzQEX9jkjihTJTZDZpA1/aTWT8rUMVwI3EZln4niahCnUERbpW3f22kUUmZNP/yDp3bt36N69O5YuXQpPz9DNTi2lmGSyOImQJGFiJHCNi/iubioxWguCEiFpnARIHCfB17fSy86/m3uBdVOAK5s+1TdKkAko2FabPZKieET0Y+R3aUsfwOM88OCENrtERFbH1Smm2tFtDBEOkv766y/s2rVLNbRt0KABJk2ahAcPHqjdbsOHD4cl2NZrLtzc3CL2j3zeA2eWaG1DgprNinQlgULtgHSl+EqXyJCc4wJZftWW247PY5BERPoHSevWrVNbaIsXL44mTZqgSJEiSJcuHVKmTImFCxeiXr16sCov7wFHZmi7bD681K45xABy1gHytQISZNR7hESWXTNJgqSzy4FfhgKOYTfbtrOzQ5s2bYLPiYjCI8LPFrLFP02aNMH5R0Fb/gsXLow//vgDVkGW0O4cAg5NAS6uA4J2ssVJBeRvCeSq/81ms0RkIKkKAW5pAc8bwLkVWp5SGCQwkhd2REQREeGiOxIg3bp1S51nypRJ5SYFzTDFjm3hgYGvF3BiATC5CDCzvLb9WAKkNMWAeouBzqe0pTUGSERRm8AtZDaXiEjPmSRZYjtz5gyKFSumKm9XrlwZEydOVBVtR48eDYv0+iFwZCZwbA7w/mOyul10IMfv2tbjRFn0HiGR9cpZF9g2ELh/Qkvilv5uYWwokect8dNPP7HiNhEZJ0jq3Llz8Hnp0qVx+fJlnDhxQuUlZc+eHRa1pHb3CHB4KnBhLRDgp12PlQzI1wLI01Dbzk9E+oqZAMhcUZvZlXIAlf754lPkRVzQxhK2JSGi8PrhDEZJ2JbDkkSTXWqXFgGPzn66mLIgUKA1kLkSYMvETyKTIktuEiSdXgKUG8gyG0RkEOH6az9+/PhwP2CHDh1g7uw2dQcco2lLalKLRWaOknxq4EdEJiZtCSBWcuDVPS1YkqVwIqKoCJKCumcHefr0qWpyG5So/fLlS9XsNkGCBBYRJAXKklqx1kDu+lxSIzIHNrZA7gbAzqFazSQGSUQUVbvbZDdb0DFkyBDkyJEDly5dUtv/5ZDzXLlyYdCgQbAEfq32AEU6MkAiMicSJEWzAW7vB55d03s0RGSNJQD69OmDCRMmIGPGT0US5Vxmm/7++29YzKtSIjIvsZICGcpo5zKbREQU1UHSo0eP4Of3cafXZ1tsHz9+/KPjISKKvNwfayadWgj4hW6+TURk9CCpVKlSaNWqFU6ePBl8TUoASLVtKQlARKSbjOWAmAmBd8+0BtMhKm43bdpUHWxLQkRGC5Jmz56NRIkSIU+ePHB0dFTHzz//jIQJE2LmzJkRfTgiIsOxtdfaAn1WgVsCo/Lly6uDQRIRhVeEny3ix4+PjRs34urVq6qQZFB7kgwZMkT0oYiIjJPAvfd/wPWdwIs7QBzLquNGRCY8kxQkVapUKmG7QoUKJhcgTZo0SY0vevToyJcvH44ePar3kIgoqril0fopStX8E/PVpYCAAFy4cEEdck5EZJQgSeojNWvWTNVFypIlC+7evauut2/fPrjsv56WLFmCLl26oF+/fipvSvo0lStXDk+ePNF7aEQUVYKa3p5cAAT4w8fHBwMGDFCHnBMRGSVI6tmzp2oUuXv3bjVTE0SStiVA0Zs02W3RooVqxOvu7o6pU6eqgE5yqYjISrhXBpziaM2pr23TezREZC05SatXr1bBUP78+REtWrTg6zKrdOPGDehJXiHKTjsJ5ILY2NioAO7QoUNffL63t7c6grx+/Tq4GaYcpK+ge8B7oT/zuxc2sMleG7ZHpiLg6Bz4JikU/BH5Hsy5wa353QvLxXthOox1DyIcJElLEmk/8rl3796FCpr08OzZM1WvSXbahSTvByWZhzRs2DA1/f65Xbt2qdknMg3btnEmwFSY071w+ZAKJeXkyhbsXr80+PrWrVstYoebOd0LS8d7oT9JBTKGCD9TyNb/DRs2qBwkERQYyfb/AgUKwJzIjJPkL4WcSUqePDlKlCgBNze2JDGFVwby5FOmTBnY29vrPRyrZq73IuDVStjcO4qScZ9AS+EGypYtGypVwNyY672wRLwXpsPT09M0gqShQ4fil19+wcWLF1Xl7XHjxqnzgwcPYs+ePdBTvHjx1DT655W/5X2p7fS5oDpPn5Mfdv7Amw7eD9NhdvcibxPg3lHYnvlPKk2a5/fwFZbyfVgC3gv9Gev/f4QTtwsXLozTp0+rAClbtmxq6lqW3yTnJ3fu3NCTg4ODGsOOHTuCr8l2X3nf3Ga5iMgAslYFHF2Bl9ouXCKiiIjUwnzatGkxY8YMmCJZPmvUqJFaFpRK4GPHjlX5UrLbjYisjEMM4KeasDsyG/Wz2gM5altEPhIRRY1IPVtIcvSqVatw6dIl9b5stf/1119N4smndu3aKrm8b9++8PDwQI4cObB58+YvkrmJyErkaQS7o7NQxX8LUGq89CjRe0REZCYi/GwhFWurVKmiAhCpuC1GjBih2pWsW7cOWbNmhd7atWunDiIiJMmhHQ9PA6cXA4X43EBERspJat68uaqJdP/+fVXRWo579+4he/bsaNmyZUQfjojI6AJyNsB1L1dc37UYAf7+eg+HiCx1JkmSto8fP444ceIEX5PzIUOGIG/evIYeHxHRD/Nx/xW9xmwD7gLzbh5E9PRF9B4SEVniTJI0s/18i72Q3mjp0qUz1LiIiAxHdrgFOblQz5EQkSUHSVKlukOHDli+fLlacpNDzjt16qRyk6QgY9BBRGRyLq4BvF7pPQoissTltkqVKqm3tWrVCq62HRgYqN5Wrlw5+H35mOyCIyIyKb5ewJllQL7meo+EiCwtSJK+ZkREZu34XAZJRGT4IKlYsWIR/SdERKbD1h54dEYrCSClAYiIviJSVdW8vLxw9uxZlawtbT9CkhpKREQmK1MF4NJKbTapCoMkIjJgkCTVqxs2bIhnz5598THmIRGRKZJuADVq1NDOf3LTgqQzS4Hyg7XWJUREhtjd1r59e9SsWROPHj1Ss0ghDwZIRGSqQZJsNpHDLn0JIE4qwPsNcG6V3kMjIksKkqRGkjSRZS80IjJLNjaqn5tyYq7eoyEiSwqSZMp69+7dxhkNEZERyEy3tE+SQ+VR5qoH2NgCd48Aj7VG3URkpt48RrTTi0wjJ2nixIlquW3fvn3Ili0b7O3tQ31cCk0SEZkSHx8fdO3aVZ3PmzcP0V0SARnLA5c2aLNJFYbrPUQiigjPG9rv78V1wL2jsPMKvYlMtyBp8eLF2Lp1K6JHj65mlIIKSgo5Z5BERGZBltzkSfbUYqDsAMDOUe8REdHXSNHqR2e1oOjSeuDxxVAfDkj8E4AD0D1I6t27NwYMGIAePXrARtb2iYjMUfoygGsS4PVD7Yk3u7b7jYhMRIA/cOfQx8BoA/Dy7qePyXJ5qiKAeyUgc0X4+0UHusbTP0iSaevatWszQCIi8yZPsrnqA7tHajWTGCQRmUbboBu7tMDo8ibgveenj9k7AelLA5krARnLAc5xP33MM8Tn6RkkNWrUCEuWLEGvXr2MMiAioiiTuyGw5x/g5h7A8ybglkbvERFZH593wNVtwIXVwJUt2vtBnGIDGX8B3CsD6UoCDs5ROrQIB0lSC2nkyJHYsmULsmfP/kXi9ujRow05PiIi44mTQnvivbYDODEfKNtP7xERWQfvN1pAdGENcHUr4Pvh08dckwLuFYHMlYFUBbVWQjqJcJB07tw55MyZU52fP38+1MdCJnETEZmFPI21IOnkAqBUL12fkIksmtcrbQlNAqNr2wE/708fkwKvWasCWaoASXNLQAFTEOEgadeuXcYZCRGREStuV65cOfg8FJnKjxEPePtYe2UriaBEZBjvn38MjFYD13cC/r6fPuaWFsj6G5DlVyBxdpMJjH64wS0RkTmRwKhBgwZf+aADkLMesH8ccPxfBklEP+qdp7ZNXwKjG3uAAL9PH0uQSQuKslQFErqbZGAUqSCpWrVq4fq8lStX/sh4iIiiXp6GWpAkSwAv7wOxk+k9IiLz8uElcHE9cG65thFCtu8HSZT1Y2D0qxYkmZFwB0mxYsUy7kiIiIxEWpE8e/ZMnceLF+/LEibx0gOpCgO392u5SSV76DNQInPi/VZbSju3QnuB4e/z6WNJcnwMjKpov19mKtxB0pw5c4w7EiIiI5H6bu3atfvUliR69LBnkyRIkl1uxf/U6igR0Zd1jK5tA86uAK5sCr0rTZbPslUHslXT8o0sAHOSiIiEvOpd/xfw6p6WYJqhjN4jIjIN/r5agUcJjCTXSLbvB5HaYiowqq4FSRaGQRIRUVA13xy1gcPTgBPzGCSRdZOcotsHtMBIErA/vPj0sVjJtNkiCYxkWc3Ek69/BIMkIqKQTW8lSJI+UW+fADET6D0ioqhtIutxDjj9H3B2OfDG49PHYsQHsv0GZKsBJP8ZsJLWZAySiIhC7sJJlge4fxw4NBUo01fvEREZ36sHwNllWnD0+GLoliDuvwLZq2sbG2ytL2Swvu+YiOhbinYGFtXTgqSCbYEYbnqPiMjwJK/owlrg9BLg1h5tFknYOgCZKmhLz+nLaHXErBiDJCKikKTDeOKfgEdntNpJ5QbqPSIiw/D3A27s1AIjScAOuTMtZQEgRx2tNYjMIJHCIImILJ6trS3Kli0bfP5NkoQqPdwWSBL3dKBQO+YmkfmSGSIJ+IPyjCTXLohs08/xO/BTbSBuKj1HabIYJBGRxbO3t0fz5s3D/w8ylgeS5QbunwD2jgEqDDPm8IgMT5KuTy0GTi8Gnlz+dN3ZTcsxkuDIhBrJmioGSUREYc4m9QbmVgOOzgIKdwBcE+s9KqLvL6dd3QqcmKu9DWoNYueoNXLOWQdIXxqwtdd7pGaDQRIRWbzAwEC8eaMVwHNxcUG08Lx6TlcKSJEfuHsY2Ps/oNIo4w+UKDKeXQNOLABOLQLePv50Xbbq56rPPKMfwCCJiCyet7d38HLbV9uSfG02aU5l4Ni/QOFObHxLpsPnHXB+tdZG587BT9djxNMSsHM3MLtmsqaIQRIR0dekKfqp8e2eUcCvY/UeEVkzScJ+cAI4Ph84t/xTe5BoNtoyWu6GWj6dlW/bNyQGSURE35tNmvWL1qpEaijFSan3qMjavPMEzvynzRqFLPYYJ5U2Y5SzLhArqZ4jtFgMkoiIviV1ISBtCa3B566RQLVJeo+IrGXWSHqnHZ0JXFwP+Pto1+2iA1mqaLNGMstpJe1B9MIgiYjoe6RukgRJpxcBxbpo9WWIjMHrtVbTSIKjkFv3pZGszBplr8kk7CjEIImI6HtS5AMylAGubtNmk2pM03tEZGk8LmiBkQRIkpQt7J21Qo8/NwWS/KT3CK0SgyQiovCQ3CQJks4sAYp1BeJn0HtEZO78vLX+aRIc3Tn06Xr8jEC+5lrBx+ix9Byh1WOQREQWT1qRFCtWLPg8UpLm0hp/Xt4I7BoO1Jpt2EGS9XhxFzj+L3B8LvDuqXbNxg5wrwz83BxIXZiVsE0EgyQisoq2JG3btjVMbpIESedWAMW6AQndDTE8sgYBAcD1Hdqs0ZUtQGCAdt0lMZC3CZCnEau6myAGSURE4ZU4O5DlV+DCGmDncKDOPL1HRKbO65W2df/IDOD5rU/XZcekLKlJuxBb/ik2VbwzRGQVbUmk6rZwdHQMX1uSrynZE7i4FriwGnh0VguciD7neRM4PFVrF+LzVrsm+UW56gF5mwHx0+s9QgoHBklEZPEkQGrYsGHE2pJ8jSyxZa2mLbntHAbUW2y4gZIF1DY6CBycBFzeoL0vEmQGCrYBstcAHGLoPUqKAAZJRESRmU06vwq4tAF4cFJL6ibrJYUeL6wADk4GHp7+dF3KRhRsqy2tMRHbLDFIIiKKKNn+/1MtrabNjqFAw+V6j4j08N4TGR6ugd3YbsDbx9o1eyetwWyBP4AEGfUeIf0gBklERJFRojtwdhlwdStw94hWcJKsw5MratbI7vRiZPbz0q65JALytQR+bgI4u+k9QjIQBklERJEhrUly1AVOztdmk5qs0XtEZEySX3R9p5ZvdG27uiQLaC+dUyFmue6w+6kmYOeg9yjJwBgkERFFVvE/gdOLtb5ukrCbqqDeIyJD8/fVkvT3jQMeX9CuSX5Rporwy9cKe86/QIXsFQE7e71HSkbAIImIKLLiptK6sR+bDewYAjTboPeIyFCkf9qJecCBScDLu9o1h5hA7vpA/taAWxoE+voCFzbqPVIyIgZJRGTxbGxskD9//uBzg5LK2ycXALf2ATf3AmmKGvbxKWq98wQOTwOOTAfeP9euxYivJWLnawY4xdF7hBSFGCQRkcVzcHBAly5djPPgsZMBeRsDh6cD2wcDLbZwu7e59lM7OBE4Pg/wfa9di5MKKNxBKwApu9bI6jBIIiL6UUW7an9c7x7WknvTl9J7RBReHheAfWOBc8uBAH/tWuKfgKKdAPdf2TLEyvHuExH9KGlM+nMzbefTjsFAupKcTTKHytj7xmglHIKkKa4FRyz+SB8xSCIii+fl5WW4tiRfU7QzcGwOcP+EFiwVamf4r0E/JiAAuLIJ2DsauHdMuybBkMwYSXDEyun0GQZJRESGEDMBULYfsKE7sLm3Vkcp0y96j4qEv5+2nCbB0ZPL2jVbByBnPaBweyBeOr1HSCaKQRIRkaHI1nCpxiwlAZY21ZK4E2fXe1TWy9cLOLVQq3H04rZ2zdEVyNdc263mklDvEZKJY5BERGQosnRT6R/g+S2twOT8WkDrXVrOEkUd77fa0ueBCcAbD+1ajHhas1kJkKLH0nuEZCYYJBERGZKtPfD7XGB6GeDpFWDB70DzjYBDDL1HZvmkrpHUODo0BfjwUrvmmhQo0lEr+ungrPcIycwwSCIiMjSn2ECDpcDUksDDU8DyVsDv86SSpd4js0wyW3RgInB0NuDzVrsmOWFFuwA/1WZPNYo0BklERMYQNzVQbzEwuxJwcS2wbQBQboDeo7Isz28D+8dpFc/9vLVribIBxboCWX4FbGz1HiGZObN4WXP79m00a9YMqVOnhpOTE9KmTYt+/frBx8cn1OedPXsWRYoUUdt7kydPjpEjR+o2ZiIyHdKKJGfOnOoweFuSb0mZH/htknYuNXlOzI+6r23JJDl+eUtgbE7g6CwtQEqRD2iwDGi7H8hWjQESWc9M0uXLlxEQEIBp06YhXbp0OH/+PFq0aIF3795h1KhR6nNev36NsmXLonTp0pg6dSrOnTuHpk2bInbs2GjZsqXe3wIR6dyWpGfPnvp88Ry1Ac/rwK4RwJqOQJyU7O8WWfeOAnvHApc3aAUhhRTulP55qQqxACRZZ5BUvnx5dQRJkyYNrly5gilTpgQHSQsXLlQzS7Nnz1ZPiFmyZMHp06cxevRoBklEpK+SvYBn14FzK4DF9YFWO4B46fUelXmQYEiqYkvrkNsHPl13rwIU68ICkGRUZhEkheXVq1eIGzdu8PuHDh1C0aJFVYAUpFy5chgxYgRevHiBOHG+7Nzs7e2tjiAyGyV8fX3VQfoKuge8F/rjvTCAKuNh++IObO4fR+C8mvBrtgVw/vQcFl5Wcy/8fRHtwirYHpiAaE8uqkuBNvYIzF4L/rKVP35G7fN0/P9gNffCDBjrHphlkHT9+nVMmDAheBZJeHh4qJylkBImTBj8sbCCpGHDhmHAgC8TKXft2gVnZ24VNRXbtm3Tewhk5vfCz88Py5cvV+c1atSAnZ0+T32O8Rqj6JPbcH5+E6+mVsbBDN0RaGNnVffie2z9vZDy2R6k9dgEZx9Pdc3PJjpuJSiJmwnLwcs+LnDsBgA5TIOl3gtz8v79e8sLknr06KFmer7l0qVLyJQpU/D7Dx48UEtvNWvWVHlJP0JyFLp06RJqJkkSvkuUKAE3N7cfemwyzCsDefIpU6YM7O3t9R6OVTP3eyG925YsWaLOJXfRKL3bwqtADgTOKo94by6jkv9W+FecEKFcGnO/F1/13hM2R2eqI9qH5+pSYIz4CMjXCoF5myJV9FhIBdNisffCDHl6agG1RQVJXbt2RePGjb/5OZJ/FOThw4cqgClYsCCmT58e6vMSJUqEx48fh7oW9L58LCyOjo7q+Jz8sPMH3nTwfpgOc70X/v7+pvM9JM0O1JkHzK8Jm9OLYSPLRpJbE0G6fx+G8uKOVuPoxDzA98On8gmFOyBazrqwtXeCqe9Ts5h7YcbsjfT/X9cgKX78+OoID5lBkgApd+7cmDNnzhfbeAsUKIDevXuryD7of5ZE+BkzZgxzqY2ISDfpSwMVRwLrugLb+gNuaYCsVWFVPM5rydiSzB7wMYhNkhMo2klLyuYWfjIBZlEnSQKk4sWLI0WKFCoP6enTpyrPSI4gdevWVUnbUk/pwoULamp93LhxoZbTiIhMRr4WQIHW2rnU/Ll/AhZP6hmdWQbM/AWYWBA4s1QLkNKWAJqsBf7YDWT9jQESmQyzSNyWGSFJ1pYjWbJkoT4W+LFWRqxYsbB161a0bdtWzTbFixcPffv25fZ/IjJdvwwDPG9qW9ylx1vrnUDs5LA4Uv7g+L/AyYUq90iJZgNkqar1VUuaU+8REplvkCR5S9/LXRLZs2fHvn37omRMREQ/TGZMas8BppcFHl8A5v4GVBihFUg098KI/r7ApQ1aP7Wbuz9dl4azeRpqDWdjJdVzhESWESQREf0IyWF0d3cPPjcpji6fmuE+vaoFSsnyACW6AxnKml+wJInYx+dqidhvn2jX5HtIXwbI21T7nmz5p4fMA39SicjiSb5i//79YbJkiU16jkl/N5l5uX9c7X5TicwSLGX6xbSDJX8/4OoWrY/a9R2fWobETAjkbgDkaaS1YyEyMwySiIhMgUtCoMJwoEhn4MAE4MhM4OEpYOHvQOLsH4OlijApL+9rM0ZyvH746bokYv/cFMhUAbDl1ngyXwySiIhMLVgqPxgo0kmrH3R4OvDoLLCoHpAoK6IV6Sr9OfQZm9drrX/ajd3Azb1aHlUQZ7dPs0ZuafUZH5GBMUgiIosnFbdl56uYNGmSvhW3wytGPKBsf6Bwe+DgZODQNFVbyG5ZE5SInhTRUvoAP9Uw7nZ5Xy/g7hHg5h4tMJKZraCaRkFSFdZmjdwrA3ZfFuclMmcMkojIKrx58wZmSWZoSvcBCrUDDk5B4MHJcPV6AKxoAewZCRT/E8hWwzDJ0JJb9PAkcGOPNlN097BW2ygkKXyZpjiQpqh2SDBHZKEYJBERmQOnOECpXvD7uRWuL+iGTJ47EO3ZNa0Q5a7hQNZqgK2DlgMkhzTOVW8/e//zj0m9Io+zWmAkS2nenwWTLomANMWAtB8DI0us40T0FQySiIjMSXRXXE1SFekajIL9ibnAgfFaQco9owzz+E6xgdRFgbTFtOAoXnrT3llHZEQMkoiIzJGjq9YYN39L4OQCQGaVZLkswFcr5Kjehng/6DzA7+PHg976atvzZQlNAqNE2dgWhOgjBklERObMMeanHnBEZFAmVnqWiIiIyDRwJomILJ60IkmbNq1ptiUhIpPFIImIrKItybBhw/QeBhGZGb6kIiIiIgoDgyQiIiKiMDBIIiKL5+3trdqSyCHnREThwZwkIrJ4gYGBePr0afA5EVF4cCaJiIiIKAwMkoiIiIjCwCCJiIiIKAwMkoiIiIjCwCCJiIiIKAzc3UZEFi9atGhIlixZ8DkRUXgwSCIii+fo6IjRo0frPQwiMjNcbiMiIiIKA4MkIiIiojAwSCIiiyetSLp06aIOtiUhovBiThIRWTxpRXL//v3gcyKi8OBMEhEREVEYGCQRERERhYFBEhEREVEYGCQRERERhYFBEhEREVEYuLuNiCyetCKJHz9+8DkRUXgwSCIiq2hLMmnSJL2HQURmhsttRERERGFgkEREREQUBgZJRGTxfHx80LNnT3XIORFReDAniYgsXkBAAG7cuBF8TkQUHpxJIiIiIgoDgyQiIiKiMDBIIiIiIgoDgyQiIiKiMDBIIiIiIgoDd7cRkVVwcXHRewhEZGYYJBGRxYsePTpmzZql9zCIyMxwuY2IiIgoDAySiIiIiMLA5TYisnjSimTo0KHqvFevXnBwcNB7SERkBhgkEZHFk1YkFy9eDD4nIgoPLrcRERERhYFBEhEREVEYGCQRERERhYFBEhEREVEYGCQRERERhYG724jIKjg6Ouo9BCIyMwySiMgq2pLMnz9f72EQkZnhchsRERFRGBgkEREREYWBy21EZBVtSf73v/+p865du7ItCRGFC4MkIrJ40ork1KlTwedEROHB5TYiIiKiMDBIIiIiIrKEIMnb2xs5cuRAtGjRcPr06VAfO3v2LIoUKaK2+yZPnhwjR47UbZxERERk3swuSPrrr7+QJEmSL66/fv0aZcuWRcqUKXHixAn8888/6N+/P6ZPn67LOImIiMi8mVXi9qZNm7B161asWLFCnYe0cOFCtYNl9uzZaudKlixZ1EzT6NGj0bJlS93GTERERObJbIKkx48fo0WLFli9ejWcnZ2/+PihQ4dQtGjRUFt7y5UrhxEjRuDFixeIEydOmEt3cgR59eqVevv8+XOjfR8Ufr6+vnj//j08PT1hb2+v93CsmrnfCy8vL/U9CPkeZEneXJn7vbAkvBemI+jvdmBgoPUFSfJNN27cGK1bt0aePHlw+/btLz7Hw8MDqVOnDnUtYcKEwR8LK0gaNmwYBgwY8MX1DBkyGHT8RGQ65IUWEVkmT09PxIoVyzKCpB49eqiZnm+5dOmSWmJ78+YNevbsadCvL4/XpUuX4Pdfvnypcpru3r1r0P/JFDmSZyYJ+Pfu3YOrq6vew7FqvBemg/fCdPBemA5ZCUqRIgXixo1r0MfVNUiSyrcyQ/QtadKkwc6dO9Vy2uddvGVWqV69epg7dy4SJUqkluRCCnpfPhYWebywOoNLgMQfeNMh94L3wzTwXpgO3gvTwXthOmxsbCwnSIofP746vmf8+PEYPHhw8PsPHz5U+UZLlixBvnz51LUCBQqgd+/eao04aG1427ZtyJgxY5hLbURERERmn5MkU2ghxYwZU71NmzYtkiVLps7r1q2r8ouaNWuG7t274/z58xg3bhzGjBmjy5iJiIjIvJlFkBQeskQmuUtt27ZF7ty5ES9ePPTt2zdC2/9l6a1fv35hLsFR1OP9MB28F6aD98J08F5Y/r2IFmjo/XJEREREFsDsKm4TERERRQUGSURERERhYJBEREREFAYGSURERETWHiSlSpUK0aJF++KQHXFfs2zZMmTKlEn1esqWLRs2btwYpWO2VBG9FzNmzECRIkVUzSs5SpcujaNHj0b5uC1RZH4vgvz333/qc6tWrRolY7V0kbkX0ilAPp44cWK1s0faKvF5Sp97MXbsWFWbz8nJSVXi7ty5s+obSD/G398fffr0Ua3H5P+tlP8ZNGjQd/u07d69G7ly5VK/F+nSpcO///4b8S8eaEWePHkS+OjRo+Bj27Zt8n84cNeuXWF+/oEDBwJtbW0DR44cGXjx4sXAv//+O9De3j7w3LlzUT52a78XdevWDZw0aVLgqVOnAi9duhTYuHHjwFixYgXev38/ysdu7fciyK1btwKTJk0aWKRIkcBff/01ysZrySJ6L7y9vQPz5MkTWKFChcD9+/ere7J79+7A06dPR/nYrf1eLFy4MNDR0VG9lfuwZcuWwMSJEwd27tw5ysduaYYMGRLo5uYWuH79evX/dtmyZYExY8YMHDdu3Ff/zc2bNwOdnZ0Du3Tpov5+T5gwQf0937x5c4S+tlUFSZ/r2LFjYNq0aQMDAgLC/HitWrUCK1asGOpavnz5Alu1ahVFI7Qe37sXn/Pz8wt0cXEJnDt3rtHHZm3Ccy/k/3/BggUDZ86cGdioUSMGSTrdiylTpgSmSZMm0MfHJ8rHZm2+dy/atm0bWLJkyVDX5A90oUKFomiElqtixYqBTZs2DXWtWrVqgfXq1fvqv/nrr78Cs2TJEupa7dq1A8uVKxehr21Vy20h+fj4YMGCBWjatKmaQg2L9IuTZZ2QpB2KXKeovRefe//+vWpBY+hmhtYuvPdi4MCBSJAggapwT/rdi7Vr16qWTLIElDBhQmTNmhVDhw5VyxMUtfeiYMGCOHHiRHAawM2bN9WyZ4UKFaJ4tJanYMGC2LFjB65evareP3PmDPbv349ffvnlq//GUH+/LabidkStXr1areV/q8Guh4eHeuIJSd6X6xS19+Jz0nomSZIkX/wSkPHvhTw5zZo1C6dPn47SsVmb8NwL+UMsDcCl0bf8Qb5+/TratGmjXkBI9WGKunshrbGePXuGwoULq1wZPz8/tG7dGr169YrSsVqiHj164PXr1yo/2NbWVr0IGDJkiPq5j+jfb3mcDx8+qNym8LDamSR5kpcoVP7Qknndi+HDh6uE4VWrVqmEeoq6e/HmzRs0aNBAJdJL6x/S9/ciICBAzehNnz5dtWOqXbu2avQ9derUKB2rpQvPvZAkYZnFmzx5Mk6ePImVK1diw4YNKsGYfszSpUuxcOFCLFq0SP2/nTt3LkaNGqXeGl2gFbp9+3agjY1N4OrVq7/5ecmTJw8cM2ZMqGt9+/YNzJ49u5FHaD3Cey+C/PPPPyph+9ixY0Yfm7UJz72QxHl52pAEyKAjWrRo6pDz69evR+mYrf33omjRooGlSpUKdW3jxo3qHklSN0XdvShcuHBgt27dQl2bP39+oJOTU6C/v7+RR2nZkiVLFjhx4sRQ1wYNGhSYMWPGr/4b2VAieWQhzZ49O9DV1TVCX9sqZ5LmzJmjXn1VrFjxm58na/2yDhrStm3b1HWK2nshRo4cqV6Vbd68GXny5ImS8VmT8NwLme4+d+6cWmoLOqpUqYISJUqoc9n2TFH3e1GoUCG1xCYzSkEkb0PKATg4OETBSC1feO+F5Ena2IT+kypLQ4ItUn/M1/7fhvy5N9rf70ArIxF9ihQpArt37/7Fxxo0aBDYo0ePUCUA7OzsAkeNGqW2nffr148lAHS6F8OHDw90cHAIXL58eahtuW/evIniUVumiNyLz3F3m3734u7du2qXZ7t27QKvXLmitkgnSJAgcPDgwVE8assUkXshfx/kXixevFhtP9+6davaDSe7pOnHyHOMlBsJKgGwcuXKwHjx4qkdbEHkXsg9+bwEwJ9//qn+fksJGZYACAepXSGxoTyhfK5YsWLqZoS0dOnSwAwZMqg/0LKdcMOGDVE4WssWkXuRMmVK9bmfH/LERFH/exESgyR978XBgwdVaRKp0SPlAKSmjJRooKi9F76+voH9+/dXgVH06NFVukabNm0CX7x4EcWjtjyvX79WS2cSsMr/W/k57927d6glZbkXck9CkppWOXLkUH+/5d/MmTMnwl87mvwnYnNPRERERJbPKnOSiIiIiL6HQRIRERFRGBgkEREREYWBQRIRERFRGBgkEREREYWBQRIRERFRGBgkEREREYWBQRIRERFRGBgkEZFJkfq2LVu2RNy4cREtWjTVE+5rGjRooDqv60X6CObIkeObPaSIyHwxSCIikyKBx7///ov169fj0aNHyJo1a5ifd+bMGWzcuBEdOnQIdV0avjZt2hQpUqSAo6MjkiZNilKlSmHhwoXw8/ML/jwJwFavXv3F4zZu3BhVq1YN11jLly8Pe3t79dhEZHkYJBGRSblx44bqYl+wYEEkSpQIdnZ2YX7ehAkTULNmTcSMGTP42tGjR5ErVy5cunQJkyZNwvnz57F79240b94cU6ZMwYULFww+Xgmqxo8fb/DHJSL9hf3sQ0SkAwk45s6dGzzTkzJlSty+ffuLz/P398fy5ctDzeDIMp38+wwZMuDAgQOwsfn0GjB9+vSoU6eO+pyIkK+dOnXqL64XK1ZMBV+icuXKaNeunQru0qZNG6HHJyLTxiCJiEzGuHHjVKAxffp0HDt2DLa2tmF+3tmzZ/Hq1SvkyZMn+JrkLskM0uLFi0MFSCFJ4BURyZMnV0t+QTw8PFC6dGkULVo0+Jos6yVMmBD79u1jkERkYRgkEZHJiBUrFlxcXFRwJEttX3Pnzh31OQkSJAi+dvXqVfU2Y8aMwdeePHmCNGnSBL8/cuRItGnTJvh9mV36PBDz9vZGxYoV1XnIcXh5ealcpQIFCqB///6h/k2SJEnUmIjIsjBIIiKz8+HDB5WU/b2ZITc3t+DdccWLF4ePj0+oj48ZM0bNDIXUvXt3tZz3OUkGf/PmDbZt2/bFTJWTkxPev3//A98REZkiBklEZHbixYunghIJehwcHILzjsSVK1eQM2fO4JmgdOnSqfOwEsBllijo40FkJuvly5ehrg0ePBhbtmxRieHy8c89f/4c8ePHN+B3SESmgLvbiMjsSG0icfHixeBrEhhlypQJo0aNMmjdohUrVmDgwIFYunRpmDlHsgwnSdtBgRkRWQ4GSURkdmTWRrb679+/P/iaLL3NmTNHzSQVKlQIa9euxbVr11QgNXXqVDx9+vSrieBfIyUEGjZsqJbgsmTJohK35ZCZoyCHDx9WS3+Sq0REloVBEhGZJal99HkRx/z58+PEiRMqebtt27Zwd3dX9ZZkx5vkH/3xxx8R+hrHjx9Xy3qy3Ca1m4KOatWqBX+OPHa9evXg7OxssO+NiExDtMCIFg4hIjKR5G0JhpYsWaLbLM6zZ8/UGCSYCqueEhGZN84kEZFZkh1l8+bNU4GKXqTY5OTJkxkgEVkoziQRERERhYEzSURERERhYJBEREREFAYGSURERERhYJBEREREFAYGSURERERhYJBEREREFAYGSURERERhYJBEREREFAYGSURERET40v8BWcu7iHg1isMAAAAASUVORK5CYII=", "text/plain": [ "
" ] @@ -1106,17 +1201,17 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Directivity, gain and other commonly used antenna metrics are automatically calculated by the `TerminalComponentModeler` when one or more `radiation_monitor` is defined. The user can obtain the far-field metrics by calling the `get_antenna_metrics_data()` method. " + "Directivity, gain and other commonly used antenna metrics are automatically calculated when one or more `radiation_monitor` is defined. The user can obtain the far-field metrics by calling the `get_antenna_metrics_data()` method on the TCM data object. " ] }, { "cell_type": "code", - "execution_count": 28, + "execution_count": 29, "metadata": {}, "outputs": [], "source": [ "# Get antenna metrics\n", - "antenna_metrics = modeler.get_antenna_metrics_data()" + "antenna_metrics = tcm_data.get_antenna_metrics_data()" ] }, { @@ -1128,7 +1223,7 @@ }, { "cell_type": "code", - "execution_count": 29, + "execution_count": 30, "metadata": {}, "outputs": [], "source": [ @@ -1189,12 +1284,12 @@ }, { "cell_type": "code", - "execution_count": 30, + "execution_count": 31, "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1225,12 +1320,12 @@ }, { "cell_type": "code", - "execution_count": 31, + "execution_count": 32, "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1264,7 +1359,7 @@ }, { "cell_type": "code", - "execution_count": 32, + "execution_count": 33, "metadata": {}, "outputs": [], "source": [ @@ -1275,24 +1370,34 @@ }, { "cell_type": "code", - "execution_count": 33, + "execution_count": 34, "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/Users/yuanshenli/Documents/GitHub/tidy3d/tidy3d-dev/lib/python3.13/site-packages/mpl_toolkits/mplot3d/art3d.py:1403: RuntimeWarning: divide by zero encountered in matmul\n", + " shade = ((normals / np.linalg.norm(normals, axis=1, keepdims=True))\n", + "/Users/yuanshenli/Documents/GitHub/tidy3d/tidy3d-dev/lib/python3.13/site-packages/mpl_toolkits/mplot3d/art3d.py:1403: RuntimeWarning: overflow encountered in matmul\n", + " shade = ((normals / np.linalg.norm(normals, axis=1, keepdims=True))\n" + ] + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "f1ea531cffbc48b3a6ff494ba4a40f23", + "model_id": "87f71f0bfba649a187499fd2bf946291", "version_major": 2, "version_minor": 0 }, - "image/png": "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", + "image/png": "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", "text/html": [ "\n", "
\n", "
\n", " Figure\n", "
\n", - " \n", + " \n", "
\n", " " ], @@ -1354,7 +1459,7 @@ }, { "cell_type": "code", - "execution_count": 34, + "execution_count": 35, "metadata": {}, "outputs": [], "source": [ @@ -1379,7 +1484,7 @@ }, { "cell_type": "code", - "execution_count": 35, + "execution_count": 36, "metadata": {}, "outputs": [], "source": [ @@ -1389,12 +1494,12 @@ }, { "cell_type": "code", - "execution_count": 36, + "execution_count": 37, "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjsAAAGwCAYAAABPSaTdAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjMsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvZiW1igAAAAlwSFlzAAAPYQAAD2EBqD+naQAAfwdJREFUeJzt3Xd4VGX2B/Dv9PSQBNIggQCB0Lt0RAmgNFFXLKi42FZRRGzrbxcLFsRVxLYi6sraECuLiEhEBZHeew+QHiA9k0wmM/f3x8x7Z9Jnknvn3ndyPs/jo0ySO2+uQ+bknPOeVyMIggBCCCGEED+lVXoBhBBCCCFyomCHEEIIIX6Ngh1CCCGE+DUKdgghhBDi1yjYIYQQQohfo2CHEEIIIX6Ngh1CCCGE+DW90gtQA7vdjuzsbISGhkKj0Si9HEIIIYR4QBAElJaWIj4+Hlptw/kbCnYAZGdnIyEhQellEEIIIaQZMjIy0KFDhwY/TsEOgNDQUMB5s8LCwiS7rtVqxYYNGzBhwgQYDAbJruuP6F55h+6X5+heeY7ulefoXnlOzntVUlKChIQE8X28IRTsAGLpKiwsTPJgJygoCGFhYfSXoQl0r7xD98tzdK88R/fKc3SvPOeLe9VUCwo1KBNCCCHEr1GwQwghhBC/RsEOIYQQQvwaBTuEEEII8WsU7BBCCCHEr1GwQwghhBC/RsEOIYQQQvwaBTuEEEII8WsU7BBCCCHEr1GwQwghhBC/pmiws3nzZkydOhXx8fHQaDRYvXp1jY8LgoBnnnkGcXFxCAwMRGpqKk6dOlXjcwoKCjBz5kyEhYWhTZs2uPvuu1FWVubj74QQQgghaqVosFNeXo5+/frh3Xffrffjr776Kt566y0sW7YMO3bsQHBwMCZOnIjKykrxc2bOnIkjR44gLS0Na9euxebNm3Hffff58LsghBBCiJopehDotddei2uvvbbejwmCgKVLl+Kf//wnrrvuOgDAJ598gpiYGKxevRq33HILjh07hvXr12PXrl0YPHgwAODtt9/GpEmT8NprryE+Pt6n3w8hhPhKWWU5CstLWnwdrUaL+IjoJg9S5EVReQlKK8sBAPER0dBpdV59/cWSy6i0VsGg0yO2TTuZVul7BWXFKLeYvf666LAomAxGWdbkS6o99Tw9PR25ublITU0VHwsPD8fQoUOxbds23HLLLdi2bRvatGkjBjoAkJqaCq1Wix07duD666+v99oWiwUWi0X8c0mJ4weG1WqF1WqV7Htg15Lymv6K7pV36H55zh/vVWZBLq5+6Q5UVFV68NlNu3HIRCy985/c36sdpw9gxltzYRfsAIDhyQPw1dy3PP76T7esxv+tel3885zxt+Pv0+6v93N5ulfrD2zGfR/9E4IgeP218RHR2LxgZYsCHjnvlafXVG2wk5ubCwCIiYmp8XhMTIz4sdzcXERHR9f4uF6vR2RkpPg59Vm0aBGef/75Oo9v2LABQUFBEn0HLmlpaZJf01/RvfIO3S/P+dO9OpB3ChVVldAA0Gub/2NcEARUCzb8cWQn1q1bJz7O671acXAt7IIdWo0GdkHA7jOHanxfTVl7sOb3/cveP9BXn9Do1/Bwr745vhGCIECr0UCn8TzTZbVXI7swH6v+9w3aBrVp8TrkuFdms2fZKtUGO3J6+umnMX/+fPHPJSUlSEhIwIQJExAWFibZ81itVqSlpWH8+PEwGAySXdcf0b3yDt0vz/njvara/Quw9xuM6DYQXz78ZrOvc+DCcUz5173QmwyYNGkS1/fKZrfh6T/+DQBYesc/MfeTF2CHgEmTJnl8jbTL+4Csg+jfsQf2nz+GiIiIBr+ep3v1S8F+IB14Ysq9eGjCHR5/Xe8nr0VxRRlGjBqJrrEdm/38ct4rVplpimqDndjYWABAXl4e4uLixMfz8vLQv39/8XPy8/NrfF11dTUKCgrEr6+PyWSCyWSq87jBYJDlRSvXdf0R3Svv0P3ynD/dK6vdkboPMgW26HsKDggEAFRVW2tch8d7tff0ERSUFSM8KBRjew0DnAGQVqf1uG+Hlb9YyUaA0OR94OFeFVeUAgDahkV6tVaD3vG5gqbp++DR9WS4V55eT7VzdpKSkhAbG4uNGzeKj5WUlGDHjh0YPnw4AGD48OEoKirCnj17xM/59ddfYbfbMXToUEXWTQghcjNbKgBnsNMSRr3jTb2qWv19J01Zf+APAEBq7xEIMgWIj1tt1R5fw+YMdgw6xxuozW6XfJ1KKCwrBgBEBHtXuTA5Xx8WP3h9KJrZKSsrw+nTp8U/p6enY//+/YiMjERiYiLmzZuHF198EcnJyUhKSsKCBQsQHx+P6dOnAwB69OiBa665Bvfeey+WLVsGq9WKhx56CLfccgvtxCKE+K2KKscGi0BjQJOf2xij8zd33oMdQRDw84HNAICJ/caIwQoAWKurEWCom8mvT7XNBrjdF/Zn3rFdexHB4V59nev1USXLunxJ0WBn9+7duOqqq8Q/sz6aWbNmYcWKFXjyySdRXl6O++67D0VFRRg1ahTWr1+PgADXX/DPP/8cDz30EMaNGwetVosbb7wRb73lefc9IYTwxuzchRUkUbBjqa5q1k4dtTiVew5n8zNg1Btwda9hMOhcb21VNs8DObszk8MyGnbBP4KdgnJHZicyxMtgx1nOs1Z7nh1TK0WDnbFjxzb6F0yj0WDhwoVYuHBhg58TGRmJL774QqYVEkKI+pirHGUsqTI7giCg2s7vG/vPzhLWyO6DEBIQDADQa3Wotttg9SJrZXMGN0aD/5Sx7HY7ipqb2XEGjRY/yOyotmeHEEJI/dh8nZYHO67ZKVVWft/QjmY52iFGdhskPmZoRomOla38qWenpKJMbLz2vozlPz1dFOwQQghnzBZWxmpZg7JJ7+pt4bkJlTUhuzdss6yVNw3K4m4sPQt2+C/fFDpLWEGmQK8HA7qXOXlHwQ4hhHDGldnxrPG2IXqdHlqN422A5yZUmzMjo3fbYs76dpqV2dH7T2aHBTveZnXgtgXfH3p2KNghhBDOsJ6dlm49h1sWg+fMTrUzA6PXuYIdV2bHi54dZ9+SyY+CnYIyR79OpJfbzlEjYOQ3EGYo2CGEEM5ItfUcNXbc8BzsOIIUXY3MjjPY8SIrwYId1qti47hpm2lJZsfoR3N2KNghhBDOVFRJ07MDP5mlUl8Zy6h3ZiW8yuw4hwo67wnr4eGZGOx4ue0cbhkungNhhoIdQgjhDJug3NKeHfjJb+9iZkdXX2bH+2DH5EdDBZs7UBDN3NGmVhTsEEIIZ6Taeg63N3auMzv2ehqUxe/LmzKW43PFref+lNlpToOyGAjz+9pgKNghhBDOiBOUJWhQ9odZKq75OK45uWwgnncNyo7ghg0VtPtRz05ks3p2KLNDCCFEIVJmdsRZKlZ+39BcDcquYKdZQwXF3VisQdkPMjvsENAQ73djUbBDCCFEEXa73a1BmcpYAFBtk2brOTsbi2WI/CLYadFuLP5fGwwFO4QQwpFKtzceKYIdtvWc59/eq+vr2RFnxHjesyNmdgz+s/W8oAUNyv5Q4mQo2CGEEI6wnViQuozF8W/vbOu5TqKhgkZxqCD/wU5hM088B5WxCCGEKIWVsAIMJmi1Lf8RbvKD397rz+x4v/WclbGMftKzY7FWicFxmyDq2SGEEMIJKft14CeZHdaz4z5BWd+CMhbbyWUX7BAEQeLV+g7L6mg1WoQFhnj99dSzQwghRBFmCXdiwf0NjePdWOKcHV3dCcrNKWMZ3E6D57mUxYKdNsFhzcoC+sPASYaCHUII4YiU287hJ7+9N1bG8qYEY6u19Rycl7IKypo/Ywd0XAQhhBClsB6MIJNUwQ7/v72LDcpuc3ZcDcreTFBmPTtumR2Opyi7tp17368DOi6CEEKIUqTO7PjFnJ16yliurefeDxU0umV2eJ6iLJ6L1YydWHB7bfDcz8VQsEMIIRwxS3jiOfxkloor2Kkvs9Oc3Viu6/BcxmrJQEG4vTa8yY6pFQU7hBDCEVdmp+UnnsNPdmOxMlZ9B4FavToItG5mp9ofMjvNDnbYUSL8vjYYCnYIIYQjrp4daTI7bFqwN0GB2ljrOy6CNSh7kdlhgY37gaJ8l7GaP1AQNGeHEEKIUiqqLADtxhLZ7XbYnU3EuhqZHefWcw/fqO1210wdnU4nXovrMlaZNGUsXl8b7ijYIYQQjohlLAPtxkKt3VL1bz33LGPlfh2dRgudcy4Nz8FOsbkUABAeFNqsrxcDYerZIYQQ4kvmKmm3nvO+G6va7Y24Jaeeuw8P1Ot00Gm0dR7nTaWz16a5WUDXwEk+XxvuKNghhBCOSD9UkO/dWO7BiK7eU889DHZsrutotTrxUFGegx1LtaPkGWAwNvm59aGeHUIIIYowW6Tees73jptqm3tGpvlbz+uWsfjv2WH/T90nQnvDJJY4q7g+IwwU7BBCCF+k3nrO+6nn7lvDWekJNU4997Bnp8Eylh8EO4bmvVbcJ0nzvAUfFOwQQghfXD07EmV2DHz37LAgRavR1jjskg0G9HTruXuGyP1adoHfN/nKahbsNC+z434gKu99OxTsEEIIR6Tfes73biwWpLg3J6PGUEEPt567bV/XaDRiGcs9COKNxdqynh2TW7DD6+uDoWCHEEI4It/ZWHy+mdV3VARqDBX0rIxVLR4m6nhbZNvYeT4ItKU9O3qdHlpnOc+bYzfUiIIdQgjhiDhBWaJgx8D51nMbm56srZ3Z8W6oICuHsYyOWMbitFfFZreJk6Wb27MD98NAqYxFCCHEV6QuY7lOtubzN3cxs1M72NF5l7FyBTta57/53o3l/v+zuT07qBEM8/n6YCjYIYQQjkjeoMx2Y3H6mzsbKqir1bPj2nru6W6smkdO8D5BmfXroFbvjbd4P06EoWCHEEI4Iv1QQb5/c28qs+N5GatmsMN6VXjdcs3KTnqtrk4/kzfE0QScHxlBwQ4hhHDCaqsWMxVS9ezwPjjO1VhcO7Pj3dZzm92ZIWINys5MEa89Oy2dscMY/OTICAp2CCGEEyyrAxkyO/Ci5KMmtgZ2Y7m2nntXxtKLZSy+e3YqrS2bscPwnvljKNghhBBOsJ1YWo22RpDSEka3N0Metxc3VMZybT23epSxYtfR1ipj8RrstPRcLEYcTcDha8MdBTuEEMIJltkJMgVAo9FIck335lUef3tnB3jWblBmW88FQfDoME97ncwO3xOUWzpjh3E1sPP32nBHwQ4hhHBC6uZkOMs1rGTD4/bzpjI78LC5trp2zw7nE5Sl7tmx0G4sQgghvmCukvbEc8bIcROq67iI+nt24OGOLNduLP+YsyNVzw7vE7YZCnYIIYQTcmR2wPnJ52wXVd2t567gx5Pvyy4GO46vYxOUbbyWsSTq2XHNK+LvteGOgh1CCOGEeFSESdpgh+cdN66zsWoGOxqNRgx4PNllVl1ngjI7LoLPzI50PTt0XAQhhBAfkvqoCMbIcV9GQ3N24OXJ57XPxhJPPed0zo50W8/5zfq5o2CHEEI4wY6KkLyMZeD3Da26gYNAUWv7eVP87mws53ERLW1QNtLWc0IIIb7kalCmMhYjlp909WV2PD/5vKGzsbidoOzM0rV8zg6/gbA7CnYIIYQTFRZ5GpRdpQr+yli2Braeo0Zmx4OeHVvN6+g0vGd2pOnZYQEjjzv13FGwQwghnJBt67mzkZfH395dQUrdwy5Zz061B8EO23XFdmGJu7E4zexI3bPD4wwmdxTsEEIIJ9jW8wBjy/owamNHRvD4htZoGcuLIK6hCcq8BjtS9eyYaOs5IYQQX2KliYAWvoHV5urZ4a9UYbM1UsbyYjdWda3dWHreG5Ql6tmh3ViEEEJ8SqpBcbXx3ITKjnmoPWcHAAxe9OyIDcrO62h5D3Yk7tmhOTuEEEJ8wiJRH0Zt/rAbq/ZxEQBg9GY3ljMg0mlqDhXkdYJypVjGkmY3lieDGdWMgh1CCOFEpWxlLI53YzVSxjJ4MSPGJtQ+G4vvCcqVEh0EynOJ0x0FO4QQwolKiZpOaxMPe+Twt/favTbu2NZza7XnW8/Z2VhsdxevE5RZg7JUZ2Px2LzujoIdQgjhhKtBWeoyFseZnQbOxoL7UEEPMjv2Wqeeu7ae85nZkfpsLJqzQwghxCfE7cQtfAOrzWjguGensTKWzvPvi/XmsKDJXyYoS3Y2FodZP3cU7BBCCCekegOrjefdWKxxtt4ylt77MpaWNShzPkFZqp4dE/XsEEII8SWp3sBq4/nUc1sju7HEoYKelLGEmmdjsTIWvz070pQ8DRzv1HNHwQ4hhHCCvYEFSt6gzG9mx9VY3MKhgraaZSz2b27LWBKVPGmoICGEEJ+SanZKbTzP2Wm0QdmroYK1y1icNyhXS13G4u+14Y6CHUII4YT8QwX5K2OJE5Trzex4MVSwVtDE+wRlqQ4CNXD82nBHwQ4hhHBCrt1Y7A2Rx9/eGytjeTVUkG0919Q6CJTTCcpS9eyYaM4OIYQQXxEEAZXV8h4EyuMbGsvIGOptUPa8Z8dW6/R08SBQG6/BjrQ9O57cQzVTdbBjs9mwYMECJCUlITAwEF26dMELL7wAQRDEzxEEAc888wzi4uIQGBiI1NRUnDp1StF1E0KI1Ky2avFnn9RlLNc8Gv5KFdW1ghR3YhnLi54d13ERzmBH4K+MVW2rFu+LdMdFULAjm8WLF+O9997DO++8g2PHjmHx4sV49dVX8fbbb4uf8+qrr+Ktt97CsmXLsGPHDgQHB2PixImorKxUdO2EECIl91Onpc7s+MNurBYPFRTLWPxPUHbP0LV8qKBrLIF7ooE3dfN+KrJ161Zcd911mDx5MgCgU6dOWLlyJXbu3Ak4szpLly7FP//5T1x33XUAgE8++QQxMTFYvXo1brnllnqva7FYYLFYxD+XlJQAAKxWK6xW6f6ys2tJeU1/RffKO3S/POcv96rUXCb+t0aQ9vthO5BYQMXTvWLllfruic7t+2rqe2IBkQYax+c639irq+t/X1Dz66q8olz8b20LXysaQQOwMqqlst55Rk2R8155ek1VBzsjRozA8uXLcfLkSXTr1g0HDhzAli1bsGTJEgBAeno6cnNzkZqaKn5NeHg4hg4dim3btjUY7CxatAjPP/98ncc3bNiAoKAgyb+PtLQ0ya/pr+heeYful+d4v1eXK4oBAAatHj/99JOk1z5x+TwAoLCoEODsXuXk5gAAjhw5inXFNd/STp4/AQC4kJmBdevWNXqdc+fOAQDOnD6DdevW4cQ5x9dmZmU2+rVqvFeFlaWAM4jd8POGFl3LfdDkDz+ubVEPkBz3ymw2e/R5qg52/v73v6OkpAQpKSnQ6XSw2Wx46aWXMHPmTABAbm4uACAmJqbG18XExIgfq8/TTz+N+fPni38uKSlBQkICJkyYgLCwMMnWb7VakZaWhvHjx8PgPHuG1I/ulXfofnnOX+7VmbwLwO//RpApAJMmTZL02tFnD2HJzi9gCHC8kfF0rz5P/wW4eAYD+/fHpCuuqfGx4q02rDy6AVHt2jZ5z34rOghk7EOPlBRMmjgJ+Zsr8dWxXxAdE1Pv16r5dXXuYhbw2zsINJpa/FqptlVjbtrrAICx465GRLD375Fy3itWmWmKqoOdr776Cp9//jm++OIL9OrVC/v378e8efMQHx+PWbNmNfu6JpMJJlPdmrfBYJDlRSvXdf0R3Svv0P3yHO/3ygZH74jJYJL8+wgKcGS02fA9nu6VnTVtG+velwBTAOCcxdPU98O6Udj3zg5HFSA0+rVqvFfstRJgDGjx2vR6PTQaDQRBgKBp/F40RY575en1VB3sPPHEE/j73/8ulqP69OmD8+fPY9GiRZg1axZiY2MBAHl5eYiLixO/Li8vD/3791ds3YQQIjWLTNOT4eWxCmoj7saqb6iguPXck91YjgCBNTrzPEFZnLEjwTwmjUYDk96ISquFywZ2RtW7scxms9gRz+h0OtidL76kpCTExsZi48aN4sdLSkqwY8cODB8+3OfrJYQQuVRa5ZmxA86PBLDZGp6gbBC3nnsxZ0dba4Iyh1vPpQ6M/WH7uaozO1OnTsVLL72ExMRE9OrVC/v27cOSJUswe/ZswBlxzps3Dy+++CKSk5ORlJSEBQsWID4+HtOnT1d6+YQQIhl2LlZLJ+LWx8jzBGVPzsbyYqigVpyz48zscDhU0HUuljSvFX84MkLVwc7bb7+NBQsW4MEHH0R+fj7i4+Nx//3345lnnhE/58knn0R5eTnuu+8+FBUVYdSoUVi/fj0CAgIUXTshhEjJdS6WfJkdHmep1M7IuBPLc14MFWQZInGCMoennovnYumlea24Mn9N30e1UnWwExoaiqVLl2Lp0qUNfo5Go8HChQuxcOFCn66NEEJ8Sa5DQOF2JAA4LNuwoYL1HxfheMyroYKsZ8cPylhSZQGN4tBJfjM7qu7ZIYQQ4lAp0yGgcMuAwO0UcV402qDsReN17etwPUFZ4sCY57PTGAp2CCGEA5ZqaU6xro97AFXNWdnG1ljPjnjqeXPOxnL8287Z/YAMPTtGP+jZoWCHEEI4YJFxN5ZWq3WVfDwIDNTEdTZW3TKW0fk9eZLZsYtlLK3zeo7gibfgDzL07PA8moChYIcQQjgglrFkCHYAINDo2NRhtfP1htbYqecGsUHZ8zIWC5rEredclrGk3nruuA6VsQghhMiqUsYGZbgFO/xldhqes2PUeb6LiAU1tbeec1nGskpb8jRRGYsQQogvyDlBGTWCHb5+e2+sQdmbzE7t4YRcT1CWuGeHXYcFUTyiYIcQQjgg5REA9Qk0OspjvAU7bOhf/UMFXVvPm5ofxLaYuxqU+d167urZkea1wvrEKpwBN48o2CGEEA64fluXt2eHuzKWvZEyVo0t9Y2Xo1ijs87Zs8N6gLicoCxxfxcLdiqrKNghhBAiIznPxgKAIGMgwHFmp7EyFjwYLGivndkRy1g8BjvS9uwEOLN+FVWVklxPCRTsEEIIB9hv1fL17PBZxnKdjdXw1nN4sG267pwdfstYUvfsBLLMDpWxCCGEyMlSLd9BoHAvY3G69dxQT8+OTquDRqMBPGhSrrb5zwRlqXt22GuDgh1CCCGykvNsLHDas2O328XGY109QwU1Go3H289dZSx2NhbHp55Tz04dFOwQQggHLBJPxa2Nx63n7k3H9e3GAgCD3jlF2cPMDruOeOq5wGOwI0/PDmV2CCGEyMp3QwX5DHbqa1BGjcGCnvXsaJ2NyVxPUJa4Z0fcek4NyoQQQuTEenZYI7HUWLBj4SjYcS8x1bf1HDUGCzZexqp9oKhrgjJ/wY7UZ2PRnB1CCCE+Ie7GoqGCIjZjB42VsZw7spqa/muz1+rZoa3nIrFBmXp2CCGEyImGCtZV7bZWVn6qjQU71U1ldpwNyuw6OvZ1XAY70o4pCKSeHUIIIb4gd89OEI89O6yp2G2LeW0el7Fs/lPGkqtnh4IdQgghspK6NFEbj3N2GhsoyBi97Nlh5Suey1iy9exQGYsQQoicxNKEXD07Bv4yO7ZGTjxn9FrXYaCNEU9PdwZOXE9QlmvrOQU7hBBC5FJtqxbfjANk243luG5TGRA1qT0bpz5GD+fs2O01z8ZiE5QFQeCulCV1zw6VsQghhMjOfSeR/A3K/GR2PCljedqzU12rjOW+lZ2n7I57YCxdgzIdF0EIIURmldVuwY7bSd5S4jHYEWfjNFLGYruxrE0cF1F3zo6uzsd4UG6pEP872BQkyTXdMzu8ZbkYCnaI3ziWdRp3v/80Nh/bqfRSCJEUy+wYdPpG+1Nags+t514EO40Ece5v4NpaB4GCsynKLNjRa3Vic3ZLuQ+ydA+8edJw7o8Qjmw+thN3v/80SivLceFSFtL+8YnSSyJEMlL3YNSHz8yOIzDTNdqz03QZq8YZW7UOAgVn28/NzmAnOECarA7cMjtwNimzMQU8ocwO4d4vh7bitrcfRWllOQDgUMZJnM27oPSyCJGMa8aOPP06cAt2qgVbkwP41MKTzI5eLGM1HMTZapyxVbdnx31Ss9qxzE6wKVCya+p1evF+8Nq3Q8EO4d6Hv61Ctd2GKQOvxsjugwAAP+z9VellESIZNiQuQKZt56hdqmjiaAW1qPZg67lBPAi04YDFVs+BojV7dvjJ7JRbzIDEwQ5qHBnB52GgFOwQ7h3NPA0AeHD8TNx4xUSAgh3iZ9h8E7m2naNWqYKX061ZBsqTreeNZWfcgxkW5Gg0GnEqM09lLJbZCTRKG+wEcH5kBAU7hGuXSguRX3IZGo0G3eM745p+V0Kv1eFwxkmcoVIW8RNyDxSE882d/fbOTbDjwW4sVsZqbKhgdT1lLHA6RdksQxkLfjBrh4IdwrXjWWcAAJ3atkewKRCRIeEYnTIEAPDDno0Kr44QaUh91lFDWCmrgpM3NNazo9M2clyEs4zVWM+O3S2YcT9QlMcpyuUyNCjDLdgxczpFmYIdwrWjWY4SVo/2XcTHpg4aB1Api/gRXzQow+3ICF4yO7Vn49THk6GCNnF6cs0DRdkuL3ZIKA/MsvXs8H1kBAU7hGvHnJmdlPZdxcfG9xkJADiSeQolFWWKrY0Qqch9CCjDXRnLqzk7TW89r30dHstYLLMTJHHPjmuKMh+vjdoo2CFcY5mdnm6ZnXZhkWgfGQsAOHThhGJrI0QqvujZAYe/vds8OS7Cg54dltlxHyQITstY1LNTPwp2CLdsdhtOZp8FAPRwy+wAQP+OKQCAA+ePK7I2QqTE3mDk3I0FAAGsjMXJb+9sh1Xju7EcZazGZgfZnB/T1Qp2WPDD19ZzmYMdTgLh2ijYIdw6dzELFVYLAg0mdGrXvsbH+iY6gp2DFyjYIfwTe3Z8lNnhrYzl0ZydxoIdZ+ZGX6vRmQU/dg7LWJI3KIuvDQp2CPGpY84SVrf4znV+2PXr2AMAcOD8MUXWRoiUxKGCcjcoiz07fLyheXcQaCNbz51BU+0yFrtuNVfBjqNBWeojHaiMRYhCjjqbk3vWKmHBLbOTfjETxeZSn6+NEClZrL7Zeh7EW4OyR7uxPDgIVMzs1LwOOxSUpzKW2fn/LkiiE88ZFuzwMpagNgp2CLeO17PtnIkMCUdCVBxATcrED/jiIFC4Z3Y4eUPzpIzlyUGgNvHYidoNyqyMxU+wU15Jx0XUh4Idwq1jjWR24FbK2k+lLMI53/XsON7Q2I4etfOkjOU6CLSRrecNBE08bz0PljizE0jHRRDie3a7HRmXcwAASdEJ9X5OP2pSJn5C7NmRfTcWX29oLFuja2TruVFsUG66jFU72GHlMZ56dligGmSSp2eHl36u2ijYIVy6XFaIarsNWo0WMeFR9X5OP9p+TvyEeBCo3lcNynyUKjxqUGYHgTY2VNBWfxmL9ezwVMYyV8mT2eEtEK6Ngh3CpezCi4BzgGBDA8X6JHYHAJy/lIWi8hKfro8QKfmqQZnXreeNNih7NFTQH8tYMp16TpkdQnwntygfABDbpl2DnxMRHI7EtvEAgEMZ1KRM+FVZ7eMGZU7e0KobCFLcsTk7njUo1wp22FBBTiYoC4Igf4MyZXYI8Z2cIkdmJ75NdKOf1yehG+A8J4sQXvkss8PZBGU2+djQ2HERXhwEWjtDxNvW86pqqxgABsk0QZmXnXq1UbBDuJTrDHYay+wAQK8OjmDncAYFO4Rf4kGgsvfs8NWE6llmx/GxxoYKimdjaeofKsjLqeesXwdyBjuclDhro2CHcCm70FHGiotoPNjpnZAMADiccdIn6yJEDuUy7bCpjbcGZY+GCrLdWI0GO/WfseUqY/ER7LDXiUlvbDTb1Ry09ZwQBXib2TmVe47bv6SElFWWAwBCJD7vqDZXXwYfwQ7LuDS2G8t1EGjDAQvL7Og09e/G4qWMVV4pT3My6CBQQpThac9OfEQ0IoPDYbPbcCI73UerI0Rartkp8gY7vM1S8aSMxXZrNjZnp6Hr8DZBmZ2LFShDsEMNyoQowNPMjkajQS9nKetIJpWyCJ/KnG9ivsrs8FLGsnlQxjJ6cBAoC2Z0tctYnG09N8u07Rw0Z4cQ3yurLEepM60f10SwgxpNyhTsEP5UVVvFfpMQmTM73G09F8tYTe/GanSooLNnp3YZS8fZBGXXQEEZgh3OZjDVRsEO4Q4rYYUGBCPYg990e4uZHdqRRfhT5pybAp9kdtj24koIgiDrc0lBPC6i0d1YrIzV9NbzOpkd3np2ZDoXC26ZnapqKzeZLncU7BDusBJWXETj/ToMy+wcyTzFTe2dEIY1JwcYTA1OC5cKm7MjCIJ4HpeaeVLGYpkdm93W4N9/MdhpYIKynZM3dzZQUI5de4EG19gDdjAtTyjYIdxhmR1PSlgAkBzbESa9EWWVZly4nC3z6giRFms69SSL2VLuB43yUK5o6LRyd+wgUDQyWFCcoFy7jMXZBGVZMztG92CHjzKnOwp2CHdyCps+KsKdXqdHSvsuAIBDF6hvh/CFlbHk7teBs+TD3vB56NtpaD6OO/ePWRvYkdVQhkinZYeI8pHZkbNnR6fVidv4edx+3qxgZ9OmTZg6dSq6du2Krl27Ytq0afjjjz+kXx0h9fA2swO3YyMOXqAT0Alf5DrYsSEsE8JFZscZpDQ2QI+9QQNAVXX9mR12Ha2G963n8r5WeN6R5XWw89lnnyE1NRVBQUGYO3cu5s6di8DAQIwbNw5ffPGFPKskxI23PTsAMKBTTwDAvnNHZVsXIXLw1UBBhqtgx4Mylk6rE4+BaCizY2/gbCzuJihXsnlM8gY7PLw2avO62+2ll17Cq6++ikcffVR8bO7cuViyZAleeOEF3HbbbVKvkZAampPZYcHO/vNHYbfbodVSBZfwgZWxfNGzA7e5NDy8oYnlp0aCHTizO5VWS4M9O66gifMJyhbWoCxTsMPxkRFe/8Q/e/Yspk6dWufxadOmIT2dJtQS+XnbswMA3eKSEGgMQFmlGadyz8u4OkKkJQ4UNAX75Pm4yuywxuJGenbglrFpaLAgy9zwPkHZ7Px/JkeDMjg/MsLrYCchIQEbN26s8/gvv/yChIQEqdZFSL2stmpcLC0AvMzs6HV69EtMAQDsO3dEtvURIjW2ndhnZSwtP8GOJ2djwS2Aa6hnR5ygrK3/1HM2dFDtxJ17MmV2xKGTHGZ2vC5jPfbYY5g7dy7279+PESNGAAD+/PNPrFixAm+++aYcayRElF98GYIgwKDTIyokwquvHZDUC9tP78e+c0dxy4gpsq2RECmV+TrYcTb0smZXNRNPPW9kgjLcpyg3ELQ0dDYWK3fzUsYSz1AzyhTscJzZ8TrYeeCBBxAbG4vXX38dX331FQCgR48eWLVqFa677jo51kiIKK/4EgAgOizK674balImPPJ1sBOod7yhlVSU+eT5WkLstWmyjOWcotxQGavBoYK89ew4d2MFyNuzU2FVf9avtmaN47z++utx/fXXS78aQppwseQyAKBdWJTXX8uCnaOZp1BptYj1Z0LUrEzmptPagvSOUgUXwQ6bs9NkGavxw0Bt4rET9Q8V5GWCspwHgaK19ez4WlZWFm6//XZERUUhMDAQffr0we7du8WPC4KAZ555BnFxcQgMDERqaipOnaIzkPzVxRJHv050eKTXX9shMhZtQyNQbbfRoaCEG2aL74YKwq1UUWwu9cnztYR4EGgTmR1WxmpwgrJzQnLtoIkmKNfk93N2IiMjcemSo3wQERGByMjIBv+RUmFhIUaOHAmDwYCffvoJR48exeuvv46ICFevxquvvoq33noLy5Ytw44dOxAcHIyJEyeispK/NBtpWr4z2GkX6v1rTaPRuEpZ6VTKInxwlbF8sxuLp8wOm6Dc2JwduA0dbGrrubZOsKOr8XG1E7eeG6U/GwtuDco8BjselbHeeOMNhIaGiv+t0WjkXhcAYPHixUhISMDHH38sPpaUlCT+tyAIWLp0Kf75z3+K/UKffPIJYmJisHr1atxyyy31XtdiscBicf3PKikpAQBYrVZYrfWnOZuDXUvKa/orT+9VXpEj6I4KiWjWfe2X2ANph/7ErjMHcdeYG5q5WuXRa8tzvN+r0grnQaB6o+zfg9VqRZDzMNDCshLV3zMrC0IEodG1sgbmCktFvZ/HylvaWq8TjdvHa3+d2l5XgiCImR2jziDLutiutvJKs1fXl/NeeXpNj4KdWbNmif991113NX9VXlqzZg0mTpyIm266CZs2bUL79u3x4IMP4t577wUApKenIzc3F6mpqeLXhIeHY+jQodi2bVuDwc6iRYvw/PPP13l8w4YNCAqSPv2XlpYm+TX9VVP36tBJx7bx/IxsrFu3zuvr2y473jg2H9mJH3/80WeBu1zoteU5Xu9V7qU8AMCRA4dhz5I/2xLkLFWcuXC2WX/HfKm0zFFq27l9Jy4ez2zw88pKHZ+3Y+dOVF0orvPxs+lnHf8+m17jez57Nl38eEP3Qi2vqyqbFYIgAAD+3PQHAvTS9yRmZ2YBAI6eOIZ1Gu9fG3LcK7PZ7NHned2grNPpkJOTg+jomqP6L1++jOjoaHHugRTOnj2L9957D/Pnz8f//d//YdeuXZg7dy6MRiNmzZqF3NxcAEBMTEyNr4uJiRE/Vp+nn34a8+fPF/9cUlKChIQETJgwAWFhYZKt32q1Ii0tDePHj4fBYPDgK1ovT+/Vhyd+BACMHTYakwZc5fXzXFVViXf3foMiSyl6XtEPSe06tGjdSqHXlud4v1cLt/8HAHD1mLFiGVYuVqsVBz5z9DwagwMxadIkWZ+vpZ7b9hFQAYwZPRp9Ero3+HkrTq/HmcJM9OnXF5MGjavz8S2lx4Dze9A9uVuN7/mYkIOfzmxFQmJinXuhttfV5dJCYMNrAIDrpkxrsrTXHEeFbKSl70Bch/ZevTbkvFesMtMUr4MdFjnWZrFYYDQavb1co+x2OwYPHoyXX34ZADBgwAAcPnwYy5Ytq5Ft8pbJZILJVDfqNRgMsrxo5bquP2rqXl1yDhSMjYhu1j01GAwY0Kkndpw+gN3ph9AtPsmDr1Ivem15jtd7xUoTbULCfLJ+VsYqrSxT/f1iu7ECjKZG12oyON6b7BDq/zxngtdoMNb4uNH5dUJDX6ei15XFeS8CDSYEmOTp2WF9Y5bqqmb//JX6Xnl6PY+DnbfeegtwNnl++OGHCAkJET9ms9mwefNmpKSkNGetDYqLi0PPnjV/k+nRowe+/fZbAEBsbCwAIC8vD3FxceLn5OXloX///pKuhagDm54cHdb8ZvgR3QZix+kD2HpyL24bOU3C1REiLUEQxINA5dphUxsrYxVz0KDMpjwHNtGQ62mDckNbz20cbD1nu/aCZJzHxM5nY03zPPE42HnjjTcA51++ZcuW1RjiZDQa0alTJyxbtkzSxY0cORInTpyo8djJkyfRsWNHwNmsHBsbi40bN4rBTUlJCXbs2IEHHnhA0rUQ5ZmrKsW/ZM2Zs8OM6DYQb6z7GFtP7oUgCNz37RD/ZamuEqf7+m6ooHM3lrlU1X8/BEFAhXPei+fBTkNDBfmfoGy2sHOx5JvHFBboyOyUchAI1+ZxsMMO+bzqqqvw3Xff1dj+LZdHH30UI0aMwMsvv4wZM2Zg586dWL58OZYvXw44s0zz5s3Diy++iOTkZCQlJWHBggWIj4/H9OnTZV8f8S02YyfQYGrRD/5BnfvAoNMjuzAf5y9loROnfTvE/7kf2SDnm5g7Vsay2qphrqr02fN6y2qrFoOUJoMdNmenwQnKDWR2OJqg7MoAyhnsOCo6Jc4dgjzxeqjgb7/95pNABwCGDBmC77//HitXrkTv3r3xwgsvYOnSpZg5c6b4OU8++SQefvhh3HfffRgyZAjKysqwfv16BATIU7MkymHTk9uGRbbot80gY4DY6Ln15D7J1keI1FgmM9BgEo88kJtJZxAzHCUqHizoflBpU9OlDU0cBNrgcREcTVBmZcfwwFDZniPUGeyUVvpxZsddZmYm1qxZgwsXLqCqqqrGx5YsWSLV2gAAU6ZMwZQpDR/aqNFosHDhQixcuFDS5yXqI05PbkEJixnRbSB2njno7NuZKsHqCJFeOftt3UclLDh/poYFhqCwvBjFFWWIi4j24Kt8jwU7eq1OLFM1hH28oYNAGwx2dOzUcw6CHWdgGhYU0uTnNpcrs9MKgp2NGzdi2rRp6Ny5M44fP47evXvj3LlzEAQBAwcOlGeVhADIF8/Favmk7hHdBmLpTyuw9eQeVfclkNbN19OTGRbsqDmzw86BaqqEBQAGfVMHgTZwNpaGn54dFuyEB0k3PqW20ADWs9MKylhPP/00Hn/8cRw6dAgBAQH49ttvkZGRgSuvvBI33XSTPKskBEB+sSPYkSKzM7hLXxj1BmQX5iM9P0OC1REiPXYIqK+akxn2G7yad2RVWD1rTobb5N+Ge3YaOhvL8Wc7R8FOmyD5yljsdVFptTQYOKqV18HOsWPHcOeddwIA9Ho9KioqEBISgoULF2Lx4sVyrJEQwG3beVsJMjtBxgAMSuoNAPjjxO4mP58QJbDMjq+bhNkbppozO55uO4cHB4GyMlXds7HYQaAclbEC5StjhQa6Moy8lbK8DnaCg4PFPp24uDicOXNG/Bg7LJQQOVwsbvmMHXdjegwBAGw+tkuS6xEiNVewo0xmp8hfgp0m5uywzE3t09NZZoeLMlYFy+zIV8bSaXViMzhv28+9DnaGDRuGLVu2AAAmTZqExx57DC+99BJmz56NYcOGybFGQgC3zI4UPTsAMDrFEexsPbmHi6FhpPUxK1zGKqngIdhp+gwoFuw0VHphjcusR4dxBTvq//kg9uwEy1fGAoCwAD63n3vdoLxkyRKUlTkiuueffx5lZWVYtWoVkpOTJd+JRYg71qAsRc8OAPTv2AMhAUEoLC/B4YxT6NdR2gnghLSUq0HZx8GOc0dPsVm9v72bvcjsGJ1lrOoGMjtNbT3nIdgpKncGOzKWseAsZeUWX+Qus+NVsGOz2ZCZmYm+ffsCzpKW1FOTCamPIAji1nOpMjt6nR4jug3EhoNb8MfxXRTsENURG5RNvt6N5ezZUXVmx/MGZTajqKqJMhbPE5TZ/ys5d2OB4+3nXpWxdDodJkyYgMLCQvlWREg9yi1mMW3dLlSaYAcAxjhLWVuoSZmokNizo9RuLBVndtjPgyBj083bTe3Gqm5igjIPu7GKxK3nMpexWkOwAwC9e/fG2bNn5VkNIQ1gWZ0gU6CkP/hHp1wBANhxaj8s1qomP58QX1KqjMXeMItV3KDsmrPjQc+OvvEGZVamqt2grOdkqKAgCOLOObmDHbYjix1PwQuvg50XX3wRjz/+ONauXYucnByUlJTU+IcQOUjdr8N0i+uE6LAoVFgt2HvuiKTXJqSlyhXaeh7OVYOyN7uxGj8bS6vhs2fHbKkQAzLfZXb4Cna8blCeNGkSAGDatGk1ps6yKbQ2m7pfFIRPYr9OqLTnsmk0Ggzt2h8/7N2IXWcOYnjyAEmvT0hLlFkcbyiKDRXkoIzl1ZydJs/Gqvn7v5aTg0BZCUuv1SHIg/vREqGclrG8DnZ+++03eVZCSCPy2blY4dJmdgDgii598cPejdh55qDk1yakJcQyls8blHnI7DRjgnITQwXrztlxHgQqqDvYEZuTg8NkP/omLJAdGeHnwc6VV14pz0oIaQTL7LSVsDmZGdLVsbtw15mDsNvt4g4MQpRW7uxL8f3Wc9azU6bas+MqrKxB2ZPdWI4gpqEyll0sY9Wes8NHGavIB0dFMKGclrHopzrhwkXWsyNDZqdXh2QEGgNQbC7Fydxzkl+fkOYqV2g3Vrhz67ldsIvZJbXxZqggm7PT8EGgjU9QrlZ5e0aJD46KYNhzlFbyldmhYIdwwdWzI31mx6DTY2BSL8CZ3SFELZQ6CDTAYBQDhGKVlrK8a1BufKggK2/VHSro3Hqu8jKWK7Mj74wd1JigTMEOIZKTazcWc0UXRymL+naIWgiCoNjZWBqNxtW3o9Lt583ZjdXQUMHKBk5Q13EyVLDYR9vO4bb1nLeeHQp2CBeknp5c2xAW7Jw+IMv1CfFWpdUi9or4OrMD91k7Kn1TM1s8HyooztlpoIxVyZqdDTVLYrz07Ignngf5roxFPTuESEyOoyJqG9y5DzQaDc5fykJ+8WVZnoMQbxSVO+aW6bQ6hPg4swP3NzXVZ3Y8OQi08Z4ddq2AOpkdR5DES7DjkzKWP289HzBggMfd+Hv37m3pmgipobSyHJZqx3RjuYKdsMAQpMR3xrGsM9h55gCmDLxaluchxFOF5cUAgDbBYYrsEGRvnGrN7DSnjFVfo7HVVi1uPa8dOHFTxnL+P/JNGcsR7FRUVcJqqxbvrdp5tMrp06fLvxJCGsAyLaEBwR79YGuuwZ374FjWGRw4f5yCHaK4gjJHsBMZHK7I84snn5erNbPj+ZwdNlSwqp6t5yxoqu9a/JSxHFlAuU88h1vPDgCUVpQjMkSZ16e3PAp2nn32WflXQkgDLpbKW8JienVIBgAczTot6/MQ4onLZUUAgKiQNoo8P9t+rtrdWFbPMztGXcM9O6xfR6PRwKQ31viYlpODQF0NyvKXsQw6PQINJlRYLSitKOMm2KGeHaJ6LLMj104spmf7rgCAo5mnZH0eQjzBylhKvZmwzE6JSo+MaM5xEfVtPRf7dQymOu0aYmZH5VvP2bEebYLlL2OB0yMjvA52bDYbXnvtNVxxxRWIjY1FZGRkjX8IkRrL7LSVObPTwxns5BRdFEsIhCiFvQYjFCpjsZ6dgvIiRZ6/MdW2arHZuKVbz82NBE3iqecqHyrIylhhgb4JdnhsUvY62Hn++eexZMkS3HzzzSguLsb8+fNxww03QKvV4rnnnpNnlaRVuyhmduQNdkIDg5HYNh4AcIxKWURhBc4yVqRCZazYNm0BAHnFlxR5/sawuTjwdDeWM7Njs9vqlKQamrEDt+Mj7Crv2fHlcRFw69spq+Rn+7nXwc7nn3+ODz74AI899hj0ej1uvfVWfPjhh3jmmWewfft2eVZJWjVf9ezArZRFwQ5RmtJlrNjwdgCA3CL1BTus9KTRaBBg8OC4COfWc9RzGCi7VlA9QROboKzmMlZVtVX8HnyxGwucztrxOtjJzc1Fnz59AAAhISEoLnb8hZwyZQp+/PFH6VdIWj3xxHOZe3bgVsqiJmWiNKXLWDEqzuywgYKBxgCPxqK4n3lV+zBQ956dhr7OaquGIAgtXrccit3mILnvlJJTq+jZ6dChA3JycgAAXbp0wYYNGwAAu3btgsnUdIRNiLfkHijoztWkfEb25yKkMZeVLmM5MzslFWXi6etq0VifTX3YOV8AUFVdf2anvmuxxwRBqJMRUgsWcIQFhtQ520suYRweGeF1sHP99ddj48aNAICHH34YCxYsQHJyMu68807Mnj1bjjWSVo6deN7OB5mdXh0cwc6J7DOqn61B/BsrYym19TwkIAhBJsdRDPkqy+6IAYoHJSw4y1Gs/6b2jqzGenbcH3Ofx6Mm4owdH5WwwGlmx+vRh6+88or43zfffDMSExOxbds2JCcnY+rUqVKvj7Ryvjgqwl3Hdu0RaAxARVUl0vMz0TW2o+zPSUh9XGUs+Wen1Eej0SA2vC3O5mcgt/gSkqITFFlHfbzZds4YdHpYqqvqDBZsbDihQaeHTquDzW5DRVWlTwMKTxX58BBQhvXslHLUoNziOc/Dhw/H8OHDpVkNIbUUmUvE9HG7UPmDHZ1Wh5T4zth37iiOZp2mYIcowmKtQrnFceK5UmUsAIhxBjt5KmtS9mZ6MmPQO4Kd2oMFG+vZgXO3V1mlWbWZHTYHyafBToCfZnbWrFmDa6+9FgaDAWvWrGn0c6dNmybV2ggRszrhQaEwGYxNfr4Uerbvin3njuJY1mlMGzTOJ89JiDtWwtJpdeJv0UqIbePckVV8UbE11Me1g8rzYIftyGpoN1ZDgVOgMUDVwU6Rs4zlq23ncGuE9rtgZ/r06cjNzUV0dHSj52RpNBrYVD58ifDFtRPLdwMre7JjIzJpRxZRBpuxo9QhoEx0uKNPLs8560otvDkqgtGzIyMa7NlpKLPjeA6WTVIbV4OyAmUsjraeexTsuA9hUvsZIcS/XHIGO219UMJikp2lq7P5F3z2nIS4U/oQUCY23Ln9vEidmR1PBgoy4mGgtcpY5ibLWM5gx6rOYOeyW2DsK61ignJjzGazlJcjBPnOnVjsN0xfYI2Y5y9l044soogChXdiMa4ylrp6dszOrfDeZHYaOgy0yTKWIaDG56kN+4XQFz2NDDs3zX3Gj9p5HeyMGzcOWVlZdR7fsWMH+vfvL9W6CAHcgh1f/kWOj4iGUW9AVbUVmQV5PnteQhjXURHKZnZiwtU5WJCVlNjWeE80WMZqotk5wJk9Umuw48vdqgzLtF8qLeSm2uN1sBMQEIC+ffti1apVgLOs9dxzz2H06NGYNGmSHGskrRj7i+zLzI5Oq0PHtu0BAOn5GT57XkIYpacnM6yMpbYjI1xzdrzI7OgbalBuPNgJVHuw48PjdJi2oRGA86yxIk6yO15vPf/xxx/x7rvvYvbs2fjf//6Hc+fO4fz581i7di0mTJggzypJq5Vf7LuBgu46RyfgVO45pOdnYGzPoT59bkJc52IpW8ZimZ1yixllleUICfDNcQRNaVbPjq7+nh1Xs3P91woyqruMpURmx6g3oE1QGIrMJbhYUqB4BtITzZqzM2fOHGRmZmLx4sXQ6/X4/fffMWLECOlXR1q97KJ8AEC8s3fAV1jfTnp+pk+flxCoqIwVHBCE0IBglFaWI7foErrGqi3Y8WaooOMohdoTlD3Zeo5aJ62rhc1uE7OAviz1A0C7sAhHsFNagO5I8ulzN4fXZazCwkLceOONeO+99/D+++9jxowZmDBhAv7973/Ls0LSquUUOoKduIhonz5vZ2ewc/YilbGI76mljAUAsc4DQdXUpNysYIftxqo1QVns2WmgJBao4sxOQVkR7IIdGo3G54Gx2LfjzCypndfBTu/evZGXl4d9+/bh3nvvxWeffYaPPvoICxYswOTJk+VZJWmVyivN4tbGeIWCHerZIUooUEkZCwBinAeCqmn7eXMmKIs9Ow0eBFp/GYttSVfjnB1WwooMaSM2YPsKK5td9Ndg529/+xs2b96MpCRX2urmm2/GgQMHUFVVJfX6SCuW4/zhGhIQ5PNeAXH7+cWsOmlvQuTGylhKbz2HW2ZHTYMFpRwqKB4X0eRQQfVldvIV2HbOsCZl1iCtdl4HOwsWLKh3omeHDh2wZMkSqdZFCHKc/TpxbXyb1XE8ZzsEGEyottuQWZDr8+cnrZvSh4C6c20/V09mxzVnx/MGZXHOTu0yViOnnkPlu7FczckRPn9ultm55K/BTm2lpaVYvnw5rrjiCpqzQySV7ezX8XUJCwC0Wi06tesAADhLpSziQ2o5BJSJDVffYMGW9OzUHSrYVLCj3syOOJrDx7tV4ZZN8tsyFrN582bMmjULcXFxeO2113D11Vdj+/bt0q6OtGpKNSczSRTsEAWo5RBQJoaVsVQ0a6c5QwVdW8/9ZzcWKyG19eG2c8bVs1Po8+duDq86mnJzc7FixQp89NFHKCkpwYwZM2CxWLB69Wr07NlTvlWSVon17ChRxgKApGhHsENNysSX1HIIKMMGC6qpnNuczE5IQBAAoLTSdXilzW6DpdrRa9rU2VhmFWd2lOjZEYMdfytjTZ06Fd27d8fBgwexdOlSZGdn4+2335Z3daRVYz07SpSx4L79nIId4kMF5SWACg4BZbo4D8bNLMhFubNXRmnNmaDcJshxKniR8/4CQKXVtammweMiVLwb65IC05MZ963ngiD4/Pm95XGw89NPP+Huu+/G888/j8mTJ0PnHNBEiFxYGSvWxwMFGbYj6xwNFiQ+lO/sjWG7XZQWFdJGXMvp3PNKLwdo5gRldip4sdkV7Lj34QQ2deq5mjM7SgQ7zue0VFfVyJaplcfBzpYtW1BaWopBgwZh6NCheOedd3DpknpquMT/ZDvLWEpndi5czqHt58Rnsgodh8+2j4xVeimibnGOUSMnc9KVXgrsdjsqmthBVZ/wIEew436WEwtgTHpjgyVDLoIdBcpYQcYABJuCaqxDzTwOdoYNG4YPPvgAOTk5uP/++/Hll18iPj4edrsdaWlpKC3l4zAwwgeLtQqXSx2Nb0r17MSEt4VJb4TNbhN3hhEit6wCZ7CjUJBfHxbsnMg5q/RSUFntKj0FeRHssDJWsVuw09S2c8dzqHPrud1ux2Vnf5cSmR1wtv3c6+634OBgzJ49G1u2bMGhQ4fw2GOP4ZVXXkF0dDSmTZsmzypJq8O2uQYYTIrNGtFqtegQ5fjt+sLlHEXWQFqfbBVmdrqrKLNjdusbamgQYH3CWc9OPWWsxsphat2NVVBeDJvdBgCIUqjk2Y4NFvSnzE59unfvjldffRWZmZlYuXKldKsirZ647bxNO2g0GsXWkRgVDwC4cClbsTWQ1oVlduIjYpReikjM7GQrH+y4l550Ws97R9kvTfWVsRrL7Ki1jHWxxDHROjI4HAYfHxXB8HRkhCT7GnU6HaZPn441a9ZIcTlCXNOTFU7lJ0TFAQAyLlOwQ3zDldlRX7Bz4XK24luw2Y6wIJPnJSy49ewUl5eIu4fYDquGtp2j1m4sNe06YgGGEjN2mLbi9nP1z9pRfogDIfXIdsvsKCmhLQt2qIxF5FduqUChc2u0moKddmGRiAxpA0EQcDr3nKJrYUMXvT0RnpWxqu02cUJ1pQcHirKP2ey2OudqKUnJnVhMO45OPqdgh6iS0tOTGSpjEV9iWZ3QgGBVTE92p5YdWYVlzQt2gowB4snnrJTlyYGi7h9TUymLDfNTYicW07a19OwQIhelpycziW1ZsEOZHSK/bNavo6KsDtNdJX07bMJ0ZIh3wY5GoxGzO2xHlicNygadXuwNUlOTsioyO/68G4sQX1B6ejLDgp3c4ouq+kFH/JM4Y0dFzcmMajI7zjKft5kd1DNFmfUfBTQyiVmj0ajy5HM1BTvUs0NIM6mljBUZHC4Ozsq8rJ6zgYh/EmfsqDizo3Swc1nM7Hh/IrxrsKAj2HH17DS+hV2NO7LEQ0AVLGPxdPI5BTtEdSzWKnHOjtK/4Wo0GiQ6m5Qv0I4sIjM1Z3a6xzuCnXOXshR902cNyt6WsVDjyIjaZazGd3a5dmSpJ9hhp9DHhEcptoZ2YY7nLreYFd+l1xQKdojqXLicDUEQEGwKUsX5QGz7OTUpE7mpuWenbWgkosOiIAgC9pw9rNg6xJ6dFpWxvAt2XCefq6OULQiCuEOU/XxSQkhAkHimGDvTTa0o2CGqc+5iFgCgU7v2ig4UZNiOLNp+TuTGMjtqGijIaDQaXNlzKADg1yPbFFuH2LPTjMxO7SnKruMi+CpjFZtLxcM3OygY7Gg0GnHKvNp/PlKwQ1Tn/EXHKeOd2rVXeikA7cgiPiIIgpjZ6aDCzA4AXN1rGADg96M7FFtDQQt6dtrU6tmp8GDOjvvHK1WS2WGBRdvQCK/OB5NDAifjOSjYIapz3vmXpmNblQQ77C8z9ewQGRWWl4ineSs9cqEhY3pcAY1Gg6NZp5HrHA/ha80dKgi3YKdOz04ju7Hglvlhc3mUxoKdDpHKZXUY9nP6PAU70nnllVeg0Wgwb9488bHKykrMmTMHUVFRCAkJwY033oi8vDxF10la5pwzs9NRNZkd5xRllf9lJnzLKnDs9msXFgmTwaj0cuoVFdIG/Tv2AAD8pkB2x2a3iQMBo5pTxgquf85OUweKBqqsQTnT+VpRsl+H6djO8cvg+UtZSi+lUdwEO7t27cL777+Pvn371nj80UcfxQ8//ICvv/4amzZtQnZ2Nm644QbF1klaTuzZUUlmh6VpC8qLUeaskxMitWwV9+u4u6rXcADAbwr07RSZS8Xzqdq0oEGZ9f3w2rPjak6OVXop3EyZ5yLYKSsrw8yZM/HBBx8gIsK1O6e4uBgfffQRlixZgquvvhqDBg3Cxx9/jK1bt2L79u2Krpk0j91uF//SdGrXQenlAABCA4PFE5PV/hea8CtT5f06DOvb2XxsF2x2m0+fm/XrhAWGNOuk7wbLWB727Kgv2FFDZoePMpYy58J7ac6cOZg8eTJSU1Px4osvio/v2bMHVqsVqamp4mMpKSlITEzEtm3bMGzYsHqvZ7FYYLG4Gs1KShxRvtVqhdVqlWzd7FpSXtNfsXuUeTkXluoq6LU6RIdGqubedYiMQ2F5Cc7mZSA5ppPSy6HXlhd4uVesTBob3k6xtXpyr3rFd0V4YAiKzCXYeeoABnfu47P1XSy+DDj7dZpzj4KNgYBzgrLVaoXZeYK6QWto9HomvaOsWFZhrnOPlPh/xX7pim8TrfjrOi7McVhzQVkRCkuLERIQVOdz5LxXnl5T9cHOl19+ib1792LXrl11Ppabmwuj0Yg2bWp25cfExCA3t+Fpt4sWLcLzzz9f5/ENGzYgKKju/6iWSktLk/ya/uq79WsAABEBodjw8wallyMyWB1b4Dds+RW2zFKllyOi15bn1H6v/jzo+Blnzi/GunXrFF1LU/eqe5tE7Kw4isWr/o2/9pvqs3XtzzsJANBY7c26R8WVZY5/m0uw9scfcanQMfn3wJ59qDh3ucGvy7rg6CM8fupEnedV4nV1Ls+xnrOHT6LyvPJHNYQYAlFmrcAXq79Ch7CGm+vluFdms9mjz1N1sJORkYFHHnkEaWlpCAiQbnvd008/jfnz54t/LikpQUJCAiZMmICwsDDJnsdqtSItLQ3jx4+HwWCQ7Lr+iN2rdknxwE6gR2IyJk2apPSyRPuqzmFv7nGExkSoYl302vIcL/fqpZ0rAADXp07B8OQBiqzB03vVvndnTPnXvdiVewxL7nvGZ+WUkm0CsBfo3L5js/4eVlotePK3tyEAGH3VGOh2fAyUA2PHXCk2XtfnlPYi1p/dhtj2ceLzKvW6KjaXwvzTIgDArdNvEo+zUdK/j6zGgQvHkNijM67pN6bOx+W8V6wy0xRVBzt79uxBfn4+Bg4cKD5ms9mwefNmvPPOO/j5559RVVWFoqKiGtmdvLw8xMY23LhlMplgMtVtSDMYDLK8aOW6rj/KLHRk5JKiE1R1zzpFO/qHMgtyVbUuem15Ts33qtxSgQznDpueCcmKr7OpezW4Sx+MSRmCzcd34YPfvsKiWx/3ybqKKxxZ1cjQiGbdI4PBgECDCRVWC8qtleJW/9CgkEavFxzgKH9ZqqvqfJ6vX1d5pY4MVGRIG7Rpxo40OXSKbo8DF44hqyiv0Xshx73y9HqqblAeN24cDh06hP3794v/DB48GDNnzhT/22AwYOPGjeLXnDhxAhcuXMDw4cMVXTtpHrZ9US0zdhhxsCDN2iEyOJ17HoIgICo0QhVHpHhi7jWzAAArt/7gs4Mg2Yyd5mw7Z8TDQMtLuGxQzriknuZkhv28VvMGDlVndkJDQ9G7d+8ajwUHByMqKkp8/O6778b8+fMRGRmJsLAwPPzwwxg+fHiDzclE3diUYrVMT2Zc2ytzIAiCKo6xIP7jRM5ZAEC3WOWb3z01svsgDOjUE/vOHcW7Gz7Dc3+ZK/tzFpQ1f6AgEx4citziiyg2l7i2nhs823quhsMu1bQTi0l0rkXNO7JUndnxxBtvvIEpU6bgxhtvxJgxYxAbG4vvvvtO6WWRZmKZHbUFO+z8l3KLGQXO3y4JkcrJnHQAQPf4zkovxWMajQaPTb4HAPDBr6tw8MJx2Z/TdeK590dFMBHOzE5e8WVxZo/nmR3lj4vILGDTk5WfscOw7edqzuxwF+z8/vvvWLp0qfjngIAAvPvuuygoKEB5eTm+++67Rvt1iHqZrZXimTVqK2MFGEyICW8LqPwvNOHTiWxnZicuSemleCW1zwhcNzgVNrsNj37yEqy2almfj83ZaVFmxzlY0P24iyYnKKvobCxVZnacP68zLufAbrcrvZx6cRfsEP+VX+6o+7cLi0RwPbMalMZStWo/3Zfw5wTL7HAW7ADAizPmIyI4DEcyT+Hdnz+V9blcmZ2WBztHs04DAPRaXZMDCsXMjgrOxlJjsNM+Iho6rQ6VVgvySxrewq8kCnaIamSVOn7TSlFpKt91+jlldoh0yi0V4hsYT2Uspl1YJF6Y8SgAYOlPK2Tt27hc1vJgh01D/36XY47X6B5DmvwaNZ2NlXFZPediMXqdHu2dk7/V+vORgh2iGpml+QCAnu27Kr2UetGOLCIHthMrMqQNNzuxarvximswqvtgVFoteO7rN2V5DrvdjiLnmVYt6dlhu7EAINgUhMW3Ptnk14innisc7BSWF4ulfjWci+Wuo/PnY7rzIGe1oWCHqAbL7PRQabDDDgRlWz8JkQLbicVjCYvRaDR46ebHoNfq8NOBTfhVhkNCiytKYRcc/SBS9OwAwMKbHhF/iWmMWraen8h2lDvbR8YiJCBY0bXUxvrNjmWdUXop9aJgh6iCIAiuzE4HdQY7lNkhcmA7sXhrTq6te3wS7r56BgDgn6uWoKpa2nOQ2LbzkIAgGPXNH0zXN7E7AOCafmNw28hpHn2NWhqU1RwY9+qQDAA4mnlK6aXUi4Idogp5JZdRbq2AVqNV7Q/9BLcGZbXuOCD8YTuxeOzXqe3xyfegbWgEzuZn4Ic9Gz34Cs+x5uSWZHUAYEiXvti7aA0+un+Rx/OyWLBTbbfJvuOsMcezHK8VNfY19nQGO4czT4lb+tWEgh2iCiz12SUmAQFNDPhSCttxUFVtRV7xJaWXQ/yAIAg4dMFxuGWP9l2UXk6LhQYG4+6rbgIAvL/xS0nf9Ni285b06zDxzr/LnnKfw6NkKUvM7Kgw2OkelwSdVoeCsiJV/nykYIeowvFsxzbQlHj1/sDX6/SIj3Cc6Evbz4kUMgtykVt8EXqtDv0aOYiSJ3eOuQEBBhMOXjiOHacPSHZdVsaKbGFmpzkMOj20GsfbpZLBzvFsltlR38/JQGMAusQkAgCOqLCURcEOUQWW2emhwr/E7qhvh0hp15mDAIDeCd0R1MQUX15EhbTBX4ZeAwBYvnGlZNfNLnT09EWHR0l2TU9pNBrFm5QvlhSgoKwIGo0GyXHqPFaE9e1QsENIA45lO4Mdlafy3c/IIqSldjqDnSFd+ii9FEnde/UtAICfDmzG+YtZklxT6aNklN5+znq7OrZtr9rAmG0uoWCHkHpUVVtxJu8CAKBHvDp3YjGJbR1NypTZIVLYffYQ4Gya9Sfd45MwtudQCIKAL7f9KMk1WdDUqV0HSa7nLaXPxzqu4p1YTO8O3QAARzIo2CGkjjN552G1VSNQbxJ7YtTKldmhYIe0TFllOY5mOnrVrvCzYAcAZgybBAD43+40SRqVWWanowdzceQQ6pxrU2wuVeT5T2SrdycWw8pYZ/MzVHFCvDsKdoji2A/89qHRHm8FVQrbfk7BDmmpPWcPwy7YkRAVh9g27ZRejuQm9h2NQIMJZ/MzcPDCiRZdq6KqEjnOgzs7KpTZYb1CSp39xMOIgujwKLQNjYBdsOO4yoYLUrBDFLcn/TAAIDEsRumlNIk1KGcX5is6b4Pwb5ezhOWPWR0ACA4Iwvi+owC3c6iai/XIhQQEKbIbCwCiw5zBTrHvgx1BENx2Yqk32AGA3gnOUpbK+nYo2CGK23ZqHwAgOTJB6aU0KTosCia9EXbBjuyCPKWXQzjGdmIN7uxfzcnurh8yAQCwZs8vLRrE6WpO7qBY9pdldpSYIZNTdBElFWXQaXXoEtPR58/vDXa24eGMk0ovpQYKdoiiCsuLxW3nXSPUH+xotdoak5QJaQ6b3SZmNK/o2k/p5cjmql7DEBoQjOzCfHHnWXOw5uSObZXZiQUAMWFtAQB5CmR2WKk/qV0HmAxGnz+/N/p36gm4BfNqQcEOUdRO59CxrjEdEWZS18F2DUmgHVmkhfadO4qySjPCg0JVX5ZoiQCDCZMGjAUArN6d1uzrKN2cjBo9O77P7Ow+6wgcBiT18vlze2t4cn/AOU6EHfGhBhTsEEVtO7UfADCUo99uaUcWaamNh7cCAMb2HOrVsQU8mjZoHADgp/2bml3KOndJ2W3nABATrlxmh2XFeOjvahcWheTYjhAEATtOSTdBu6Uo2CGK2s6CnS4cBTttKdghLbPx8DYAwNW9hiu9FNmN6j4YIQFByCu+hH3njjbrGq4ylnKZnRiFdmNZbdXYl34EAHAFJz8nhycPBABsO7VX6aWIKNghiimrLMehDMeWVJ4yO+L2c+rZIc2QX3wZBy8cBwBc3WuY0suRnclgRGrvkQCAdft/9/rr7Xa7+IuFkpmdaGdmx2ypQFlluc+e99CFE6iwWtAmKAzJsepuTmaGdxsAuG0+UQMKdohidp89DJvdhoSoOMRHqH/bOUOZHdISvx3dDgDom5iCdmG+P+dJCdf2vxJwlrK8HTCYW3wJluoq6LQ6xEcq93Mi2BSIkIAgwMelLHHXXpc+0Gr5eMsenuwIdg5nnFJsCGNtfNw54pe2O6P+Yc6/GLxgPTv5JZcVPQGZ8In164zr7f8lLGZc7+Ew6Y04m5+BEznpXn0ta07uEBkLg04v0wo9w2bt+HL7OevX4anUH9umHZLadYBdsEt68n1LULBDFPPb0R2AW/c+LyKCw8Tf8DILcpVeDuFIta0am47tBACM6z1C6eX4TEhAMEb3GAIAWLfPu1LWuYvKHgDqjpWyfDVYUBAEMbPD2/lpaitlUbBDFHHhUjYOnD8GrUaL8X1GKr0cr2g0GtqRRZplT/phFJtLEREchgHOeSStxSRnKcvbvp0Ll5SfscPE+Hiw4PlLWcgvuQyj3oD+nXr45DmlIjYpn6Rgh7RiP+77DQAwLLk/l30L1LdDmoNlNa7uNdzvt5zXNrHfGOi0OhzOOIn0/AyPv+5M3gUAQEcVZHZcgwV9E+ywElbfxBQEGEw+eU6pjOo+CABw4MIxXC4tVHo5FOwQZazd6wh2pgy8WumlNIuY2aEdWcRDgiDgR2ewM3nAVUovx+eiQtpgdMpgAMD/dv/i0dcIgoCdpx1v+P06Kp/Z8PVhoH8c3w1wMl+ntriIaPRO6AZBEMSWBSVRsEN8LqsgD3vSD0Oj0Yipbd7Q6efEWwcuHEdmQS4CjQEY2wq2nNdn2qBUAMBqD4OdcxczkVt8EUa9AYNUMD3YNVhQ/sxOta0aaQe3AAAm9B0t+/PJgbUo/HL4T6WXQsEO8T2Wyr+iS1/Etmmn9HKapVO0Y96HN+l40rr96MxmpvYeiSBjgNLLUcSk/lfCoNPjePYZnMhuelcWm7A+oFNPBKrgnrl6duTP7Gw/fQBF5hJEhrTBkC58HhY7vo/j1PtNx3ei2m5TdC0U7BCfW7vvVwDAlAF8lrAAiMO9zuRdgE3hv8RE/QRBwNq9jtf95IFjlV6OYtoEh2Fsz6EAgP/taTq7w3byDFfJeArWX3jRB2Ws9fs3AQAm9B3FbX9X/4490C4sEmWVZpwqUPYXQwp2iE+dzj2PHacPOEpYA/j9oZ8QFQeT3ghLdRUyL9P2c9K4Y1mnkX4xEya9EamtaMt5fa4b7Chlrdn9S5MDBreddBw3oJZZXKyMVVheAou1SrbnEQQB6w9sBgBc22+MbM8jN61WK07PPph/Stm1KPrspNX5z+9fA85abnsFp6G2lE6rQ+eYBADAydxzSi+HqNxaZ+l2bM+hCAkIVno5iprYdwwCDCaczjuPvc4zn+qTcTkHmQW50Gl1GNJZHWWciOAwGPUGAMDF0gLZnudI5imxv2tMjytkex5fYH07B/NPez09W0oU7BCfKa0ox6pt6wAAd4+9SenltFhybCcAwGkKdkgT2KiFyQNb3y6s2kIDgzF9yHgAwPsbVzb4eayE1a9jCoKdQzyVptFoxFKWnIMFf3KWsK7qOVQVvUotcWWPK2DUG3Cpogin884rtg4KdojPfLV9HcotZiTHduT+txUA6OoMdk5RsEMacTr3PE5kn4Veq8MEZ8Nma3f/uFsA5wiKhnY0isfJdFXXhHWxSVmmvh1BELDG2c80keMSFhMcEITrB0/AlYkDYdAZFFsHBTvEJ+x2Oz7+/RsAwF/H3gSNRqP0klqsm5jZUe63FaJ+LKszOmUI2gSHKb0cVejRvivGpAyBXbDjI2dp253jWI1dAIDh3QYqsMKGscGCcmV2tp7ci1O55xFkCsSk/vz2Nbp7bebfcVuviYoe+UHBDvGJXw5vxem88wgJCMKMYdcqvRxJdHXuyDqVe07RWjRRNxbsTKESVg33p94KAPh8y/9QWlFe42Mrt65FVkEuIoPDMUIlzclMXIRjXEZGgTwztlZs+g4A8Jeh1yA0sHX3d0mJgh0iO0EQ8Ma6/wAAZo25wW8aNDvHJEKj0aCwvASXy4qUXg5RofMXs3DwwgloNVq/KElI6aqew5Ac2wlllWY8+81S8ReGcksF/vXDBwCA+ZNnq6Zfh+md0B0AcPDCCcmvnVt0ET85zw67a8wNkl+/NaNgh8ju96M7sO/cUQQaTPhb6m1KL0cyQcYAdIiMBaiURRrAjocY3m0A2oZGKL0cVdFqtXhhxqPQarT44s8f8OGvXwEA3v9lJfJLLqNj2/a4U4Vv+AOcB3IeyjgBu8QZ3c//XINquw1Du/ZDzw7Jkl67taNgh8hKEAQs+fEjAMCdY65Hu7BIpZckqWRqUiaNEHdhtcKzsDwxtudQPHvjwwCAZ795E6OfuxmvO39ePD39b+I2bzVJju2EQIMJZZVm5JdLt/28qtqKz/5YDTgz4ERaFOwQWf15Yg92nT0Ek96IByfcrvRyJMcmKdP2c1JbdmE+9qQfBpzHJJD63TfuFtw6Yirsgh2ncs/DZrfhmn5jMG3gOKWXVi+9To8+iY5S1rli6Q4C/vSP1cgpuoiY8LYUHMtAr/QCiH9b4uzVmTlqmjh91J+wzA4NFiS1rXP2Xgzp3IfbM+B8QaPR4F8zn0JqnxEIMQWhc0wiOkTGqnrHZr+OPbDzzEGclyjYKa80i32N8yfPhslglOS6xIWCHSKbbaf2YevJvTDqDXho4p1KL0cWXWn7OWkAO/iTBgk2Ta/Tc5XN6NcxBQAkC3Y++HUVLpUWolO7Drht5DRJrklqojIWkc0bPzp+U7ll+BTER0QrvRxZdItLApyj7WtvnyWt18WSy9h+2nFiN09v4sQz/Tv2BABcKMlDta26RdcqKCvGuxs+AwA8Ne0+GHSUg5ADBTtEFrvPHsLm47ug1+rw0MQ7lF6ObCJDwtE+wnHG15FMZQ+6I+rx0/7NEAQB/Tr2QEJUnNLLIRLrHJ2A0IBgWO3VLd6c8MzXb6C0shy9E7rhukGpkq2R1ETBDpHF0p9WAABuGjYJiW3jlV6OrHondAMAHJJh7gbhkzhIkLI6fkmr1aKPc97OgQvHm32dDQe34Jsd66HVaPHqbU9Cq6W3ZLnQnSWSO5mTjl8O/QmNRoOH/Tirw7CdGQczKNghQGF5Mf48sQcAMHmAf4z7J3X1TWxZsFNsLsWTny8GnNOkByb1lnR9pCYKdojk3v/FcZLxNX3HoHNMotLLkR37oXeYgh0C4OcDf6DabkOP9l1axeu/tRrYqRcA4Lcj22Gz27z6WkEQ8PhnryC3+CI6Ryfgyan3yrRKwlCwQyR1seQyvt7xEwDggfH+My25MSydfTLnHCqqKpVeDlEYDRJsHcb2HIZgQyCyCvOQdvBPr7723Q2f4Ye9G2HQ6fHWXc8g0Bgg2zqJAwU7RFL/+f1bVFVbMSipN4Z06av0cnwitk07RIVGwGa34VjWGaWXQxRUWlGOTcd2AhTs+L1AowkjO/QDAPynnpPbG/L70R14efV7AIAXb56PwZ37yLZG4kLBDpFMpdWC/276FnBmddQ8FExKGo0GfZ3ZnUNUymrV0g5tQVW1FV1jOiIlvrPSyyEyuzJxADQaDTYf3+XRrqydpw9g9vt/h12w49YRU3Hn6Ot9sk5CwQ6R0I97f0NBeTHaR8Tg2lY2Hr+P2LdzUumlEAW5SlhjW02w35q1DWqDcb1GAABWOH/Ra8jus4dw69uPwmypwOiUwVh06+P0GvEhCnaIZD7543sAwMxR10Gn1Sm9HJ9ifTsHaft5q1VuqcCvh7cBNDW5VbnLeWjnF1vWYNupffV+ztfbf8KMN+ei3GLGyO6D8N8HX0OAweTjlbZuFOwQSRzPPosdpw9Ap9XhtpFTlV6Oz/VJdMzaOZZ1GtYWTlQlfPr18DZUWC1IiIoTg1/i/0Z3H4yrew1HhdWCme/Mx/ZT+8WPnb+YhUf++wIeXvE8zJYKXNnjCnzy4GsIooZkn6O51EQSnzqzOhP7jmqVhx52bNseYYEhKKkow4nss+KgQdJ6rN69AQAwbdA4Kk+0IlqtFh/dvwh3vfckNh3biRuWPOiYsBwYjH3njgLOvr7HJt+NRyf9tdVlvdWCMjukxcxVlfh6u2O7+Z3OlG5ro9FoMKCT47ycHacPKL0c4mMlFWX45dBWAMANV0xUejnExwKNAVjxwKsY32ck7IIdp/POY9+5o9BoNBjbcyi+ffRdPD7lHgp0FESZHdJi/9v9C0oqytCxbXuMSRmi9HIUMzx5ADYd24ltp/bh7qtuUno5xIfW7fsdluoqJMd2Qs/2XZVeDlFAoDEAnzz4GnKKLuJkTjpyiy5idMoQtI+MUXpphIIdIgVWwrp99HWt+myX4d0GAAC2ndoHQRColNGKrN6dBgC44YoJ9P+9FdNoNIiPiEZ8RLTSSyG1tN53JiKJwxknsTf9CAw6PW4ZPkXp5Siqf8eeCDCYcLm0ECdzWnYSMuHHxZLL2HxsFwBg+uDxSi+HEFIPCnZIi7Dt5tf2vxLtwiKVXo6iTAYjBnV2HOa37dRepZdDfGTNnl9hF+wY0KknkqITlF4OIaQeFOyQZiurLMe3O34GWnFjcm3Dk12lLNI6rNq2FgBwwxBqTCZErSjYIc32/a40lFvM6BKTiJHdBiq9HFUY4bwP2046+naIfzt44TgOXjgBo96AG4ZSsEOIWlGwQ5pFEAR8svk7AMAdo6dTU6bTwKReMOmNyC+5jLP5GUovh8js8y1rAACT+o9FVEgbpZdDCGkABTukWfafP4ZDGSdh0hsxY9hkpZejGgEGEwYk9QIAbD1JfTv+rNxSge92Osq4M0dNU3o5hJBGqDrYWbRoEYYMGYLQ0FBER0dj+vTpOHGi5tlDlZWVmDNnDqKiohASEoIbb7wReXl5iq25tWDbzacOuhqRIeFKL0dVRqcMBpwnYBP/tXbvryitLEfHtu0xstsgpZdDCGmEqoOdTZs2Yc6cOdi+fTvS0tJgtVoxYcIElJeXi5/z6KOP4ocffsDXX3+NTZs2ITs7GzfcQM2yciqpKMP3uxxzRe4YPV3p5ajOtf3GAAA2Hd2J8kqz0sshMvn0j9UAgNtGTm3V86UI4YGqhwquX7++xp9XrFiB6Oho7NmzB2PGjEFxcTE++ugjfPHFF7j66qsBAB9//DF69OiB7du3Y9iwYQqt3L99s2M9Kqoq0T2+M67o0k/p5ahOj/Zd0bFte5y/lIVfj2zH1EFXK70kIrFdZw5i99lDMOoNuGVE654vRQgPVB3s1FZcXAwAiIx0zHPZs2cPrFYrUlNTxc9JSUlBYmIitm3b1mCwY7FYYLFYxD+XlJQAAKxWK6xWq2TrZdeS8ppKs9vt+M9vXwMAZo6YiupqaU749rd7NbHvaCz/9Uus2/cbruk7WvLr+9v9kpMc9+qdnz8FANwwZAIig8L95v8Dva48R/fKc3LeK0+vyU2wY7fbMW/ePIwcORK9ezsGt+Xm5sJoNKJNm5q7IGJiYpCbm9vgtRYtWoTnn3++zuMbNmxAUFCQ5GtPS0uT/JpKOZB3CqfzziNAb0JYkQ7r1q2T9Pr+cq/CzQYAwPr9m7Em6gfoZToA0F/uly9Ida9yyy5jw8E/AAA99O0l/zugBvS68hzdK8/Jca/MZs9aBbgJdubMmYPDhw9jy5aWN30+/fTTmD9/vvjnkpISJCQkYMKECQgLC2vx9Rmr1Yq0tDSMHz8eBoNBsusq6cM35gAA/jr2Rtx43fWSXdff7pXdbseKIz/iYmkBwrvE4MoeV0h6fX+7X3KS+l49+cViCAAm9BmF2TPukGSNakGvK8/RvfKcnPeKVWaawkWw89BDD2Ht2rXYvHkzOnToID4eGxuLqqoqFBUV1cju5OXlITY2tsHrmUwmmEymOo8bDAZZXrRyXdfX9pw9jF1nD8Kg0+P+1FvpXjXhmv5j8Okfq7Hh0Bak9h0py3P40/2SmxT3KuNyDr7d5dhu/vA1d/rtvafXlefoXnlOjnvl6fVUvYVAEAQ89NBD+P777/Hrr78iKSmpxscHDRoEg8GAjRs3io+dOHECFy5cwPDhwxVYsX/7d9pnAIAbrpiI2DbtlF6O6k0ZcBUA4H97fkFFVaXSyyESeHn1e6iqtmJU98EY0qWv0sshhHhI1ZmdOXPm4IsvvsD//vc/hIaGin044eHhCAwMRHh4OO6++27Mnz8fkZGRCAsLw8MPP4zhw4fTTiyJHbpwAuv2bwIAPDB+ptLL4cLolCFIiIpDxuUc/LD3V8wYNknpJZEW2HP2ML7ftQEajQbP/WWu0sshhHhB1Zmd9957D8XFxRg7dizi4uLEf1atWiV+zhtvvIEpU6bgxhtvxJgxYxAbG4vvvvtO0XX7G0EQ8Py3b0MQBFw/ZAJS4jsrvSQuaLVa3D7qOgDAJ5u/V3o5pAUEQcCz37wJALhl+BT0Tuim9JIIIV5QdbAjCEK9/9x1113i5wQEBODdd99FQUEBysvL8d133zXar0O8t/HwNmw5sRtGvQFPX/c3pZfDlVtHTIVeq8Pus4dwLOu00sshzbRq24/YffYQgkyBeGrafUovhxDiJVUHO0R51bZqLPzubQDAPVfNQGLbeKWXxJXo8ChMdE5U/mTzaqWXQ5rh/MUs/GPVEgDAo5P+Sv1qhHCIgh3SqDfWfYyTOemICA7DI9fe5cFXkNrudB6p8c2On1BsLlV6OcQLNrsND694HuUWM4Z27YcHqV+NEC5RsEMatPXkXryx7mMAwIsz5iM8KFTpJXFpdMoQdI/vjNLKcnzw6yoPvoKoxWtrP8TOMwcREhCEt+96FjqZhkMSQuRFwQ6pV0FZMeb851nYBTtmDJuEG4deo/SSuKXVajF/0mwAwPKNX1J2hxNfbl0rBvuv3PoElXAJ4RgFO6SOS6WFmPHmw8gpuoguMYlYdMvjSi+Je1MHXo1ucUkoqSjDh799pfRySBM2H9uJxz9bBACYe80s/GXotUoviRDSAhTskBqyC/Nx/et/w+GMk2gbGoGP7n8FwQHSnxfW2mi1Wjw2+W4AwPu/rKTsjoptOLgFs/79BKrtNkwfPB5/n3a/0ksihLQQBTsEcO66+vC3rzB24W04lXse8RHRWP3YMpqpI6GpA69G9/jOKKkow+trP1J6OaQeK7euxV+XPYUKqwXjeo/Am7MWQKulH5OE8E7VE5SJvCzWKmw7tQ9ph7Zgw8EtyLicAwDom9gdH963iHoUJKbVavH8Xx7BLW89go9+/xq3jpyKHu27KL0s4pzp9c7Pn+Kl1f8GAMwYNgmv3/F/MOjoRyQh/oD+JrcyecWXsPHwVqQd/BObju+E2VIhfiwiOAxPX/cAZo6aRrtOZDK251BM6n8l1u3fhH9+tQTfzHsHGo1G6WW1ana7Hc9+86a4U27OhNvxz+vn0P8XQvwIBTutgN1uxy+Ht+KDX7/EH8d31/hYTHhbpPYegfF9RmF0jyEINgUqts7W4rm/PIJfj2zHnyf24H+7f8H0IeOVXlKrVVVtxSP/fQHf79oAAHj+L4/g/tRblV4WIURiFOz4ud1nD+GJz1/Bsawz4mP9O/bE+L4jMb7PSPTu0I16EnwssW08Hr7mTvzrhw/wf6tex6iUwWgbGqH0slqdsspyzF72d2w+vgt6rQ5vzlpAIxYI8VMU7Pgpc1UlXvj2HazY/C0EQUBYYAhmjroOs8f+BQlRcUovr9V7eOKdWLv3VxzLOoP/+/I1LL/3JaWX1KpcLCnAzHfm4+CF4wgyBeKj+xbhql7DlF4WIUQm9Cu9H7pwKRvX/es+fLzpGwiCgJuHT8b2F77Fszc+TIGOShj1Biy9cwF0Wh3W7NmIH/b8qvSSWo3zF7Mw7V/34eCF44gMaYNvH32XAh1C/BwFO37mj+O7cM2iv+JQxklEhrTBqrlv4s1ZCxAZEq700kgt/Tqm4OGJdwAAnvziFWQV5Cm9JL93OOMkpv7rPqRfzESHyFj88MRyDOjUU+llEUJkRsGOAgRBwOWyIkkHywmCgPfSPsfNbz6CgvJi9E1MwYb/W4Erew6V7DmI9OZPvht9E1NQWF6CB//zDKpt1UovyW9tO7UP17/+APJLLqNn+65Y++QH6BKTqPSyCCE+QD07PlBRVYnNJ3bhtyPb8ceJ3Th/MQuVVgsAIDQgGMlxnTCp/1hcNzi1WWWmYnMpnvj8FazZsxEAcNOwSXj1ticRaAyQ/Hsh0jLqDVh+74tIfelO7Dh9AK//+BGeoom9ktuTcxwr0l5DVbUVw5IH4L8PvEoH2xLSilCwIxM2kfjrXWsx95fXYbFW1ft5pZXl2Jt+BHvTj+DF7x29A/defTPG9hjq0S6pXWcO4sH/PIuMyznQa3V47qZHcPfYm2hGCEc6teuA12Y+jb99tABLf1qBEd0GYnTKEKWX5Tc++eN7fLD/ewgAJg8Yi3dnP48Ag0npZRFCfIiCHZnotDr85/dvkFHgmErcPiIGV/cejrE9h6JXh2TEtYmGTbAj41IOdp45gNW707D15F78dmQ7fjuyHcmxHTF77E2YMWxSvWdTnc49j8VrluOHvY5sTmLbeCy7eyEGJvX2+fdKWm76kPH44/gufP7nGsz5z3PY+M9P0C4sSullcU0QBPxr7YdY8qPjaI7bR16HxTOfpIGZhLRCFOzIRKPR4L6rb8aBw4fwwA2z0DMhud5sS/f4JHSPT8Ido6fj/MUsfPTb1/hi6xqcyj2Pp798Dc9+8yYGduqFfp16wKQ3oqyyHH+e3IsT2WfF55kxbBJemPEowgJDFPhOiVReuHk+dqcfxonss3h4xUJ88dAbNAOpmWx2G/6+8l/49I/VAIApXUfh5Zsfo0CHkFaKgh0Z3XXljVhXHohucUkelZU6tmuPhTPm4clp9+LLbT/iP799jbP5Gdh+ej+2n95f43M1Gg1Se4/A09f9DT07JMv4XRBfCTIG4P17XsS1i/6K34/uwOs/foQnpt6r9LK4U1ZZjgc+egZph/6ERqPBSzPmI6rESKVdQloxCnZUKCQgGPdcNQN3j70J6fkZ+PPkXpzOOw/BLkCj1WBgp14YlTIYUSFtlF4qkVhKfGcsnvkU5q5YiNd//Ag9O3TF5AFXKb0sbpy/lI1Z/34Cx7PPIMBgwjt/fRYT+4zGunXrlF4aIURBFOyomEajQeeYRHSm7bGtyoxhk3D4wkks//VLPLxiIZLadaDsnQd+PrAZj/z3RRSZSxAT3hYf/20xBib1gtVqVXpphBCFUUMAISr0zI0PYXTKYJgtFZj5znwaONgIc1UlFnz1Bma99ySKzCXo37Enfvr7fzAwqZfSSyOEqAQFO4SokF6nxwf3voxucUnIKbqI2955FEXlJUovS3W2nNiDq1+4HR/8ugoAcH/qrVjzxPuIj4hWemmEEBWhYIcQlWoTHIYvHn4DseHtcCL7LG5/9zGUVpQrvSxVOHcxE/d98A/85Y05OHcxE3Ft2uHTOa/j+b88AqPeoPTyCCEqQ8EOISrWITIWXzy8BOFBodh99hBueesRlFSUKb0sxaTnZ+CJz1/B6OduwZo9G6HRaDBrzA3Y/OyXGN9npNLLI4SoFDUoE6JyPTsk4+t5b2PG0rnYk34YM5bOxX/uW6T0snzGbrfjj+O78Mkfq/HT/k2wC3YAwFW9hmHB9XOoeZsQ0iQKdgjhQN/EFEfA8+bD2H/+KKa+dh/u7jVF6WXJKq/4Er7cuhaf/7kGFy5li4+P6z0CD0+8E8OS+yu6PkIIPyjYIYQTfRK7Y+2TH+KOdx/D2fwMLN72Kdp0isGtI6f6zcA8c1Ulfj6wGd/sWI/fj+6AzW4DAIQFhuCmYdfi9lHT0aN9F6WXSQjhDAU7hHCkS0wifnzqQ9y97GlsPbUX8z97Gb8c/hOLbn0CMeFtlV5es9jsNvx5Yg++2bEeP+77HeUWs/ixIZ374PbR0zF10DgEGQMUXSchhF8U7BDCmYjgcHzx0BLMe/dZrD29Bev2b8Lvx3biwfEz8UDqbfUeHKs2giDgSOYpfLNjPb7ftQF5xZfEjyW2jceNV1yDG6+YiK6xHRVdJyHEP1CwQwiHdFodru0yAvdPvxP/+HoJ9qYfwWtrP8TyjV/i1pFTMWv09aqbvC0IAo5nn8GP+37HD3t/FQ+zBYCI4DBMG5SKvwy9BoM79/GbshwhRB0o2CGEY70TuuHHJz/ED3t/xSv/W4az+Rl4/5eVeP+XlejVIRmTBozF6O6D0b9TT0Xmz1RVW7H/3FFsOLQFP+79DekXM8WPmfRGTOg7Gn8ZOhFX9RpO83EIIbKhYIcQzmk0GkwbNA5TBlyFX49sx39+/xqbju3EkcxTOJJ5Cv/64QMEGkxIad8FPdt3RY8OXdGzfVckx3ZC29AISbMo5qpKHM86jT9P7sXWE3ux/fR+VFRVih836Y0Y23MoJg0Yi2v7X4mwwBDJnpsQQhpCwQ4hfkKr1SK1zwik9hmBgrJirD+wGb8e2YatJ/eioKwI+84dxb5zR2t8TaAxAAlRcUhsG4+EyDi0C4tEZEg4okIiEBXaBqEBwdDrdNBpHf9oNRqUVJSjqLwYheYSFJYVI7MgFydz0nEiJx0Zl3MgCEKN54gMaYPRKYMxqf9YjOs9HCEBwT6+M4SQ1o6CHUL8UGRIOG4bORW3jZwKQRBwJu8CjmadxrGs0ziaeRpHMk8hqzAPFVWVOJmTjpM56ZI9d1RoBIZ07oNR3QdhZPdB6B7XGVotDWsnhCiHgh1C/JxGo0HX2I7oGtsR0waNEx+3WKuQVZCLjMs5yLicg8yCXFwqLcTl0iJcLitEQVkxyirNsAk22Gw2VNttsNntCAsMRpvgMLQJCkNkSDiiw9qie3wSusU5/mkbGqHo90sIIbVRsENIK2UyGNE5JlF1u7YIIURqlFsmhBBCiF+jYIcQQgghfo2CHUIIIYT4NQp2CCGEEOLXKNghhBBCiF+jYIcQQgghfo2CHUIIIYT4NQp2CCGEEOLXKNghhBBCiF+jYIcQQgghfo2CHUIIIYT4NQp2CCGEEOLXKNghhBBCiF+jYIcQQgghfk2v9ALUQBAEAEBJSYmk17VarTCbzSgpKYHBYJD02v6G7pV36H55ju6V5+heeY7ulefkvFfsfZu9jzeEgh0ApaWlAICEhASll0IIIYQQL5WWliI8PLzBj2uEpsKhVsButyM7OxuhoaHQaDSSXbekpAQJCQnIyMhAWFiYZNf1R3SvvEP3y3N0rzxH98pzdK88J+e9EgQBpaWliI+Ph1bbcGcOZXYAaLVadOjQQbbrh4WF0V8GD9G98g7dL8/RvfIc3SvP0b3ynFz3qrGMDkMNyoQQQgjxaxTsEEIIIcSvUbAjI5PJhGeffRYmk0nppage3Svv0P3yHN0rz9G98hzdK8+p4V5RgzIhhBBC/BpldgghhBDi1yjYIYQQQohfo2CHEEIIIX6Ngh1CCCGE+DUKdmTw3HPPQaPR1PgnJSVF6WWpVlZWFm6//XZERUUhMDAQffr0we7du5Velup06tSpzutKo9Fgzpw5Si9NdWw2GxYsWICkpCQEBgaiS5cueOGFF5o8P6e1Ki0txbx589CxY0cEBgZixIgR2LVrl9LLUoXNmzdj6tSpiI+Ph0ajwerVq2t8XBAEPPPMM4iLi0NgYCBSU1Nx6tQpxdarpKbu1XfffYcJEyYgKioKGo0G+/fv99naKNiRSa9evZCTkyP+s2XLFqWXpEqFhYUYOXIkDAYDfvrpJxw9ehSvv/46IiIilF6a6uzatavGayotLQ0AcNNNNym9NNVZvHgx3nvvPbzzzjs4duwYFi9ejFdffRVvv/220ktTpXvuuQdpaWn49NNPcejQIUyYMAGpqanIyspSemmKKy8vR79+/fDuu+/W+/FXX30Vb731FpYtW4YdO3YgODgYEydORGVlpc/XqrSm7lV5eTlGjRqFxYsX+3xtEIjknn32WaFfv35KL4MLTz31lDBq1Cill8GlRx55ROjSpYtgt9uVXorqTJ48WZg9e3aNx2644QZh5syZiq1Jrcxms6DT6YS1a9fWeHzgwIHCP/7xD8XWpUYAhO+//178s91uF2JjY4V//etf4mNFRUWCyWQSVq5cqdAq1aH2vXKXnp4uABD27dvns/VQZkcmp06dQnx8PDp37oyZM2fiwoULSi9JldasWYPBgwfjpptuQnR0NAYMGIAPPvhA6WWpXlVVFT777DPMnj1b0sNr/cWIESOwceNGnDx5EgBw4MABbNmyBddee63SS1Od6upq2Gw2BAQE1Hg8MDCQMtJNSE9PR25uLlJTU8XHwsPDMXToUGzbtk3RtZGaKNiRwdChQ7FixQqsX78e7733HtLT0zF69GiUlpYqvTTVOXv2LN577z0kJyfj559/xgMPPIC5c+fiv//9r9JLU7XVq1ejqKgId911l9JLUaW///3vuOWWW5CSkgKDwYABAwZg3rx5mDlzptJLU53Q0FAMHz4cL7zwArKzs2Gz2fDZZ59h27ZtyMnJUXp5qpabmwsAiImJqfF4TEyM+DGiDnTquQzcf3vs27cvhg4dio4dO+Krr77C3Xffreja1MZut2Pw4MF4+eWXAQADBgzA4cOHsWzZMsyaNUvp5anWRx99hGuvvRbx8fFKL0WVvvrqK3z++ef44osv0KtXL+zfvx/z5s1DfHw8va7q8emnn2L27Nlo3749dDodBg4ciFtvvRV79uxRemmESIIyOz7Qpk0bdOvWDadPn1Z6KaoTFxeHnj171nisR48eVPZrxPnz5/HLL7/gnnvuUXopqvXEE0+I2Z0+ffrgjjvuwKOPPopFixYpvTRV6tKlCzZt2oSysjJkZGRg586dsFqt6Ny5s9JLU7XY2FgAQF5eXo3H8/LyxI8RdaBgxwfKyspw5swZxMXFKb0U1Rk5ciROnDhR47GTJ0+iY8eOiq1J7T7++GNER0dj8uTJSi9FtcxmM7Tamj/edDod7Ha7YmviQXBwMOLi4lBYWIiff/4Z1113ndJLUrWkpCTExsZi48aN4mMlJSXYsWMHhg8frujaSE1UxpLB448/jqlTp6Jjx47Izs7Gs88+C51Oh1tvvVXppanOo48+ihEjRuDll1/GjBkzsHPnTixfvhzLly9XemmqZLfb8fHHH2PWrFnQ6+mvb0OmTp2Kl156CYmJiejVqxf27duHJUuWYPbs2UovTZV+/vlnCIKA7t274/Tp03jiiSeQkpKCv/71r0ovTXFlZWU1svLp6enYv38/IiMjkZiYiHnz5uHFF19EcnIykpKSsGDBAsTHx2P69OmKrlsJTd2rgoICXLhwAdnZ2QAg/qIbGxsrfybMZ/u+WpGbb75ZiIuLE4xGo9C+fXvh5ptvFk6fPq30slTrhx9+EHr37i2YTCYhJSVFWL58udJLUq2ff/5ZACCcOHFC6aWoWklJifDII48IiYmJQkBAgNC5c2fhH//4h2CxWJRemiqtWrVK6Ny5s2A0GoXY2Fhhzpw5QlFRkdLLUoXffvtNAFDnn1mzZgmCc/v5ggULhJiYGMFkMgnjxo1rtX8/m7pXH3/8cb0ff/bZZ2Vfm0agkaKEEEII8WPUs0MIIYQQv0bBDiGEEEL8GgU7hBBCCPFrFOwQQgghxK9RsEMIIYQQv0bBDiGEEEL8GgU7hBBCCPFrFOwQQgghxK9RsEMIUZwgCLjvvvsQGRkJjUaD/fv3N/i5d9xxB15++WWfrs/d+vXr0b9/fzpnixCOULBDCFHc+vXrsWLFCqxduxY5OTno3bt3vZ934MABrFu3DnPnzq3x+OnTpzF79mwkJibCZDKhffv2GDduHD7//HNUV1eLn6fRaLB69eo6173rrrs8PsvommuugcFgwOeff+7190kIUQYFO4QQxZ05cwZxcXEYMWIEYmNjGzzk9O2338ZNN92EkJAQ8bGdO3di4MCBOHbsGN59910cPnwYv//+O+655x689957OHLkiOTrveuuu/DWW29Jfl1CiDzo2GRCiKLuuusu/Pe//wWcmZeOHTvi3LlzdT7PZrPhm2++qZFREQQBd911F7p164Y///wTWq3r97fk5GTceuut8Pb4v3PnziEpKanO41deeSV+//13wHmq+kMPPYQzZ86gS5cuXl2fEOJ7FOwQQhT15ptvokuXLli+fDl27doFnU5X7+cdPHgQxcXFGDx4sPjY/v37cezYMaxcubJGoONOo9F4tZ6EhATk5OSIf87NzUVqairGjBkjPpaYmIiYmBj88ccfFOwQwgEKdgghigoPD0doaCh0Oh1iY2Mb/Lzz589Dp9MhOjpafOzkyZMAgO7du4uP5efno3PnzuKfX331VTz44IPin2+99dY6AZXFYsHkyZMBoMY6KisrMX36dAwfPhzPPfdcja+Jj4/H+fPnW/CdE0J8hYIdQggXKioqYDKZmszUREVFibu5xo4di6qqqhoff+ONN5Camlrjsaeeego2m63OtWbPno3S0lKkpaXVyRwFBgbCbDa34DsihPgKBTuEEC60bdsWZrMZVVVVMBqNgLMvBwBOnDiBAQMGAM7MTNeuXQGg3kbn2NhY8eNMaGgoioqKajz24osv4ueff8bOnTsRGhpa5zoFBQVo166dhN8hIUQutBuLEMKF/v37AwCOHj0qPjZgwACkpKTgtddek3TuzbfffouFCxfiq6++qrcnp7KyEmfOnBEDLEKIulGwQwjhQrt27TBw4EBs2bJFfEyj0eDjjz/GiRMnMHLkSKxZswanTp3C0aNHsWzZMly8eLHBhueGHD58GHfeeSeeeuop9OrVC7m5ucjNzUVBQYH4Odu3b4fJZMLw4cMl/R4JIfKgYIcQwo177rmnzjC/YcOGYc+ePejevTvmzJmDnj17YsSIEVi5ciXeeOMNPPDAA149x+7du2E2m/Hiiy8iLi5O/OeGG24QP2flypWYOXMmgoKCJPveCCHy0QjeDqEghBCFVFRUoHv37li1apViWZVLly6he/fu2L17d73zeAgh6kOZHUIINwIDA/HJJ5/g0qVLiq3h3Llz+Pe//02BDiEcocwOIYQQQvwaZXYIIYQQ4tco2CGEEEKIX6NghxBCCCF+jYIdQgghhPg1CnYIIYQQ4tco2CGEEEKIX6NghxBCCCF+jYIdQgghhPg1CnYIIYQQ4tf+H81zEcl2I1rWAAAAAElFTkSuQmCC", + "image/png": "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", "text/plain": [ "
" ] @@ -1406,10 +1511,10 @@ "source": [ "# Plot main lobe axial ratio vs frequency\n", "fig, ax = plt.subplots()\n", - "ax.plot(freqs / 1e9, axial_ratio_main_lobe)\n", + "ax.plot(freqs / 1e9, 10 * np.log10(axial_ratio_main_lobe))\n", "ax.grid()\n", "ax.set_xlabel(\"f (GHz)\")\n", - "ax.set_ylabel(\"Axial ratio\")\n", + "ax.set_ylabel(\"Axial ratio (dB)\")\n", "plt.show()" ] }, @@ -1428,15 +1533,22 @@ "\n", "[1] Sheen, D.M., Ali, S.M., Abouzahra, M.D. and Kong, J.A., 1990. Application of the three-dimensional finite-difference time-domain method to the analysis of planar microstrip circuits. IEEE Transactions on microwave theory and techniques, 38(7), pp.849-857.\n" ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { "description": "Patch antennas are widely used in wireless communication applications due to their simple design, ease of fabrication, and low profile. In this notebook, we will demonstrate how to use Tidy3D to simulate a rectangular patch antenna and compute key performance metrics. These include S-parameters using the TerminalComponentModeler, as well as directivity, axial ratio, and polarized far-field components using the DirectivityMonitor.", "feature_image": "./img/PatchAntenna.png", "kernelspec": { - "display_name": ".venv", + "display_name": "Python (dev env)", "language": "python", - "name": "python3" + "name": "tidy3d-dev" }, "keywords": "patch antenna, directivity, axial ratio, S-parameters, Tidy3d, FDTD", "language_info": { @@ -1449,7 +1561,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.13.5" + "version": "3.13.2" }, "title": "How to compute directivity and S-parameters of patch antenna using Tidy3D FDTD" }, diff --git a/Autograd10YBranchLevelSet.ipynb b/Autograd10YBranchLevelSet.ipynb index 03b2198b..72335f77 100644 --- a/Autograd10YBranchLevelSet.ipynb +++ b/Autograd10YBranchLevelSet.ipynb @@ -10,7 +10,7 @@ "\n", "This notebook demonstrates how to set up and run a parameterized level set-based optimization of a Y-branch. In this approach, we use `autograd` to generate a level set surface $\\phi(\\rho)$ given a set of control knots $\\rho$. The permittivity distribution is then obtained implicitly from the zero level set isocontour. Details about the level set method can be found [here](). Minimum gap and curvature penalty terms are introduced in the optimization to control the minimum feature size, hence improving device fabrication. In addition, we show how to tailor the initial level set function to a starting geometry, which is helpful to further optimize a device obtained by conventional design.\n", "\n", - "You can also find some interesting adjoint functionalities for shape optimization in [Inverse design optimization of a waveguide taper](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/) and [Adjoint-based shape optimization of a waveguide bend](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin8WaveguideBend/). If you are new to the finite-difference time-domain (FDTD) method, we highly recommend going through our [FDTD101](https://www.flexcompute.com/tidy3d/learning-center/fdtd101/) tutorials. FDTD simulations can diverge due to various reasons. If you run into any simulation divergence issues, please follow the steps outlined in our [troubleshooting guide](https://www.flexcompute.com/tidy3d/examples/notebooks/DivergedFDTDSimulation/) to resolve it.\n", + "You can also find some interesting adjoint functionalities for shape optimization in [Inverse design optimization of a waveguide taper](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin5BoundaryGradients/) and [Adjoint-based shape optimization of a waveguide bend](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin8WaveguideBend/). If you are new to the finite-difference time-domain (FDTD) method, we highly recommend going through our [FDTD101](https://www.flexcompute.com/tidy3d/learning-center/fdtd101/) tutorials. FDTD simulations can diverge due to various reasons. If you run into any simulation divergence issues, please follow the steps outlined in our [troubleshooting guide](https://www.flexcompute.com/tidy3d/examples/notebooks/DivergedFDTDSimulation/) to resolve it.\n", "\n", "\"Y-branch" ] diff --git a/Autograd13Metasurface.ipynb b/Autograd13Metasurface.ipynb index 40841221..9ae04b2f 100644 --- a/Autograd13Metasurface.ipynb +++ b/Autograd13Metasurface.ipynb @@ -13,7 +13,7 @@ "\n", "\"Schematic\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/). For another example of metalens adjoint optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin7Metalens/).\n" + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/). For another example of metalens adjoint optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin7Metalens/).\n" ] }, { diff --git a/Autograd17BandPassFilter.ipynb b/Autograd17BandPassFilter.ipynb index 2abfc84d..547df97a 100644 --- a/Autograd17BandPassFilter.ipynb +++ b/Autograd17BandPassFilter.ipynb @@ -21,7 +21,7 @@ "\n", "\"Schematic\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n" + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/).\n" ] }, { diff --git a/Autograd18TopologyBend.ipynb b/Autograd18TopologyBend.ipynb index 1d8ee6b2..51f76f57 100644 --- a/Autograd18TopologyBend.ipynb +++ b/Autograd18TopologyBend.ipynb @@ -13,7 +13,7 @@ "\n", "\"Schematic\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/).\n", "\n", "> Note: to see the simple, [high level definition](https://www.flexcompute.com/tidy3d/examples/notebooks/InverseDesign/) of the inverse design problem using `tidy3d.plugins.invdes`, jump to the 2nd to last cell!\n", "\n", diff --git a/Autograd19ApodizedCoupler.ipynb b/Autograd19ApodizedCoupler.ipynb index 37fe77d3..adf1301b 100644 --- a/Autograd19ApodizedCoupler.ipynb +++ b/Autograd19ApodizedCoupler.ipynb @@ -17,7 +17,7 @@ "\n", "If you are interested in other inverse design examples using tidy3d, you can find many of them [here](https://docs.flexcompute.com/projects/tidy3d/en/latest/notebooks/docs/features/autograd.html).\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n" + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/).\n" ] }, { diff --git a/Autograd1Intro.ipynb b/Autograd1Intro.ipynb index f0a6d3ec..a69aba9e 100644 --- a/Autograd1Intro.ipynb +++ b/Autograd1Intro.ipynb @@ -7,8 +7,6 @@ "source": [ "# Autograd, automatic differentiation, and adjoint optimization: basics\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n", - "\n", "## Introduction\n", "\n", "In this notebook, we will introduce the automatic differentiation feature of Tidy3D.\n", @@ -1663,7 +1661,7 @@ "description": "This notebook introduces the basics of automatic differentiation and the adjoint optimization in Tidy3D FDTD.", "feature_image": "", "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -1678,7 +1676,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "title": "FDTD Adjoint Optimization Basics using Autograd | Flexcompute", "widgets": { diff --git a/Autograd20MetalensWaveguideTaper.ipynb b/Autograd20MetalensWaveguideTaper.ipynb index 14b55aeb..432a6750 100644 --- a/Autograd20MetalensWaveguideTaper.ipynb +++ b/Autograd20MetalensWaveguideTaper.ipynb @@ -2035,7 +2035,7 @@ "\n", "In the optimization, we only optimize the slot lengths. In principle, other parameters such as the slot positions, widths, and taper shape can be optimized at the same time to potentially achieve a better result. Users are encouraged to explore these possibilities. \n", "\n", - "In the follow-up work `Ma, Wei, Hou, Maojing, Luo, Ruiqi, Xiong, Bo, Liu, Nan, Liu, Guandong and Chu, Tao. \"Topologically-optimized on-chip metamaterials for ultra-short-range light focusing and mode-size conversion\" Nanophotonics, vol. 12, no. 6, 2023, pp. 1189-1197.` [DOI: 10.1515/nanoph-2023-0036](https://doi.org/10.1515/nanoph-2023-0036), the authors applied level-set-based shape optimization, which allows a larger parameter space compared to what's demonstrated in this notebook. Interested users are also encouraged to explore this direction by following our level set optimization [example](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin10YBranchLevelSet/). " + "In the follow-up work `Ma, Wei, Hou, Maojing, Luo, Ruiqi, Xiong, Bo, Liu, Nan, Liu, Guandong and Chu, Tao. \"Topologically-optimized on-chip metamaterials for ultra-short-range light focusing and mode-size conversion\" Nanophotonics, vol. 12, no. 6, 2023, pp. 1189-1197.` [DOI: 10.1515/nanoph-2023-0036](https://doi.org/10.1515/nanoph-2023-0036), the authors applied level-set-based shape optimization, which allows a larger parameter space compared to what's demonstrated in this notebook. Interested users are also encouraged to explore this direction by following our level set optimization [example](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin10YBranchLevelSet/). " ] } ], diff --git a/Autograd21GaPLightExtractor.ipynb b/Autograd21GaPLightExtractor.ipynb index ee8aeedd..f52d8a88 100644 --- a/Autograd21GaPLightExtractor.ipynb +++ b/Autograd21GaPLightExtractor.ipynb @@ -13,7 +13,7 @@ "\n", "\"Schematic\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/). For another example of light extractor optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd12LightExtractor/)." + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/). For another example of light extractor optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd12LightExtractor/)." ] }, { diff --git a/Autograd22PhotonicCrystal.ipynb b/Autograd22PhotonicCrystal.ipynb index ffa55b0b..46562f0d 100644 --- a/Autograd22PhotonicCrystal.ipynb +++ b/Autograd22PhotonicCrystal.ipynb @@ -17,7 +17,7 @@ "\n", "\"Schematic\"\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n" + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/).\n" ] }, { diff --git a/Autograd24DigitalSplitter.ipynb b/Autograd24DigitalSplitter.ipynb index 7f0406f7..b1893c01 100644 --- a/Autograd24DigitalSplitter.ipynb +++ b/Autograd24DigitalSplitter.ipynb @@ -18,7 +18,7 @@ "\n", "\"Schematic\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/). For another example of power splitter optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd10YBranchLevelSet/)." + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/). For another example of power splitter optimization in Tidy3D, see [this example](https://www.flexcompute.com/tidy3d/examples/notebooks/Autograd10YBranchLevelSet/)." ] }, { diff --git a/Autograd26Smatrix.ipynb b/Autograd26Smatrix.ipynb new file mode 100644 index 00000000..c503b70e --- /dev/null +++ b/Autograd26Smatrix.ipynb @@ -0,0 +1,2316 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "6fa5d362-5b46-4387-8013-951463db2211", + "metadata": {}, + "source": [ + "# Inverse Design of a Waveguide Crossing using S-Matrix Optimization\n", + "\n", + "In this notebook, we will use inverse design to create a compact, high-performance waveguide crossing. This device is a fundamental component in photonic integrated circuits, allowing two separate optical signals in different waveguides to cross each other with minimal signal loss and crosstalk.\n", + "\n", + "Instead of manually designing the structure, we will use an **adjoint optimization** method. We'll start by defining the *function* we want the device to perform in terms of its scattering matrix (S-matrix). Then, we will use `tidy3d`'s automatic differentiation capabilities to discover a geometric structure that achieves this function.\n", + "\n", + "This example highlights the use of the `tidy3d.plugins.smatrix.ComponentModeler`, a powerful tool for optimizing devices based on their multi-port scattering properties. It simplifies the process by automatically running the necessary simulations to compute the full S-matrix, which we can then use directly in our objective function. For more details on the `ComponentModeler`, see [this tutorial](https://docs.flexcompute.com/projects/tidy3d/en/latest/notebooks/SMatrix.html).\n", + "\n", + "If you are new to inverse design, we recommend our tutorials on the [Learning Center](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/)." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "c76c454e-e2c8-40ab-a4ed-e41a5e3e0d65", + "metadata": {}, + "outputs": [], + "source": [ + "import autograd as ag\n", + "import autograd.numpy as np\n", + "import matplotlib.pylab as plt\n", + "import tidy3d as td\n", + "\n", + "np.random.seed(2)" + ] + }, + { + "cell_type": "markdown", + "id": "e12a92cd-ca91-4981-8168-da4bcd7bb156", + "metadata": {}, + "source": [ + "## Setup\n", + "\n", + "First, we define the basic parameters for our simulation.\n", + "\n", + "Our device will consist of two parallel input waveguides and two parallel output waveguides. Between them lies a rectangular \"design region.\" We will optimize the pixel-by-pixel permittivity within this region to make light from the top input guide cross over to the bottom output guide, and light from the bottom input guide cross to the top output guide.\n", + "\n", + "This example is a 2D simulation for speed and reduced FlexCredit usage, but it can be easily extended to 3D by defining a vertical dimension (`Lz`), adding a substrate, and modifying the structures accordingly." + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "3d77b41c-91ac-49af-bc3d-cca78e938e3c", + "metadata": {}, + "outputs": [], + "source": [ + "# material information\n", + "n_si = 3.49\n", + "n_air = 1\n", + "\n", + "# source\n", + "wvl0 = 1.5\n", + "freq0 = td.C_0 / wvl0\n", + "fwidth = freq0 / 10\n", + "run_time = 200 / fwidth\n", + "\n", + "# size of waveguides\n", + "wg_width = 0.3\n", + "wg_length = 1.5\n", + "wg_spacing = 1.5\n", + "\n", + "\n", + "# size of design region\n", + "lx = 4.5\n", + "ly = 4.5\n", + "lz = td.inf\n", + "\n", + "# spacing between design region and PML in y\n", + "buffer = 1.5\n", + "\n", + "# size of simulation\n", + "Lx = lx + wg_length * 2\n", + "Ly = Lx\n", + "Lz = 0.0\n", + "\n", + "# fabrication constraints (feature size and projection strength)\n", + "radius = 0.150\n", + "beta0 = 2\n", + "\n", + "# resolution information\n", + "min_steps_per_wvl = 18\n", + "dl_design_region = 0.01" + ] + }, + { + "cell_type": "markdown", + "id": "c8b4d96e-6af3-47ac-9bac-62ebbc05ce03", + "metadata": {}, + "source": [ + "### Static Simulation\n", + "\n", + "Before we define the optimizable design region, we will set up the \"static\" parts of the simulation—the components that do not change during the optimization. These include the input and output waveguides and the ports used to inject and measure light." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "45077f84-ef94-4ca8-9aa8-e7abfe11784a", + "metadata": {}, + "outputs": [], + "source": [ + "wg_vert = td.Structure(\n", + " geometry=td.Box(\n", + " center=(0, 0, 0),\n", + " size=(td.inf, wg_width, lz),\n", + " ),\n", + " medium=td.Medium(permittivity=n_si**2),\n", + ")\n", + "\n", + "wg_horz = td.Structure(\n", + " geometry=td.Box(\n", + " center=(0, 0, 0),\n", + " size=(wg_width, td.inf, lz),\n", + " ),\n", + " medium=td.Medium(permittivity=n_si**2),\n", + ")\n", + "\n", + "wgs = [wg_vert, wg_horz]\n", + "\n", + "fld_mnt_name = \"field\"\n", + "\n", + "# field monitor that measures fields on the z=0 plane at the design freqs\n", + "fld_mnt = td.FieldMonitor(\n", + " center=(0, 0, 0),\n", + " size=(td.inf, td.inf, 0),\n", + " freqs=[freq0],\n", + " name=fld_mnt_name,\n", + ")\n", + "\n", + "sim_static = td.Simulation(\n", + " size=(Lx, Ly, Lz),\n", + " grid_spec=td.GridSpec.auto(\n", + " min_steps_per_wvl=min_steps_per_wvl,\n", + " wavelength=wvl0,\n", + " ),\n", + " structures=wgs,\n", + " sources=[],\n", + " monitors=[fld_mnt],\n", + " boundary_spec=td.BoundarySpec.pml(x=True, y=True, z=True if Lz else False),\n", + " run_time=run_time,\n", + " symmetry=(0, 0, 0),\n", + ")" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "4400153f-b2b2-4ec7-97ea-34e82b5ddd1d", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAiYAAAHWCAYAAABDtELCAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAABz20lEQVR4nO3deXwTdf4/8FfSHG3TJpQUWspZBUW55bLoLihdqyKIByirgIjgASh2VwRXQFGpx8qhXMt6sLogiCt4gLgVOaWCXK6IIl9FQaAtCW3SpjTn/P7ob4amTdtJkzTT9vV8PPKATj6ZvJPppK98Pp+ZUQmCIICIiIhIAdTRLoCIiIhIxGBCREREisFgQkRERIrBYEJERESKwWBCREREisFgQkRERIrBYEJERESKwWBCREREisFgQkRERIrBYEKkUNu3b4dKpcL27dujXQqF2b59+6DT6fDbb781+HNbrVYYDAZs3ry5wZ+bSA4GE6IoW7ZsGVatWhXtMuplzZo1WLRoUbTLAAD4fD68/PLLSE9PR2xsLHr27In33ntP9uOLi4sxefJktGrVCgaDAddddx0OHjxYrd26detw7733okuXLlCpVBgyZEjQtf7tb3/DmDFj0LFjx6AfGyqz2YwHHngAs2fPbvDnJpJDxWvlEEVX9+7dkZycXK1nxOfzweVyQafTQa1W5neIW265BUeOHMGvv/4a7VIwa9YsvPjii5g0aRL69++Pjz76CJs2bcJ7772Hu+++u9bH+nw+/OEPf8C3336LJ554AsnJyVi2bBlOnTqFAwcOoEuXLlLbIUOG4MCBA+jfvz8OHz6Mnj17BtWrdfjwYfTp0wd79uxBRkZGfV9uSH744QdceeWV2Lp1K66//vqo1EBUEwYTUgSHwwGDwRDtMqKipmDSGCglmJw+fRrp6emYPHkylixZAgAQBAGDBw/GiRMn8OuvvyImJqbGx7///vu46667sH79etx5550AgHPnzuGyyy7DTTfdhDVr1khtT506hbZt20KtVtdr2z322GPYuHEjfv31V6hUqvq94DDo0aMH+vTpg3feeSdqNRAFosyvYdSonT59GhMnTkRaWhr0ej3S09Px8MMPw+VyAQBWrVoFlUqFHTt24JFHHkHr1q3Rrl076fHLli1Dt27doNfrkZaWhilTpqC4uNjvOY4fP4477rgDqampiI2NRbt27XD33XfDZrNJbXJzc3HttdeiRYsWSEhIwOWXX46nnnqqzvrlPM7pdGLu3Lno3Lkz9Ho92rdvjxkzZsDpdFZb37///W8MGDAA8fHxSEpKwh//+Ef897//BQB06tQJ33//PXbs2AGVSuU3NFDTHJP169ejb9++iIuLQ3JyMu69916cPn3ar819992HhIQEnD59GiNHjkRCQgJatWqFv/71r/B6vXW+Bx999BGGDRsmbcNLL70Uzz33nN9jhwwZgk2bNuG3336Tau/UqVON67zvvvukdlVvzzzzTJ011VWv2+3GI488Ii1TqVR4+OGH8fvvvyMvL6/Wx3/wwQdISUnB7bffLi1r1aoVRo8ejY8++shvu7Zv3z6kHqyNGzfi+uuvrxZKanofOnXqhPvuu0/6Wdx/du/ejUcffRStWrVCixYt8OCDD8LlcqG4uBjjxo1DUlISkpKSMGPGDAT6/vmnP/0Jn3zyScD7iKJJE+0CqGk5c+YMBgwYII3Xd+3aFadPn8YHH3yAsrIy6HQ6qe0jjzyCVq1aYc6cOXA4HACAZ555Bs8++ywyMzPx8MMP49ixY1i+fDm++eYbfPXVV9BqtXC5XMjKyoLT6cS0adOQmpqK06dP49NPP0VxcTFMJhO+//573HLLLejZsyfmzZsHvV6P//u//8NXX31Va/1yHufz+TBixAjs3r0bkydPxhVXXIHvvvsOCxcuxE8//YSNGzdKbZ999lk888wzGDRoEObNmwedToe9e/fiyy+/xA033IBFixZh2rRpSEhIwN/+9jcAQEpKSo31rVq1ChMmTED//v2Rk5ODgoICLF68GF999RUOHTqEFi1aSG29Xi+ysrIwcOBA/P3vf8cXX3yBV199FZdeeikefvjhWt+HVatWISEhAdnZ2UhISMCXX36JOXPmwG6345VXXgFQMU/CZrPh999/x8KFCwEACQkJNa7zwQcfRGZmpt+yLVu2YPXq1WjdurW0zGKx1FqbKDExEXq9HgBw6NAhGAwGXHHFFX5tBgwYIN1/7bXX1riuQ4cO4aqrrqoWOAYMGICVK1fip59+Qo8ePWTVVZvTp0/j5MmTuOqqq0Jel/i7/+yzz+Lrr7/GypUr0aJFC+zZswcdOnTA/PnzsXnzZrzyyivo3r07xo0b5/f4vn37YuHChfj+++/RvXv3kOshChuBKIzGjRsnqNVq4Ztvvql2n8/nEwRBEN5++20BgHDttdcKHo9Hur+wsFDQ6XTCDTfcIHi9Xmn5kiVLBADCW2+9JQiCIBw6dEgAIKxfv77GOhYuXCgAEM6dOxdU/XIe9+677wpqtVrYtWuX3/IVK1YIAISvvvpKEARBOH78uKBWq4XbbrvN7/UIwsX3QhAEoVu3bsLgwYOrPc+2bdsEAMK2bdsEQRAEl8sltG7dWujevbtw4cIFqd2nn34qABDmzJkjLRs/frwAQJg3b57fOvv06SP07du39jdBEISysrJqyx588EEhPj5eKC8vl5YNGzZM6NixY53rC+T48eOCyWQS/vSnP/n9HgCQdXv77bf96rjkkkuqPYfD4RAACDNnzqy1FoPBINx///3Vlm/atEkAIGzZsiXg42radjX54osvBADCJ598Uu0+AMLcuXOrLe/YsaMwfvx46Wdx/8nKyvL7PcrIyBBUKpXw0EMPScs8Ho/Qrl27gDXu2bNHACCsW7dOdv1EDYFDORQ2Pp8PGzduxPDhw9GvX79q91ftup40aZLfuP8XX3wBl8uF6dOn+31znTRpEoxGIzZt2gQAMJlMAIDPP/8cZWVlAWsRew4++ugj+Hw+2a9BzuPWr1+PK664Al27doXFYpFu4iTCbdu2Aajosvf5fJgzZ061b+L1mVuwf/9+FBYW4pFHHkFsbKy0fNiwYejatav0/lT20EMP+f38hz/8Ab/88kudzxUXFyf9v6SkBBaLBX/4wx9QVlaGH3/8Mejaq3I4HLjtttuQlJSE9957z+/3IDc3V9YtKytLesyFCxek3pPKxPfpwoULtdYT6uPlslqtAICkpKSQ1zVx4kS/36OBAwdCEARMnDhRWhYTE4N+/foF3OZiDXJ7qIgaCodyKGzOnTsHu90uu1s4PT3d72fxnA6XX36533KdTodLLrlEuj89PR3Z2dlYsGABVq9ejT/84Q8YMWIE7r33Xim03HXXXXjjjTfwwAMPYObMmRg6dChuv/123HnnnbXOD5DzuOPHj+OHH35Aq1atAq6jsLAQAPDzzz9DrVbjyiuvlPV+1KWm9wcAunbtit27d/sti42NrVZjUlISioqK6nyu77//Hk8//TS+/PJL2O12v/sqz+Opr0mTJuHnn3/Gnj17YDab/e6rOtwjR1xcXMD5PeXl5dL9kXx8sIQwzOvo0KGD38/i73779u2rLQ+0zcUaojkBlygQBhOKmlA+7F999VXcd999+Oijj/Df//4Xjz76KHJycvD111+jXbt2iIuLw86dO7Ft2zZs2rQJW7Zswbp163D99dfjv//9b41HaMh5nM/nQ48ePbBgwYKA66j6hyFaajsKpTbFxcUYPHgwjEYj5s2bh0svvRSxsbE4ePAgnnzyyaB6oAJZvHgx3nvvPfz73/9G7969q92fn58vaz0mk0n6HWrTpg22bdsGQRD8/tCePXsWAJCWllbrutq0aSO1rUzu4+USQ5iccCiqKcTUtH0DLQ+0DrGG5ORk2bUQNQQO5VDYtGrVCkajEUeOHKnX48WTTR07dsxvucvlwokTJ6qdjKpHjx54+umnsXPnTuzatQunT5/GihUrpPvVajWGDh2KBQsW4OjRo3jhhRfw5ZdfSkMtNanrcZdeeinOnz+PoUOHIjMzs9pN7NG49NJL4fP5cPTo0VqfT+431preH3FZuE7WtX37dlitVqxatQqPPfYYbrnlFmRmZgYcfgj22/auXbvw17/+FdOnT8c999wTsE2bNm1k3datWyc9pnfv3igrK8MPP/zgt669e/dK99emd+/eOHjwYLXQtXfvXsTHx+Oyyy4L6nXWpGvXrgCAEydOBLy/pKSk2jKxBy7cxBqqThgmijYGEwobtVqNkSNH4pNPPsH+/fur3V9X93VmZiZ0Oh1ee+01v7ZvvvkmbDYbhg0bBgCw2+3weDx+j+3RowfUarXUHX/+/Plq6xf/OAXqshfJedzo0aNx+vRp/POf/6zW9sKFC9IRRiNHjoRarca8efOq/cGr/PoMBkO1w6ED6devH1q3bo0VK1b4vYbPPvsMP/zwg/T+hEr8xl25RpfLhWXLllVrazAYZA/tnD17FqNHj8a1114rHdkTSH3mmNx6663QarV+NQqCgBUrVqBt27YYNGiQXx0//vgj3G63tOzOO+9EQUEBPvzwQ2mZxWLB+vXrMXz48IDzT+qjbdu2aN++fcD9A0C1Q8M/++wzlJeXR+SQ3gMHDsBkMqFbt25hXzdRKDiUQ2E1f/58/Pe//8XgwYOlQ2nPnj2L9evXY/fu3X6Hs1bVqlUrzJo1C88++yxuvPFGjBgxAseOHcOyZcvQv39/3HvvvQCAL7/8ElOnTsWoUaNw2WWXwePx4N1330VMTAzuuOMOAMC8efOwc+dODBs2DB07dkRhYSGWLVuGdu3a1XrYqJzHjR07Fu+//z4eeughbNu2Dddccw28Xi9+/PFHvP/++/j888/Rr18/dO7cGX/729/w3HPP4Q9/+ANuv/126PV6fPPNN0hLS0NOTg6AisM2ly9fjueffx6dO3dG69atA56NU6vV4qWXXsKECRMwePBgjBkzRjpcuFOnTnj88cfru9n8DBo0CElJSRg/fjweffRRqFQqvPvuuwH/OPbt2xfr1q1DdnY2+vfvj4SEBAwfPjzgeh999FGcO3cOM2bMwNq1a/3u69mzJ3r27AmgfnNM2rVrh+nTp+OVV16B2+1G//79sXHjRuzatQurV6/2G96YNWsW/vWvf+HEiRPSeVfuvPNOXH311ZgwYQKOHj0qnfnV6/Xi2Wef9XuunTt3YufOnQAq5lU5HA48//zzAIA//vGP+OMf/1hrrbfeeis2bNhQbdgJqDgj680334wRI0bgzJkzWLx4MUwmE/bs2YP33nsPY8aMCfq9qUlubi6GDx/OOSakPNE4FIiatt9++00YN26c0KpVK0Gv1wuXXHKJMGXKFMHpdAqCcPFwx0CHFAtCxeHBXbt2FbRarZCSkiI8/PDDQlFRkXT/L7/8Itx///3CpZdeKsTGxgotW7YUrrvuOuGLL76Q2mzdulW49dZbhbS0NEGn0wlpaWnCmDFjhJ9++qnW2uU+zuVyCS+99JLQrVs3Qa/XC0lJSULfvn2FZ599VrDZbH5t33rrLaFPnz5Su8GDBwu5ubnS/fn5+cKwYcOExMREAYB0aGfVw4VF69atk9bXsmVL4Z577hF+//13vzbjx48XDAZDtdc3d+5cQc5u/9VXXwlXX321EBcXJ6SlpQkzZswQPv/882r1lJaWCn/+85+FFi1aCABqPXR48ODBNR76G+gw2WB5vV5h/vz5QseOHQWdTid069ZN+Pe//12tnXgo9YkTJ/yWnz9/Xpg4caJgNpuF+Ph4YfDgwQF/R8X3sL6v4+DBgwKAaoebAxCys7OFUaNGCXFxcUKbNm2EJUuWCCtWrBDi4+OFBx54QBCEmvcfsa6qh7oH+l344YcfBAB++wyRUvCU9EREDWzo0KFIS0vDu+++Ky1TqVSYO3duyGfBlWP69OnYuXMnDhw4wB4TUhzOMSEiamDz58/HunXrpEPAG5LVasUbb7yB559/nqGEFIlzTIiIGtjAgQOla0c1NLPZjNLS0qg8N5Ec7DEhIiIixWCPCRGRAnC6H1EF9pgQERGRYig6mLz44otQqVSYPn16re3Wr1+Prl27IjY2Fj169MDmzZv97hcEAXPmzEGbNm0QFxeHzMxMHD9+PIKVExERUX0odijnm2++wT/+8Q/ppEs12bNnD8aMGYOcnBzccsstWLNmDUaOHImDBw9KF5N7+eWX8dprr+Ff//oX0tPTMXv2bGRlZeHo0aN+V2mtjc/nw5kzZ5CYmMiZ7EREzYggCCgpKUFaWlqtFwGtSXl5eVgnO+t0Otl/uxojRZ7HpLS0FFdddRWWLVuG559/Hr1798aiRYsCtr3rrrvgcDjw6aefSsuuvvpq9O7dGytWrIAgCEhLS8Nf/vIX/PWvfwVQcXXUlJQUrFq1Cnfffbesmn7//Xe8/vrr0GiCz3KCIKCoqKjWMWSdTif9wvt8vqjM2NdoNH6vz+l0Nvi4t0ql8jv9t8fjqXb6+YbA7VGB2+Mibo+LGvP2UKlUSEpKCvoL5vz583Hq1Cm0a9cuqMeVl5eH/erUqampOHHiRJMNJ4rsMZkyZQqGDRuGzMxM6VTPNcnLy0N2drbfsqysLGzcuBFAxYWq8vPz/U5zbTKZMHDgQOTl5dUYTJxOp9/1SARBgEajQXx8fNCJWRAEdOrUqdarvbpcLumaIyaTCTqdLqjnCAefzwer1Qqg4oNHvIx6Q7PZbNIHndlsrtc3lFA11+0hnmq9Mq1WK30AFhcXB/zwr+s07KFqrtsjEO4fFeq7PbxeLywWS1DBRLzWVWJiYtB1RiK05efnw+VyMZg0lLVr1+LgwYP45ptvZLXPz89HSkqK37KUlBTp0univ7W1CSQnJ6faNTKeeuopqNVqaLVaWbUBFb/QPp8Per2+xp3X5XKhuLhYStVlZWWIj49v0J1d3Mm1Wi30ej3Ky8vh9XrrtSOGoqSkBD6fDwkJCXA6nbhw4UKDf/g25+1R+cJ2QMUHsV6vR1lZGfR6PUwmEywWS7VwEh8fH7GamvP2qIr7R4VQtofL5YJarZZucoj7RajD+OGYBhBsL93OnTvxyiuv4MCBAzh79iw2bNiAkSNHAqh4XU8//TQ2b96MX375BSaTCZmZmXjxxReRlpYWcq31pajJr6dOncJjjz2G1atXRz0Jzpo1CzabTbqdOnUqIs/jcrlgsVig1WqRnJyM5ORkaLVaWCyWBuseFXdyt9uN5ORkmM1mGI1G2O32gJdhj5SSkhLY7XYYjUaYzWYkJyfD7XbDarVWuzpvpHB7XJSYmCg97/nz52GxWKDRaJCcnNxg86y4PS7i/lFBKdsjWCqVKmy3YDgcDvTq1QtLly6tdl9ZWRkOHjyI2bNn4+DBg/jwww9x7NgxjBgxIlwvu14U1WNy4MABFBYW4qqrrpKWeb1e7Ny5E0uWLIHT6aw2HJKamoqCggK/ZQUFBUhNTZXuF5e1adPGr414OftA9Hp92C51XpPKO3nlbz1msxlWqxUWiwXJyckR/SZSdScXn0v85mG32/1+jpTKH7ric+l0OiQnJ8NiscBqtUb8myG3x0WVQ4n4Ye92u6X3QNwukZxjwe1xEfePCkrZHo3JTTfdhJtuuingfSaTCbm5uX7LlixZggEDBuDkyZPo0KFDQ5RYjaJ6TIYOHYrvvvsOhw8flm79+vXDPffcg8OHDweco5GRkYGtW7f6LcvNzUVGRgYAID09HampqX5t7HY79u7dK7WJhpp2cgBQq9Uwm80R/yZS004uCvTHKRICfeiKxA/fSH8z5PaQ9zxiOIl0zwm3x0XcPyooZXvUV7R6TIJls9mgUqnQokWLiD5PbRTVY5KYmCgd4isyGAwwm83S8nHjxqFt27bIyckBADz22GMYPHgwXn31VQwbNgxr167F/v37sXLlSgCQzoPy/PPPo0uXLtLhwmlpadI4W0OrbScXiTt7pL6J1LWTiyL9TaS2D11RpL8Zcnv4r7+uD/dAPSfhxO1xEfePCkrZHqEId6gQX6MoHL385eXlePLJJzFmzBgYjcaQ1hUKRfWYyHHy5EmcPXtW+nnQoEFYs2YNVq5ciV69euGDDz7Axo0b/QLOjBkzMG3aNEyePBn9+/dHaWkptmzZEpV5LHJ2clGkvonI3clFkfomIudDVxSpb4bcHheVlJTIXm/VnhNuD+4fTX17KE379u1hMpmkm/hlvb7cbjdGjx4NQRCwfPnyMFVZP4o8j4kS2e12vPTSS0hISKjXUTni/Ba5O3nVdQSzY0ZqXcF8UEZqXcF8UEZqXU15exw/fjyoD3NxEmTHjh25Pbh/AFD+9nC5XDh79mxQR+VcuHABc+bMgc1mC7onwW63w2QyQaPRhO2oHI/Hg1OnTvnVIqfHRKVS+R2VIxJDyS+//IIvv/wSZrM55DpD0eh6TKIpJiam3pP9xG+Y9fnACNc3kVA/MML1TSSUD/BwfTMM5QO8KW+PYNcj/l5ze3D/EDW17eF0OsPSAxXuOSZGo9HvVt9hHDGUHD9+HF988UXUQwnAYBI0t9sd9C+pz+dDUVFRSN9iQt3Zw/UtJtSdPRzfKkP98A3Ht0puj4vE18DtoYztwf3jolC3h9PphMfjicpJ7MKltLRUOpgEqDjp6OHDh3Hy5Em43W7ceeed2L9/P1avXg2v14v8/HzpBG7R0njf7SgQd/BgErTX64XH44FGowm5a7W+O3s4u1aB+u/s4ezqru+Hbzi7urk9LuL2uIjbo0Jj3x5iKKl66vv6itZROfv370efPn3Qp08fAEB2djb69OmDOXPm4PTp0/j444/x+++/o3fv3mjTpo1027NnT8ivub4UdVSO0omnpfd6vXA6ndDr9bXuuB6PRzrLYIsWLcKSuoOd/R7unVwU7Oz3cH7oioI9GiGcH7oibo+LuD0u4vaooMTtIecsxZVDiV6vr3ZG5PpoiEN9AxkyZEitUxCUOM2UwSRIKpUKWq0WLpcL5eXlfhezqszr9UrfENRqtd/P4WA0GlFUVITCwkIkJSUFnJArXjzQ4/EgKSkJQHiv26DX6xEfH4/i4mJ4PB4YDIaA7RwOB0pLS5GQkAC9Xh/2LkKTyeT3XgTa+d1uN4qKiqDRaGA0GsN+4bOmsj2CmdhdWeV1cHtU4P5xkZK2h91uh9vtrvF33eVywev1IiYmBlqtFj6fT5F/vJsyBpMgiL+cYjjxeDxwu93VZlv7fD5pXFKcMOt0OuH1esNaT1xcHFwuFxwOR7WAJAiC1FuTkJAAr9cb9ucHKiYEGwwGuFwuqUepMvE9MhgMiImJQXl5edhrAICEhAS4XC7Y7XbodLpq28PlcknXK6p8ccZwagrbo75XQa26Hm6PCtw/LlLK9hDDYqBw4vF44PP5EBMTA41GE9YT1kWrx6QxYjAJQnFxMTp27Cid/6Tytwzxm4i4LC4uDklJSfB4PHA6nbj55pujdjVSIrlmzZpVr8fNnz8/zJUQRYbNZsMnn3yCsrIyGI1GqSercs9V1d6tcARGBhP5GEyC4PP5oNFopHFPnU4nTewSx4dtNhv0er00RqtSqeD1emEymdCyZcsovwKi2hUVFdXrcfzdpsZEr9dDo9GgrKxM6sUqKytDixYtAs7xCffQFtWOwSRE4gSzc+fOhX3iGBERRYbBYIBGo5EmKIdz4nEg7DGRj8GEiIgowhhM5OPX+hCJh9iJPScejyeiV/kkIqLQORwOaQi+OVxbpzFhj0kIAh33X/W8AUREpCwejwcOh6PanJJIXpWYPSbyMZjUU00nI6p6UqNoXjqaiIiqc7vdSEhI8AsgwZ4UL1gMJvJxKKce6jpDYuXTQRcVFfHkPERECqLVagOe8C5cF/6j0LDHJEgejwfFxcV1Hn0jhpPCwsKoXgyJiIj81Xbtm0j1nLDHRD4GkyCoVCrYbDbExsbKOiRYp9MhKSkJDoejgSokIqJQVQ0nMTExIa+TwUQ+BpMg6PV6xMTEBHWeEq1WG7YLURERUcOoHE70en2Uq2leGEyC4PP5YDQagz55Gk+2RkTU+Ijh5Pz58yGviz0m8jGYBEG8yBQRETUPiYmJYbmwIYOJfPwrS0REVIv4+Phol9CssMeEiIioAYSjx6Q5nH6CwYSIiCjCwjWU0xyGgziUQ0RERIrBHhMiIqIIY4+JfAwmREREEcZgIh+HcoiIiEgx2GNCREQUYewxkY/BhIiIKMIYTOTjUA4REREpBntMiIiIIow9JvIxmBAREUUYg4l8HMohIiIixWCPCRERUS08Hk/I62CPiXwMJkHQaPh2ERE1Jy6XCzabLeT1MJjIx6GcIGg0GpSVlQX9uOZwNUgioqbG5XLBYrEgJiYm2qU0K+wCCILH44HD4YBer0diYqKsxwiCAJfLFeHKiIgonMRQotVqERcXF/L62GMin+J6TJYvX46ePXvCaDTCaDQiIyMDn332Wa2PWb9+Pbp27YrY2Fj06NEDmzdv9rtfEATMmTMHbdq0QVxcHDIzM3H8+PGga/N4PDAYDLDb7SgpKamzvc/nQ1FREXtMiIgakcqhxGw2Q60O/U+lGEzCcWvqFBdM2rVrhxdffBEHDhzA/v37cf311+PWW2/F999/H7D9nj17MGbMGEycOBGHDh3CyJEjMXLkSBw5ckRq8/LLL+O1117DihUrsHfvXhgMBmRlZaG8vDzo+uLj42E0GusMJz6fD1arFR6PBzqdLujnISKiyPD5fDXeF4lQQsFR3Ds+fPhw3HzzzejSpQsuu+wyvPDCC0hISMDXX38dsP3ixYtx44034oknnsAVV1yB5557DldddRWWLFkCoKK3ZNGiRXj66adx6623omfPnnjnnXdw5swZbNy4sV41JiYm1hpOxFDidruRlJTEX2wiIgVxuVxwu90Bl0cqlLDHRD5F/8X0er1Yu3YtHA4HMjIyArbJy8tDZmam37KsrCzk5eUBAE6cOIH8/Hy/NiaTCQMHDpTa1EdN4aRyKElOToZWq633cxARUfipVCoUFRX5zf+LdE8Jg4l8ipz8+t133yEjIwPl5eVISEjAhg0bcOWVVwZsm5+fj5SUFL9lKSkpyM/Pl+4Xl9XUJhCn0wmn0yn9bLfbq7URJ8CK9xkMBr9QotPpOPGViEhhdDodvF4vLBYLkpOTAYDDNwqiyHf/8ssvx+HDh7F37148/PDDGD9+PI4ePdqgNeTk5MBkMkm39u3bB2xXuefk7NmzfqGEiIiUR6VSISkpCVqtFufOncO5c+ciHkrYYyKfIoOJTqdD586d0bdvX+Tk5KBXr15YvHhxwLapqakoKCjwW1ZQUIDU1FTpfnFZTW0CmTVrFmw2m3Q7depUjW0NBoP0f71ez1BCRKRwKpUKRqNR+tloNEa0p4TBRD5FBpOqfD6f37BKZRkZGdi6davfstzcXGlOSnp6OlJTU/3a2O127N27t8Z5K0BFwBAPWRZvNdVmtVqhUqkQGxuL8vJyWYcSExFR9LjdblitVmi1Wmi1WlitVg69K4Ti5pjMmjULN910Ezp06ICSkhKsWbMG27dvx+effw4AGDduHNq2bYucnBwAwGOPPYbBgwfj1VdfxbBhw7B27Vrs378fK1euBFCRUqdPn47nn38eXbp0QXp6OmbPno20tDSMHDkypFqrTnTV6XQoKSmR5pzIPQkbERE1HJ/PB7vdDr1eD7PZDACwWq3SnJNI9HrzBGvyKS6YFBYWYty4cTh79ixMJhN69uyJzz//HH/6058AACdPnvTrbhs0aBDWrFmDp59+Gk899RS6dOmCjRs3onv37lKbGTNmwOFwYPLkySguLsa1116LLVu2IDY2tt51BgolQPUJsXq9vt7PQURE4edyuaDRaPzmlJjN5oiGEwYT+RQXTN58881a79++fXu1ZaNGjcKoUaNqfIxKpcK8efMwb968UMsDUHMoEVUOJ/Hx8bzOAhGRgoiTXyt/yVWr1REPJyRPo5hjoiR1hRKReLROaWlpWC6ZTURE4aHT6QL2PIjhRKvVwmKxhH3OCSe+ysNgEiS73S77kODExEQkJCQEPMMgERFFR21/4CMVTnhUjnwMJkEQT8oTTBefwWDg2V+JiBqRquGEvd4NS3FzTJRMrVbDZDIFPe6o0fBtJiJqTCrPObHZbCGvj5Nf5WOPSRCcTidDBhFRMyGGk3AcwMChHPkYTIIgCEK0SyAiogakVqtrPMEmRQa//hMREdUiHKeq51COfAwmREREEcZgIh+HcoiIiEgx2GNCREQUYewxkY/BhIiIKMIYTOTjUA4REREpBntMiIiIIow9JvIxmBAREUUYg4l8HMohIiIixWAwISIiirBonZJ+586dGD58ONLS0qBSqbBx40a/+wVBwJw5c9CmTRvExcUhMzMTx48fD+MrDx6DCRERUYRFK5g4HA706tULS5cuDXj/yy+/jNdeew0rVqzA3r17YTAYkJWVhfLy8nC87HrhHBMiIqIm6qabbsJNN90U8D5BELBo0SI8/fTTuPXWWwEA77zzDlJSUrBx40bcfffdDVmqhD0mREREtfD5fCGvI9w9Jna73e/mdDqDrunEiRPIz89HZmamtMxkMmHgwIHIy8sL+TXXF4NJEJrDbGgiIrrI5/PBbreHvJ5wB5P27dvDZDJJt5ycnKBrys/PBwCkpKT4LU9JSZHuiwYO5QRBr9fD4/FEuwwiImoAPp8PVqsVXq832qVUc+rUKRiNRulnvV4fxWrCiz0mQfD5fLDZbHC5XEE9jmGGiKhxEUOJ2+2GyWQKeX3h7jExGo1+t/oEk9TUVABAQUGB3/KCggLpvmhgMAmCy+VCTEwMLBaL7HDicDjgdrsjXBkREYVL5VCSnJwMjSb0wYVoHZVTm/T0dKSmpmLr1q3SMrvdjr179yIjIyNszxMsDuUEyWg04sKFC7BYLEhOToZOp6uxbUlJCUpLS2EwGBqwQiIiqo0gCDXeVzWU6HS6Rt3rXVpaiv/7v/+Tfj5x4gQOHz6Mli1bokOHDpg+fTqef/55dOnSBenp6Zg9ezbS0tIwcuTIqNXMYBIktVoNs9kMq9VaazgpKSmB3W5HQkICYmJiolApEREF4nK5EBsbW215oFASLtE6Jf3+/ftx3XXXST9nZ2cDAMaPH49Vq1ZhxowZcDgcmDx5MoqLi3Httddiy5YtAd+fhsJgUg91hRMxlIjjftE8UQ0REfkTBAFFRUVo3bo11OqKGQ2RDCVA9ILJkCFDau0hUqlUmDdvHubNmxdqaWHDOSb1JIYTrVbrN+ekcihJTEyMcpVERFSVODxjtVrh8/kiHkooOOwxCUHVnhOxd4ShhIhIudRqNZKSkmCz2WCxWABUHD0Z6VDCc2HJwx6TEInhRBAElJeXIzY2lqGEiEjhtFotzGYz3G433G43zGZzxEOJ0o7KUSoGkzBwOBzS/51OZ9DnOSEiooYlCILfGV3tdntYTj1PoWMwCVHlOSVt2rSpNueEiIiURZz86na70apVK7Rq1Qput1uacxIJ7DGRj8EkBFUnutY0IZaIiJTD5XL5zSnR6XRITk6OaDhhMJGPwaSeajr6pmo44VlfiYiURRAEJCUl+c0paYhwQvIwmNRDXYcEVw4nRUVF/AUnIlIQnU4HrVYbcHmkwgl7TORjMAlSWVmZrPOUiOFEo9FwSIeISEHEk6oFEqlwwmAiH4NJEDQaDRwOh+zzlIjHyjeHXyQioqaCwzrRxROsBUGj0cBgMAR1nhKVSsWzCBIRNTJiOAnXXMFonZK+MVJcj0lOTg769++PxMREtG7dGiNHjsSxY8fqfNz69evRtWtXxMbGokePHti8ebPf/YIgYM6cOWjTpg3i4uKQmZmJ48ePB1Wbx+NBfHx8UI8BmscvEhFRUyOGE6/XG/K6OJQjn+KCyY4dOzBlyhR8/fXXyM3Nhdvtxg033OB3ErOq9uzZgzFjxmDixIk4dOgQRo4ciZEjR+LIkSNSm5dffhmvvfYaVqxYgb1798JgMCArKyuoC+w15ktfExFR8HQ6HUwmU7TLaFYUN5SzZcsWv59XrVqF1q1b48CBA/jjH/8Y8DGLFy/GjTfeiCeeeAIA8NxzzyE3NxdLlizBihUrIAgCFi1ahKeffhq33norAOCdd95BSkoKNm7ciLvvvjuyL4qIiBotjSb0P5UcypFPcT0mVdlsNgBAy5Yta2yTl5eHzMxMv2VZWVnIy8sDAJw4cQL5+fl+bUwmEwYOHCi1qcrpdMJut/vdiIiI6oNDOfIpOpj4fD5Mnz4d11xzDbp3715ju/z8fKSkpPgtS0lJQX5+vnS/uKymNlXl5OTAZDJJt/bt24fyUoiIiEgGRQeTKVOm4MiRI1i7dm2DP/esWbNgs9mk26lTpxq8BiIiahrYYyKf4uaYiKZOnYpPP/0UO3fuRLt27Wptm5qaioKCAr9lBQUFSE1Nle4Xl7Vp08avTe/evQOuU6/XQ6/Xh/AKiIiIKnCOiXyK6zERBAFTp07Fhg0b8OWXXyI9Pb3Ox2RkZGDr1q1+y3Jzc5GRkQEASE9PR2pqql8bu92OvXv3Sm2IiIgo+hTXYzJlyhSsWbMGH330ERITE6U5ICaTCXFxcQCAcePGoW3btsjJyQEAPPbYYxg8eDBeffVVDBs2DGvXrsX+/fuxcuVKABUJc/r06Xj++efRpUsXpKenY/bs2UhLS8PIkSOj8jqJiKj5YI+JfIoLJsuXLwcADBkyxG/522+/jfvuuw8AcPLkSb9rHQwaNAhr1qzB008/jaeeegpdunTBxo0b/SbMzpgxAw6HA5MnT0ZxcTGuvfZabNmyBbGxsRF/TURE1LwxmMinuGAiCEKdbbZv315t2ahRozBq1KgaH6NSqTBv3jzMmzcvlPKIiIgoghQXTIiIiJoa9pjIx2BCREQUYQwm8inuqBwiIiJqvthjQkREFGHsMZGPwYSIiKgWZWVlIa+DwUQ+DuUEQafTwefzRbsMIiJqICUlJXA4HNEuo1lhj0kQ1Go17HY74uPj/c6jUheGGSKixqekpAR2ux0GgyEs62sOvR3hwGASBKfTCa/XC6vVCrPZLCucuN1uuFyuBqiOiIjCRQwlRqMRMTExIa+PQznycSgnCIIgwGQywe12w2q11tkT4nK5UFRU1Cx+kYiImorKoSQxMTHa5TQ7DCZB0mg0SE5OrjOcuFwuWCwWaDQa6HS6Bq6SiIhq4vF4arwvUqFE7DEJx62pYzCpB51OV2s4EUOJVqtFUlJSs/hFIiJqLNxud8AJrZHsKWEwkY/BpJ5qCieVQ4nZbG4Wv0RERI2JVqtFaWkpSkpKpGUcvlEOTn4NgRhOLBYLrFYrjEYjrFarFEqCOXKHiIgahkajQUJCAux2u7Qs0qGEk1/lYzAJkRhOzp0759dTwlBCRKRcBoMBGo1GCieR7ilhMJGPfz2JiIhIMdhjEiJxTolOp5OGcoI5zwkRETU8h8OBsrIyGI1GAJB6TjiUE30MJiGoOtFVrVb7zTkxm83RLpGIiKrweDxwOBxo0aKFXxCJZDhhMJGPX+nrKVAoAaofrSMIQpQrJSKiytxuNxISEvwCSGJiIoxGI+x2u9/ROtTw2GNSDzWFElHlo3WKiooQFxcXpUqJiKgqrVYb8Po3YlCJRM8Je0zkYzAJksfjQXFxcZ1H34jhpLCwkNfKISJSEI2m5j99kQonDCbyMZgEQaVSwWazITY2VtbkVp1Oh6SkJF4ym4ioEakaTsJxET+Sj8EkCHq9HjExMUEdcaPVanmtHCKiRqZyONHr9SGvjz0m8jGYBMHn88FoNAZ9GDAPGyYianzEcHL+/PmQ18VgIh+DSRBcLhdDBhFRM5KYmAin0xntMpoVBhMiIqJaxMfHh7wO9pjIx2BCREQUYQwm8nFcgoiIiBSDPSZEREQRxh4T+RhMiIiIIozBRD4O5RAREZFisMeEiIgowthjIh+DCRERUYQxmMjHoRwiIiJSDPaYEBERRRh7TORjMCEiImoAzSFUhAOHcoiIiEgxFBdMdu7cieHDhyMtLQ0qlQobN26s8zHbt2/HVVddBb1ej86dO2PVqlXV2ixduhSdOnVCbGwsBg4ciH379oW/eCIiogDEoZxw3OTyer2YPXs20tPTERcXh0svvRTPPfccBEGI4CsNneKCicPhQK9evbB06VJZ7U+cOIFhw4bhuuuuw+HDhzF9+nQ88MAD+Pzzz6U269atQ3Z2NubOnYuDBw+iV69eyMrKQmFhYaReBhERNREejyfkdUQjmLz00ktYvnw5lixZgh9++AEvvfQSXn75Zbz++ushv55IUtwck5tuugk33XST7PYrVqxAeno6Xn31VQDAFVdcgd27d2PhwoXIysoCACxYsACTJk3ChAkTpMds2rQJb731FmbOnCn7uTQaxb1dREQUQS6XCzabLdpl1MuePXtw6623YtiwYQCATp064b333lP8iIHiekyClZeXh8zMTL9lWVlZyMvLA1DxS3XgwAG/Nmq1GpmZmVIbuTQaDcrKyoKuUendZkREVJ3L5YLFYkFMTEzI6wp3j4ndbve7OZ3Oas85aNAgbN26FT/99BMA4Ntvv8Xu3buD+vIfDY2+CyA/Px8pKSl+y1JSUmC323HhwgUUFRXB6/UGbPPjjz/WuF6n0+m3oe12OzweDxwOB/R6PRITE2XVJwgCXC5XEK+IiIiiTQwlWq0WcXFxIa8v3IcLt2/f3m/53Llz8cwzz/gtmzlzJux2O7p27YqYmBh4vV688MILuOeee0KuI5IafTCJlJycHDz77LN+yx588EEYDAbY7XYAqDOc+Hw+FBUVQa1u9B1TRETNRuVQYjabUV5eHu2Sqjl16hSMRqP0s16vr9bm/fffx+rVq7FmzRp069ZNmoeZlpaG8ePHN2S5QWn0fzFTU1NRUFDgt6ygoABGoxFxcXFITk5GTExMwDapqak1rnfWrFmw2WzS7dSpUwCA+Ph4GI1G2O12lJSU1Ph4n88Hq9UKj8cDnU4XwiskIqJw8vl8Nd5XNZSE64tluIdyjEaj3y1QMHniiScwc+ZM3H333ejRowfGjh2Lxx9/HDk5OWF5TZHS6INJRkYGtm7d6rcsNzcXGRkZAACdToe+ffv6tfH5fNi6davUJhC9Xl9tw4sSExNrDSdiKHG73UhKSmKPCRGRgrhcLrjd7oDLIxFKgOgclVNWVlbtNcTExNQazJRAcUM5paWl+L//+z/p5xMnTuDw4cNo2bIlOnTogFmzZuH06dN45513AAAPPfQQlixZghkzZuD+++/Hl19+iffffx+bNm2S1pGdnY3x48ejX79+GDBgABYtWgSHwyEdpVMf4jBO1WGdyqEkOTkZQMWx5EREpAwqlQpFRUXQarVSj3YkQ0m0DB8+HC+88AI6dOiAbt264dChQ1iwYAHuv//+aJdWK8UFk/379+O6666Tfs7OzgYAjB8/HqtWrcLZs2dx8uRJ6f709HRs2rQJjz/+OBYvXox27drhjTfekA4VBoC77roL586dw5w5c5Cfn4/evXtjy5Yt1SbEBqtqODEYDH6hRKfTceIrEZHC6HQ6eL1eWCwW6QtkpENJNK6V8/rrr2P27Nl45JFHUFhYiLS0NDz44IOYM2dOyHVEkuKCyZAhQ2o9vDbQWV2HDBmCQ4cO1breqVOnYurUqaGWV03lcGK326FSqaRQQkREyqNSqZCUlAS73Y5z584BqAgrkewpiUYwSUxMxKJFi7Bo0aKQn7chNf6+KgUwGAzS//V6PUMJEZHCqVQqv7mDRqOxSQzfNAXcCiES55SoVCrExsaivLy81qN1iIgo+txuN6xWK7RaLbRaLaxWa0SH3qMx+bWxUtxQTmNSdaKrTqdDSUmJ7POcEBFRw/P5fLDb7dDr9TCbzQAAq9UqzTmJRK93NIZyGiv2mNRToFAC1H0oMRERRZfL5YJGo5HmlKjVapjNZmi1WlgsFh60EGUMJvVQUygRVQ4nDocjSlUSEVEg4uTXynNKIh1OOJQjH4NJkOoKJSIxnJSWloblktlERBQeOp0u4B/4SIYTBhP5GEyCZLfb6wwlosTERCQkJAQ8wyAREUVHbX/cOawTfQwmQRBPyhPM5CiDwQCtVhvhyoiIKFyqhpNw9Hqzx0Q+HpUTBLVaDZPJFPSMbY2GbzMRUWMihhOr1QqbzRby+nhUjnzsMQmC0+lkyCAiaibEcBITExPtUpoV/pUNQm2nyicioqZHrVb7nSG2vthjIh+DCRERUS3Ccap6BhP5OJRDREREisEeEyIioghjj4l87DEhIiIixWCPCRERUQNoDr0d4cBgQkREFGEcypGPQzlERESkGOwxISIiijD2mMjHYEJERBRhDCbyBR1MTpw4gV27duG3335DWVkZWrVqhT59+iAjIwOxsbGRqJGIiIiaCdnBZPXq1Vi8eDH279+PlJQUpKWlIS4uDufPn8fPP/+M2NhY3HPPPXjyySfRsWPHSNZMRETUqLDHRD5ZwaRPnz7Q6XS477778J///Aft27f3u9/pdCIvLw9r165Fv379sGzZMowaNSoiBRMRETU2DCbyyQomL774IrKysmq8X6/XY8iQIRgyZAheeOEF/Prrr+Gqj4iIKKp8Pl+0S2hWZAWT2kJJVWazGWazud4FKVlzSKpERHSRz+eD3W4PeT3sMZGv3kflFBYWorCwsFqS7NmzZ8hFKZVer4fH44l2GURE1AB8Ph+sViu8Xm/I62IwkS/oYHLgwAGMHz8eP/zwAwRBAFDxRgmCAJVKFZYNqFQ+nw82mw2xsbHQ6XSyH8cwQ0TUuIihxO12w2QyRbucZiXoYHL//ffjsssuw5tvvomUlJRmkd5ELpcLMTExsFgsSE5OlhVOHA4H3G53A1RHREThUDmUJCcnh+XLJXtM5As6mPzyyy/4z3/+g86dO0eiHsUzGo24cOGCrHBSUlKC0tJSGAyGBqyQiIhqI/b2B1I1lOh0OgaTBhb0tXKGDh2Kb7/9NhK1NApqtRpmsxlarRYWiwUulytgu5KSEtjtdiQkJECj4Ql2iYiUwuVyBQwngUIJNbyg/2K+8cYbGD9+PI4cOYLu3btDq9X63T9ixIiwFadUYjixWq0Be07EUGI0GqHX61FeXh7FaomIqDJBEFBUVITWrVtDra74fh7pUMIeE/mCDiZ5eXn46quv8Nlnn1W7r6lPfq2spnBSOZQkJibW2KNCRETRodPpUFpaCqvVKp3eItI9JQwm8gU9lDNt2jTce++9OHv2LHw+n9+tuYQSUdVhHavV6hdKiIhIedRqNZKSkuB2u2GxWGCxWDh8oyBBBxOr1YrHH38cKSkpkain0RHDiSAIKC8vR2xsLEMJEZHCabVamM1muN1uuN1umM3miIYSscckHLemLuihnNtvvx3btm3DpZdeGol6FG/nzp3VDv9NTEyE0WgEUDFO+c0330httFot4uLiMGvWLBQVFTV4vUQNoTl8WFLTkJSUhNGjR6O8vBwmk0kKI6dOnYLFYgk4KbbqXMr64FCOfEEHk8suuwyzZs3C7t270aNHj2ob7NFHHw1bcY2BGErsdjtKS0uRnJyM5ORkqWuQiIiUJykpCWq1GoWFhQDg99ld2+HEFHn1OionISEBO3bswI4dO/zuU6lUzSqYVA4lJSUlACBNhBV/wYmISFl0Oh1iYmJw7tw56Qtk1c/ucIcT9pjIF/QckxMnTtR4++WXX8JS1NKlS9GpUyfExsZi4MCB2LdvX63t169fj65duyI2NhY9evTA5s2b/e4XBAFz5sxBmzZtEBcXh8zMTBw/fjykGgOFEvG5LBYLPB4PkpOTeQ4TIiKFUavVKCoq8uvVFifCajQaJCcnhz0ANOU5Jj6fD2+99VbY1hd0MKnJ2bNn8fLLL4e8nnXr1iE7Oxtz587FwYMH0atXL2RlZUndbVXt2bMHY8aMwcSJE3Ho0CGMHDkSI0eOxJEjR6Q2L7/8Ml577TWsWLECe/fuhcFgQFZWVr3PL1JTKBFVDidJSUmK/EUiImqunE5nwLO5RjqcNFVqtRr/+Mc/wrY+lRBkf9X9998fcPlvv/2Gffv2BfxDHYyBAweif//+WLJkCYCKJNa+fXtMmzYNM2fOrNb+rrvugsPhwKeffiotu/rqq9G7d2+sWLECgiAgLS0Nf/nLX/DXv/4VAGCz2ZCSkoJVq1bh7rvvllWX3W7HjBkzoFarYTAYagwllalUKrRu3RqCIOCdd97h5FcioigTJ79euHChxnmAWq1WukaOGFTeeecd2Gw26UAHuex2O0wmE5588kno9fqQ63c6nXjppZfqVUskTZkyBVdccQWmTp0a8rqC7jEpKiryu1ksFuzbtw/bt2/H3//+95CKcblcOHDgADIzMy8WqFYjMzMTeXl5AR+Tl5fn1x4AsrKypPYnTpxAfn6+XxuTyYSBAwfWuM6aaDQaJCQkyAolwMWzC/p8vqCeh4iIoicSPSdNeSgHAH7//XcsWLAAnTp1wp///Gfk5OT4dRgEI+gJEBs2bAi4/IUXXsDGjRvx4IMP1qsQoGLykdfrrXaOlJSUFPz4448BH5Ofnx+wfX5+vnS/uKymNoE4nU44nU7pZ7vdDo1Gg9LS0qB6hQRB4NlfiYgaGTGcJCcnIykpKdrlKN5HH30EACgtLcX333+P7777Dl988QVuueWWoNcVtjkmY8aMwfbt28O1uqjLycmByWSSbu3bt4fH44HD4Yh2aURE1ADEcBITExOW9TWl3pLMzMyAl6aJj4/HwIED8cADD2DRokX1WnfYgsm3336LPn36hLSO5ORkxMTEoKCgwG95QUEBUlNTAz4mNTW11vbiv8GsEwBmzZoFm80m3U6dOhWWS18TEVHj4Xa7wzI/sKkN5ezfvx+dOnUCUDHHVPTGG29g7NixIa076KGc7OzsassKCgrw0UcfYdiwYX73L1iwIKh163Q69O3bF1u3bsXIkSMBVEx+3bp1a40TajIyMrB161ZMnz5dWpabm4uMjAwAQHp6OlJTU7F161b07t0bQMWwzN69e/Hwww/XWIterw/LRCUiImrc+KW0OpfLJV1+pUePHjh8+DAuueQSDBo0CM8880xI6w46mBw6dCjg8v79+6OwsFA6rLe+qS47Oxvjx49Hv379MGDAACxatAgOhwMTJkwAAIwbNw5t27ZFTk4OAOCxxx7D4MGD8eqrr2LYsGFYu3Yt9u/fj5UrV0p1TJ8+Hc8//zy6dOmC9PR0zJ49G2lpaVL4ISIiiqSmdoK1Ll26YN++fUhMTITD4YDNZgNQcTqN8+fPh7TuoIPJtm3bQnrCutx11104d+4c5syZg/z8fPTu3RtbtmyRJq+ePHkSavXFEahBgwZhzZo1ePrpp/HUU0+hS5cu2LhxI7p37y61mTFjBhwOByZPnozi4mJce+212LJlC2JjYyP6WoiIiICmF0ymTZuGSZMmoVOnTujZsyfefPNNLFmyBLt27Qr5Ir+KPC3p1KlTaxy6CTTBdtSoURg1alSN61OpVJg3bx7mzZsXrhKJiIiarQceeAAtW7bETz/9hEmTJuHuu+/GJZdcgrNnz4Z8LhNZweTGG2/EM888g6uvvrrWdiUlJVi2bBkSEhIwZcqUkAojIiJqKppajwkA3H777dL/P/vsM2zYsAEul0v2iUtrIiuYjBo1CnfccQdMJhOGDx+Ofv36IS0tDbGxsSgqKsLRo0exe/dubN68GcOGDcMrr7wSUlFK9sc//hHx8fGy27tcLpSXl2P+/Plo2bJlBCsjCl19P/R4NVZqLM6fP4/169cjNjYWOp1O1mPKysrwzjvvhPS8TTGYVKbRaGoduQhqXXIaTZw4Effeey/Wr1+PdevWYeXKldJEF5VKhSuvvBJZWVn45ptvcMUVV4SlMCIiImp+ZM8x0ev1uPfee3HvvfcCqLjezIULF2A2m6HVaiNWIBERUWPX1HtMwqnek1/FM6ISERFR7RhM5AvbmV+JiIiIQqXIw4WJiIiaEvaYyMdgQkREFGEMJvJxKIeIiIgUI+hgMn78eOzcuTMStRARESlOWVlZyOuI1tWFT58+jXvvvRdmsxlxcXHo0aMH9u/fH/LriaSgg4nNZkNmZia6dOmC+fPn4/Tp05GoS5F0Oh18Pl+0yyAiogZSUlICh8MR8nqiEUyKiopwzTXXQKvV4rPPPsPRo0fx6quvIikpKeTXE0lBB5ONGzfi9OnTePjhh7Fu3Tp06tQJN910Ez744AO43e5I1KgYarUadrs96HDCMENE1PiUlJTAbrfDYDBEu5R6eemll9C+fXu8/fbbGDBgANLT03HDDTfg0ksvjXZptarXHJNWrVohOzsb3377Lfbu3YvOnTtj7NixSEtLw+OPP47jx4+Hu05FcDqd8Hq9sFqtssOG2+2Gy+WKcGVERBROYigxGo1BXYakJtHoMfn444/Rr18/jBo1Cq1bt0afPn3wz3/+M+TXEmkhTX49e/YscnNzkZubi5iYGNx888347rvvcOWVV2LhwoXhqlExBEGAyWSC2+2WFU5cLheKioqaxSxqIqKmonIoSUxMDMs6wx1M7Ha7383pdFZ7zl9++QXLly9Hly5d8Pnnn+Phhx/Go48+in/9619heU2REnQwcbvd+M9//oNbbrkFHTt2xPr16zF9+nScOXMG//rXv/DFF1/g/fffx7x58yJRb9RpNBokJyfXGU5cLhcsFgs0Go3sC0UREVHkeTyeGu+LRCiJhPbt20tnYDeZTMjJyanWxufz4aqrrsL8+fPRp08fTJ48GZMmTcKKFSuiULF8QZ/HpE2bNvD5fBgzZgz27duH3r17V2tz3XXXoUWLFmEoT5l0Oh2Sk5NhsVhgtVphNpuhVl/MeGIo0Wq1MBqNAZMsERFFh9vthsPhqPalMZKhJNznMTl16hSMRqO0XK/XV2vbpk0bXHnllX7LrrjiCvznP/8JuY5ICjqYLFy4EKNGjUJsbGyNbVq0aIETJ06EVJjS1RROKocSs9lcazInIqKGp9VqUVpaCo1GIwWQSPeUhDuYGI1Gv2ASyDXXXINjx475Lfvpp5/QsWPHkOuIpKCDydixYyNRR6NUNZwYjUZYrVYplFTuRSEiImXQaDRISEiA3W6XljWG4ZtgPf744xg0aBDmz5+P0aNHY9++fVi5ciVWrlwZ7dJqxVPSh0gMJ+fOnfPrKWEoISJSLoPBAI1GI4WTSIeSaJySvn///tiwYQNmzZqFefPmIT09HYsWLcI999wTch2RxGBCREQUYdG6Vs4tt9yCW265JeTnbUj8Wh8icU6J2HPi8XiCOs8JERE1PIfDIQ3fGI1G2O12lJSURLssAntMQlJ1oqtara42IZaIiJTF4/HA4XCgRYsWfsM34rBOpIZ0eE4reRhM6ilQKAECT4glIiLlcLvdSEhI8Asg4v8jFU6iNZTTGHEopx5qCiUiMZy43W4UFRVBEIQoVUpERFVptdqA179JTEzksI4CsMckSB6PB8XFxXUefSOGk8LCQl4rh4hIQTSamv/0RarnhD0m8jGYBEGlUsFmsyE2NlbWIcE6nQ5JSUlhuWQ2ERE1jKrhJCYmJuR1MpjIx2ASBL1ej5iYmKDOU6LVanmtHCKiRqZyOAl0uneKHAaTIPh8PhiNxqBPnsaTrRERNT5iODl//nzI62KPiXwMJkFwuVwMGUREzUhiYmJYLsTKYCIf/8oSERHVIj4+PtolNCvsMSEiIoow9pjIx2BCREQUYQwm8nEoh4iIiBSDPSZEREQRxh4T+RhMiIiIIozBRD4O5RAREZFisMeEiIgowthjIp+iekw+/PBD3HDDDTCbzVCpVDh8+LCsx61fvx5du3ZFbGwsevTogc2bN/vdLwgC5syZgzZt2iAuLg6ZmZk4fvx4BF4BERFRdWIwCcetqVNUMHE4HLj22mvx0ksvyX7Mnj17MGbMGEycOBGHDh3CyJEjMXLkSBw5ckRq8/LLL+O1117DihUrsHfvXhgMBmRlZaG8vDwSL4OIiIjqSVFDOWPHjgUA/Prrr7Ifs3jxYtx444144oknAADPPfcccnNzsWTJEqxYsQKCIGDRokV4+umnceuttwIA3nnnHaSkpGDjxo24++67w/46iIiIKuNQjnyK6jGpj7y8PGRmZvoty8rKQl5eHgDgxIkTyM/P92tjMpkwcOBAqU0gTqcTdrvd70ZERFQfHMqRr9EHk/z8fKSkpPgtS0lJQX5+vnS/uKymNoHk5OTAZDJJt/bt24e5ciIiIqoqasFk9erVSEhIkG67du2KVikBzZo1CzabTbqdOnUq2iUREVEUeDyekNfBHhP5ojbHZMSIERg4cKD0c9u2beu1ntTUVBQUFPgtKygoQGpqqnS/uKxNmzZ+bXr37l3jevV6PfR6vd8yjUZRU3KIiCjCXC4XbDZbyOvhHBP5otZjkpiYiM6dO0u3uLi4eq0nIyMDW7du9VuWm5uLjIwMAEB6ejpSU1P92tjtduzdu1dqI5dGo0FZWVnQNQqCEPRjiIgoulwuFywWC2JiYqJdSrOiqC6A8+fP4+TJkzhz5gwA4NixYwAqej3Eno9x48ahbdu2yMnJAQA89thjGDx4MF599VUMGzYMa9euxf79+7Fy5UoAFely+vTpeP7559GlSxekp6dj9uzZSEtLw8iRI4Oqz+PxwOFwQK/XIzExUdZjBEGAy+UK6nmIiCi6xFCi1Wrr/cW5MvaYyKeoya8ff/wx+vTpg2HDhgEA7r77bvTp0wcrVqyQ2pw8eRJnz56Vfh40aBDWrFmDlStXolevXvjggw+wceNGdO/eXWozY8YMTJs2DZMnT0b//v1RWlqKLVu2IDY2Nqj6PB4PDAYD7HY7SkpK6mzv8/lQVFTEHhMiokakcigxm81Qq0P/U8k5JvIpqsfkvvvuw3333Vdrm+3bt1dbNmrUKIwaNarGx6hUKsybNw/z5s0LsUIgPj4eer1eOny4pp4Tn88Hq9UKj8eDhISEkJ+XiIjCw+fz1XhfJEIJBUdRwaSxEMNITeFEDCVutxtJSUnwer0NXiMREQXmcrmg1Wqh0+mqLY9kKGkOvR3hwGBSTzWFk8qhJDk5GQAYTIiIFESlUqGoqMgvnDREKOEcE3kYTEJQNZwYDAa/UKLT6TjxlYhIYXQ6HbxeLywWi/QFksM3ysFgEqLK4cRut0OlUkmhhIiIlEelUiEpKQl2ux3nzp0DUBFWIhlK2GMiH2NhGBgMBun/er2eoYSISOFUKhWMRqP0s9FojGhPCY/KkY/BJETinBKVSoXY2FiUl5fLOpSYiIiix+12w2q1QqvVQqvVwmq1cuhdITiUE4KqE111Oh1KSkrqPJSYiIiix+fzwW63Q6/Xw2w2AwCsVqs05yQSvd4cypGPwaSeAoUSoPqE2KrX2yEiouhyuVzQaDR+c0rMZnNEwwmDiXwcyqmHmkKJKDExEUajEXa7HQ6HI0pVEhFRIOLk18pzStRqNcxmM7RaLSwWC4d1oojBJEh1hRKRGE5KS0vDcslsIiIKD51OF7DnIZLhhJNf5WMwCZLdbq8zlIgSExORkJAAt9vdQNUREVFdavvjHqlwwmAiH4NJEMST8gQz/mgwGKDVaiNcGRERhUvVcMJe74bFya9BUKvVMJlMQU+K0mj4NhMRNSZiOLFarbDZbCGvj5Nf5WOPSRCcTidDBhFRMyGGk5iYmJDXxaEc+RhMgiAIQrRLICKiBqRWq/3OEEuRx6//REREtQjHqeo5lCMfgwkREVGEMZjIx6EcIiIiUgz2mBAREUUYe0zkYzAhIiKKMAYT+TiUQ0RERIrBHhMiIqIIY4+JfAwmREREEcZgIh+HcoiIiEgx2GNCREQUYewxkY/BhIiIKMIYTOTjUA4REREpBntMiIiIauHz+UJeB3tM5GMwCUJz+IUgIqKLfD4f7HZ7WNbFvyHycCgnCHq9Hh6PJ9plEBFRA/D5fLBarfB6vdEupVlhMAmCz+eDzWaDy+UK6nEMM0REjYsYStxuN0wmU8jrE4dywnGrrxdffBEqlQrTp08P+fVEEoNJEFwuF2JiYmCxWGSHE4fDAbfbHeHKiIgoXCqHkuTkZGg0oc96iHYw+eabb/CPf/wDPXv2DPm1RBqDSZCMRiO0Wq2scFJSUoLS0lJotdoGqo6IiOoiCEKN91UNJTqdrgEri4zS0lLcc889+Oc//4mkpKRol1MnBpMgqdVqmM3mOsNJSUkJ7HY7EhISwpK2iYgoPFwuV8BwEslQEs0ekylTpmDYsGHIzMwM2+uJJP7FrAcxnFitVlgslmq/wGIoMRqN0Ov1KC8vj2K1RERUmSAIKCoqQuvWraFWV3w/j3RPSbgPF656pJBer4der6/Wfu3atTh48CC++eabkJ+7obDHpJ5q6jmpHEoSExOjXCUREVWl0+ng8XhgtVrh8/ka5fBN+/btYTKZpFtOTk61NqdOncJjjz2G1atXIzY2NgpV1o9igonb7caTTz6JHj16wGAwIC0tDePGjcOZM2fqfOzSpUvRqVMnxMbGYuDAgdi3b5/f/eXl5ZgyZQrMZjMSEhJwxx13oKCgIOSaq4YTq9XKUEJEpHBqtRpJSUlwu92wWCywWCwRDyXhHso5deoUbDabdJs1a1a15zxw4AAKCwtx1VVXQaPRQKPRYMeOHXjttdeg0WgUexi0YoJJWVkZDh48iNmzZ+PgwYP48MMPcezYMYwYMaLWx61btw7Z2dmYO3cuDh48iF69eiErKwuFhYVSm8cffxyffPIJ1q9fjx07duDMmTO4/fbbw1K3GE4EQUB5eTliY2MZSoiIFE6r1cJsNsPtdsPtdsNsNke0pyTcwcRoNPrdAg3jDB06FN999x0OHz4s3fr164d77rkHhw8fRkxMTMRebygUM8fEZDIhNzfXb9mSJUswYMAAnDx5Eh06dAj4uAULFmDSpEmYMGECAGDFihXYtGkT3nrrLcycORM2mw1vvvkm1qxZg+uvvx4A8Pbbb+OKK67A119/jauvvjrk2h0Oh/R/p9MJl8vVKLoCiYiaK0EQ/OZp2O12mM1mac5JU5CYmIju3bv7LTMYDDCbzdWWK4mit4DNZoNKpUKLFi0C3u9yuXDgwAG/mcZqtRqZmZnIy8sDUNGV5Xa7/dp07doVHTp0kNqEovKckjZt2sg+lJiIiKJDnPzqdrvRqlUrtGrVCm63W5pzEgnRPo9JY6KYHpOqysvL8eSTT2LMmDEwGo0B21gsFni9XqSkpPgtT0lJwY8//ggAyM/Ph06nqxZuUlJSkJ+fX+PzO51OOJ1O6edA10oINNG16tE6RESkLC6XCx6PB61bt5Z6t5OTk6W5gpHoOVHKRfy2b98ecg2RFrUek9WrVyMhIUG67dq1S7rP7XZj9OjREAQBy5cvj0p9OTk5fjOe27dv73d/TUffVJ0Qy7O+EhEpiyAISEpK8hty1+l0SE5OjnjPCdUtasFkxIgR1SbkABdDyW+//Ybc3Nwae0uAioQbExNT7QibgoICpKamAgBSU1PhcrlQXFxcY5tAZs2a5Tfj+dSpU9J9dR0SXDmcFBUV8ReciEhBdDpdwDNyRzKccChHvqgFk8TERHTu3Fm6xcXFSaHk+PHj+OKLL2A2m2tdh06nQ9++fbF161Zpmc/nw9atW5GRkQEA6Nu3L7RarV+bY8eO4eTJk1KbQPR6fbVZz0DF0UNyDgkWw4lGo+F8EyIiBaltmCZS4YTBRD7FzDFxu9248847cfDgQXz66afwer3SHJCWLVtKXW5Dhw7FbbfdhqlTpwIAsrOzMX78ePTr1w8DBgzAokWL4HA4pKN0TCYTJk6ciOzsbLRs2RJGoxHTpk1DRkZG0EfkaDQaOBwOtGzZUtYhweKx8oHmpxARkTKJ4USccxIXFxftkpoVxQST06dP4+OPPwYA9O7d2+++bdu2YciQIQCAn3/+GRaLRbrvrrvuwrlz5zBnzhzk5+ejd+/e2LJli9+E2IULF0KtVuOOO+6A0+lEVlYWli1bFnSNGo0GBoMhqPOUqFQqHjpMRNTIVA4n4ZgrqJTJr42BYoJJp06dar3io+jXX3+ttmzq1KlSD0ogsbGxWLp0KZYuXRpKifB4PIiPjw/6cc3hF4mIqKkRw0k4zhTOYCKfos9jojQejyfaJRARUQPS6XQwmUzRLqNZUUyPCRERkRJpNKH/qWSPiXwMJkRERBHGYCIfh3KIiIhIMdhjQkREFGHsMZGPwYSIiCjCGEzk41AOERERKQZ7TIiIiCKMPSbyMZgQERE1gOYQKsKBQzlERESkGOwxISIiijAO5cjHYEJERBRhDCbycSiHiIiIFIM9JkRERBHGHhP5GEyIiIhqUVZWFvI6GEzk41BOEHQ6HXw+X7TLICKiBlJSUgKHwxHtMpoV9pgEQa1Ww263Iz4+Hmq1/EzHMENE1PiUlJTAbrfDYDCEvC72mMjHYBIEp9MJr9cLq9UKs9ksK5y43W64XK4GqI6IiMJFDCVGoxExMTEhr4/BRD4O5QRBEASYTCa43W5YrdY6e0JcLheKioqaxS8SEVFTUTmUJCYmRrucZofBJEgajQbJycl1hhOXywWLxQKNRgOdTtfAVRIRUU08Hk+N90UqlIg9JuG4NXUMJvWg0+lqDSdiKNFqtUhKSmoWv0hERI2F2+0OOKE1kj0lDCbyMZjUU03hpHIoMZvNzeKXiIioMdFqtSgtLUVJSYm0jMM3ysHJryEQw4nFYoHVaoXRaITVapVCSTBH7hARUcPQaDRISEiA3W6XlkU6lHDyq3wMJiESw8m5c+f8ekoYSoiIlMtgMECj0UjhJNI9JQwm8vGvJxERESkGe0xCJM4p0el00lBOMOc5ISKihudwOFBWVgaj0QgAUs8Jh3Kij8EkBFUnuqrVar85J2azOdolEhFRFR6PBw6HAy1atPALIpEMJwwm8vErfT0FCiVA9aN1BEGIcqVERFSZ2+1GQkKCXwBJTEyE0WiE3W73O1qHGh57TOqhplAiqny0TlFREeLi4qJUKRERVaXVagNe/0YMKpHoOWGPiXwMJkHyeDwoLi6u8+gbMZwUFhbyWjlERAqi0dT8py9S4YTBRD4GkyCoVCrYbDbExsbKmtyq0+mQlJTES2YTETUiVcNJOC7iR/IxmARBr9cjJiYmqCNutFotr5VDRNTIVA4ner0+5PWxx0Q+BpMg+Hw+GI3GoA8D5mHDRESNjxhOzp8/H/K6GEzkYzAJgsvlYsggImpGEhMT4XQ6o11Gs8JgQkREVIv4+PiQ18EeE/kYTIiIiBpAcwgV4cBxCSIiIlIMRQWTZ555Bl27doXBYEBSUhIyMzOxd+/eOh+3dOlSdOrUCbGxsRg4cCD27dvnd395eTmmTJkCs9mMhIQE3HHHHSgoKIjUyyAiIvIjDuWE49bUKSqYXHbZZViyZAm+++477N69G506dcINN9yAc+fO1fiYdevWITs7G3PnzsXBgwfRq1cvZGVlobCwUGrz+OOP45NPPsH69euxY8cOnDlzBrfffntDvCQiIiIGkyAoKpj8+c9/RmZmJi655BJ069YNCxYsgN1ux//+978aH7NgwQJMmjQJEyZMwJVXXokVK1YgPj4eb731FgDAZrPhzTffxIIFC3D99dejb9++ePvtt7Fnzx58/fXXDfXSiIiISAZFBZPKXC4XVq5cCZPJhF69etXY5sCBA8jMzJSWqdVqZGZmIi8vDwBw4MABuN1uvzZdu3ZFhw4dpDaBOJ1O2O12vxsREVF9sMdEPsUFk08//RQJCQmIjY3FwoULkZubi+Tk5IBtLRYLvF4vUlJS/JanpKQgPz8fAJCfnw+dTocWLVrU2CaQnJwcmEwm6da+ffvQXhgRETVbDCbyRS2YrF69GgkJCdJt165dAIDrrrsOhw8fxp49e3DjjTdi9OjRfvNFGsqsWbNgs9mk26lTpxq8BiIiouYmaucxGTFiBAYOHCj93LZtWwCAwWBA586d0blzZ1x99dXo0qUL3nzzTcyaNavaOpKTkxETE1PtCJuCggKkpqYCAFJTU+FyuVBcXOzXa1K5TSB6vT4s10cgIiLiCdbki1qPSWJiohRAOnfujLi4uIDtfD5fjacD1ul06Nu3L7Zu3erXfuvWrcjIyAAA9O3bF1qt1q/NsWPHcPLkSakNERFRJHEoRz7FnPnV4XDghRdewIgRI9CmTRtYLBYsXboUp0+fxqhRo6R2Q4cOxW233YapU6cCALKzszF+/Hj069cPAwYMwKJFi+BwODBhwgQAgMlkwsSJE5GdnY2WLVvCaDRi2rRpyMjIwNVXXx2V10pERESBKSaYxMTE4Mcff8S//vUvWCwWmM1m9O/fH7t27UK3bt2kdj///DMsFov081133YVz585hzpw5yM/PR+/evbFlyxa/CbELFy6EWq3GHXfcAafTiaysLCxbtqxBXx8RETVfHMqRTzHBJDY2Fh9++GGd7X799ddqy6ZOnSr1oNS07qVLl2Lp0qWhlEhERM2Qx+MJeR0MJvIp7nBhJdNoFJPjiIioAbhcLthstmiX0azwL20QNBoNysrKgr4EtiAIEaqIiIgixeVywWKxICYmJuR1scdEPgaTIHg8HjgcDuj1eiQmJsp6jCAIcLlcEa6MiIjCSQwlWq22xqNGg8FgIh+HcoLg8XhgMBhgt9tRUlJSZ3ufz4eioiL2mBARNSKVQ4nZbIZazT+VDYnvdpDi4+NhNBrrDCc+nw9WqxUejwc6na4BKyQiotr4fL4a74tUKInGeUxycnLQv39/JCYmonXr1hg5ciSOHTsWltcTSQwm9ZCYmFhrOBFDidvtRlJSEtM2EZGCuFwuuN3ugMsj1VMSjWCyY8cOTJkyBV9//TVyc3Phdrtxww03wOFwhO11RQLnmNSTOMdEvOqw+HPlUCJefNDr9UanSCIiqkalUqGoqAharVbq0W6Kwzdbtmzx+3nVqlVo3bo1Dhw4gD/+8Y9RqqpuDCYhqBpODAaDXyjR6XSc+EpEpDA6nQ5erxcWi0X6AhnpUBLuya/i3x2RnOu7iYc9t2zZMuQ6IqnxR8Ioqzysc/bsWb9QQkREyqNSqZCUlAStVotz587h3LlzEe8pCfdQTvv27WEymaRbTk5Orc/v8/kwffp0XHPNNejevXtEXmO4sMckDMQjdYCK1MpQQkSkbCqVCkajUbrEidFobFTDN6dOnYLRaJR+rqu3ZMqUKThy5Ah2794d6dJCxmASInFOiUqlgl6vR3l5OUpKSmSf54SIiBqe2+2GzWaDVqsFAFit1oj2dod7KMdoNPoFk9pMnToVn376KXbu3Il27dqFXEOkMZiEoOpEV51Oh5KSkmoTYomISDl8Ph/sdjv0ej3MZjOAimAizjmJRDiJxgnWBEHAtGnTsGHDBmzfvh3p6ekhP39DYDCpp0ChBKg+Ibau7jUiImpYLpcLGo3Gb06J2WyOeDhpaFOmTMGaNWvw0UcfITExEfn5+QAAk8kUlrPZRkrjGVBTkJpCiajyhFilHy9ORNTciJNfK88pUavVMJvN0Gq1sFgsYT+iMhrnMVm+fDlsNhuGDBmCNm3aSLd169aF9bWFG3tMglRXKBGJPSfFxcUwGAwNWSIREdVCp9MF/AMvhpNI9Zw09HVuGuvlUNhjEiS73S77kODExEQkJCQEPMMgERFFR20BIdI9J1Q3BpMgiCflCSZFGwwGadY3EREpX9Vw4vF4Ql5nNIZyGisO5QRBrVbDZDIF3bWn0fBtJiJqTCoP64hnTA1FNI7KaazYYxIEp9PJkEFE1EyI4SQmJibapTQr/CsbhMY6kYiIiOpHrVbLPpFZbdhjIh+DCRERUS3Ccap6BhP5OJRDREREisEeEyIioghjj4l8DCZEREQRxmAiH4dyiIiISDHYY0JERBRh7DGRj8GEiIgowhhM5ONQDhERESkGe0yCoFar4fF4grqok9vthsfjCcspjYkiLSkpqV6PO3/+fJgrIYoMm80Gj8cT1MVVw3mtnHCsp6ljMAlCixYtYLVagzrZjs/ngyAI2Lx5c7XT2Ys7h1arDeq+cPL5fHC5XFCpVNUuBV7bfeEkCAJcLhcEQYBOp/N7f2u7L9y4PYDRo0cDgPReO53Oamc8DnTf+vXrw14Lt0cF7h8XhWN7eDweFBcXQ6VSyX6/fD5fyLUzmMjHYBIE8Rci2J1fEATo9Xq/qww7HA44HA4kJCTAYDAEfJzD4UBpaWmtbULhdrtht9uh0WiQlJQU8Bdeq9WiqKgIXq+3xjahEAQBRUVF8Hg8SEpKCngl5tjYWBQVFaG0tLTGNqHi9qhQXl6OpKQkxMTESNulpjYGg0FqExsbG9Y6uD0qcP+4KFzbw+12Q61WN3gwIfkYTIIUzC+zyOfzQavVSlclLikpQVlZGVq0aIHExMQaH6fT6aDRaKSdsba2wXK5XLDZbNDr9TCbzTW+Jp1OJ136226319o2WD6fD1arFT6fD61bt671qs2tW7eWrvKZnJwc9BWea8PtUcHn88FkMkGtVuPcuXO1dnUXFhYiOTkZLVq0gMVi4fbg/tGotof4OS53W4Wrp4M9JvJw8msDKykpgd1uh9FolLXjJiYmwmg0wm63o6SkJCw1uFwuWCwWaLVaWR+kOp0OycnJcLvd0gdlqMQPXbfbLeuDVLzKp/ihE8w8n9pwe1QQt4dGo4HFYqlz/F0QBFgsFng8HiQnJ3N7cP8A0HS3Rzgu4CoGk3DcmjoGkyCE+gsR7E4uCufOHuxOLgrnzh7sh64o3B++3B4VKm8POaFEVDmccHtw/xA1xe0RzERZCh2DSRDUanW9f8EdDke9dnJROHb2+u7konDs7PX90BWF68O3vh+6oqa6PYL9ABbDCbcH94/KmtL2cDqdQT8uEPaYyMdgEiSPxxP0oWMejwelpaX13slFoezsoe7kolB29lA/dEWhfviG+qEr4vaoIAgCt8f/p4Ttwf3jolC3h3jkWTiONGIwkY/BJAherxdAxU4jN5y4XC74fD4kJCSEZTJYfXb2cO3kovrs7OH60BXV98M3XB+6Im6PCtweF3F7XNSYt4cYSiJ9GDZVp9h3+6GHHoJKpcKiRYvqbLt06VJ06tQJsbGxGDhwIPbt2+d3f3l5OaZMmQKz2YyEhATccccdKCgoqFddWq0WKpVKVjhxOp3wer1Qq9VhPXwumJ093Du5KJidPdwfuqJgP3zD/aEr4vaowO1xEbfHRUrbHsXFxXVuj8qhJFznZWGPiXyKDCYbNmzA119/jbS0tDrbrlu3DtnZ2Zg7dy4OHjyIXr16ISsrC4WFhVKbxx9/HJ988gnWr1+PHTt24MyZM7j99tvrVZtKpYJer68znDidTng8HsTExETkhENydvZI7eQiOR++kfrQFcn98I3Uh66I26MCt8dF3B4XKWl7eDweeL3egEfaRCqUAAwmwVBcMDl9+jSmTZuG1atXyzpR0IIFCzBp0iRMmDABV155JVasWIH4+Hi89dZbACpOP/zmm29iwYIFuP7669G3b1+8/fbb2LNnD77++ut61ahWq2sNJ2Io0Wg0Yf+gqay2nT3SO7motg/fSH/oiur68I30h66I26MCt8dF3B4XKWV7JCUlQRAEOJ3OatsjUqGEgqOoYOLz+TB27Fg88cQT6NatW53tXS4XDhw4gMzMTGmZWq1GZmYm8vLyAAAHDhyA2+32a9O1a1d06NBBahOI0+mE3W73u1VWUzipHEr0en1Qr78+Au3sDbWTiwJ9+DbUh66opg/fhvrQFXF7VOD2uIjb4yIlbA/xtPmVw0lDhBL2mMinqEj40ksvQaPR4NFHH5XV3mKxwOv1IiUlxW95SkoKfvzxRwBAfn4+dDodWrRoUa1Nfn5+jevOycnBs88+67fsqaee8vtZDCdOpxMulwtutxs+n6/BQolI/ECx2+1wuVxwOp0NtpOLxA9fi8UCi8UCANLJtyL9oSsSP3ytVissFgv0ej3Ky8sb7ENXxO1RgdvjIm6Pi5SwPdRqNXQ6HdxuN8rLy6Xlkewp4Zlf5YtaMFm9ejUefPBB6edNmzZh8eLFOHjwoCLe+FmzZiE7O1v62WazYcWKFQFPthMTEwO32y2NWarVaqmNuEzsSYmUmJgYqNVqlJaWAgCMRqPfDtdQ4uPjpSspm0ymeh1eHaq4uDiUlZXB7XZDp9MhJiYGZWVlDVpDY90e9b3OSm3vL7fHRdw/KkRze3i9Xvh8PqhUFaelF4+2jImJgSAIAc/lIw75hHIG2Kq97tFej5JFLZiMGDECAwcOlH5ev349CgsL0aFDB2mZ1+vFX/7yFyxatAi//vprtXUkJycjJiam2hE2BQUFSE1NBQCkpqbC5XKhuLjYr9ekcptA9Hq9X6+H3W7H/Pnzg32ZRM3CO++8E+0SiCKupKQEJpMpqMfodDqkpqaiffv2YasjNTW1wXraokElhOMiAGFgtVpx9uxZv2VZWVkYO3YsJkyYgMsvvzzg4wYOHIgBAwbg9ddfB1CRbDt06ICpU6di5syZsNlsaNWqFd577z3ccccdAIBjx46ha9euyMvLw9VXXy2rPp/PhzNnzkAQBHTo0AGnTp2C0WgM4RU3PLvdjvbt2zfK2oHGXX9jrh1g/dHUmGsHmk79R48exeWXX16v4aby8vKwXb8IqAg74b6it5IoZo6J2WyG2Wz2W6bVapGamuoXSoYOHYrbbrsNU6dOBQBkZ2dj/Pjx6NevHwYMGIBFixbB4XBgwoQJACq6SydOnIjs7Gy0bNkSRqMR06ZNQ0ZGhuxQAlQMz7Rr107qRjMajY1yJwMad+1A466/MdcOsP5oasy1A42//rZt29Z7DkxsbGyTDhLhpphgItfPP/8sTR4DgLvuugvnzp3DnDlzkJ+fj969e2PLli1+E2IXLlwItVqNO+64A06nE1lZWVi2bFk0yiciIqJaKDqYBJpXEmjZ1KlTpR6UQGJjY7F06VIsXbo0jNURERFRuCnqPCaNgV6vx9y5cxv0cOBwacy1A427/sZcO8D6o6kx1w6wfgqeYia/EhEREbHHhIiIiBSDwYSIiIgUg8GEiIiIFIPBpJKHHnoIKpUKixYtqrPt0qVL0alTJ8TGxmLgwIHYt2+f3/3l5eWYMmUKzGYzEhIScMcdd1Q7Q204PPPMM+jatSsMBgOSkpKQmZmJvXv3Nor63W43nnzySfTo0QMGgwFpaWkYN24czpw50yjq//DDD3HDDTfAbDZDpVLh8OHDsh63fv16dO3aFbGxsejRowc2b97sd78gCJgzZw7atGmDuLg4ZGZm4vjx42GtHaj7PVRq3Tt37sTw4cORlpYGlUqFjRs31vmY7du346qrroJer0fnzp2xatWqam2CfT/qIycnB/3790diYiJat26NkSNH4tixY3U+Tinv/fLly9GzZ0/pnCQZGRn47LPPGkXtVb344otQqVSYPn16re2UWn+TJpAgCILw4YcfCr169RLS0tKEhQsX1tp27dq1gk6nE9566y3h+++/FyZNmiS0aNFCKCgokNo89NBDQvv27YWtW7cK+/fvF66++mph0KBBYa979erVQm5urvDzzz8LR44cESZOnCgYjUahsLBQ8fUXFxcLmZmZwrp164Qff/xRyMvLEwYMGCD07du31scppf533nlHePbZZ4V//vOfAgDh0KFDdT7mq6++EmJiYoSXX35ZOHr0qPD0008LWq1W+O6776Q2L774omAymYSNGzcK3377rTBixAghPT1duHDhQthql/MeKrFuQRCEzZs3C3/729+EDz/8UAAgbNiwodb2v/zyixAfHy9kZ2cLR48eFV5//XUhJiZG2LJli9Qm2PejvrKysoS3335bOHLkiHD48GHh5ptvFjp06CCUlpbW+Bglvfcff/yxsGnTJuGnn34Sjh07Jjz11FOCVqsVjhw5ovjaK9u3b5/QqVMnoWfPnsJjjz1WYzul1t/UMZgIgvD7778Lbdu2FY4cOSJ07NixzmAyYMAAYcqUKdLPXq9XSEtLE3JycgRBqPiDq9VqhfXr10ttfvjhBwGAkJeXF5HXILLZbAIA4YsvvqixjZLr37dvnwBA+O2332pso7T6T5w4ITuYjB49Whg2bJjfsoEDBwoPPvigIAiC4PP5hNTUVOGVV16R7i8uLhb0er3w3nvvha3mut5DpdZdlZxgMmPGDKFbt25+y+666y4hKytL+jnY9yNcCgsLBQDCjh07amyj1PdelJSUJLzxxhsB71Ni7SUlJUKXLl2E3NxcYfDgwbUGEyXW3xw0+6Ecn8+HsWPH4oknnkC3bt3qbO9yuXDgwAFkZmZKy9RqNTIzM5GXlwcAOHDgANxut1+brl27okOHDlKbSHC5XFi5ciVMJhN69erV6OoHKq7irFKp/C642Jjqr0teXp5fXUDFNaHEuk6cOIH8/Hy/NiaTCQMHDgxb7XLeQyXWXV911V6f9yNcxCsNt2zZssY2Sn3vvV4v1q5dC4fDgYyMjEZT+5QpUzBs2LBqdQWixPqbA0Wf+bUhvPTSS9BoNHj00UdltbdYLPB6vX6nvAeAlJQU/PjjjwCA/Px86HS6an9cU1JSkJ+fH5a6K/v0009x9913o6ysDG3atEFubi6Sk5MbTf2i8vJyPPnkkxgzZkyN19RQcv1y5OfnB6xdrEv8t7Y2oZLzHiqx7vqqqXa73Y4LFy6gqKgo6PcjHHw+H6ZPn45rrrkG3bt3r7Gd0t777777DhkZGSgvL0dCQgI2bNiAK6+8slHUvnbtWhw8eBDffPONrPZKq7+5aFY9JqtXr0ZCQoJ027FjBxYvXoxVq1ZBpVJFu7w6Va1/165dAIDrrrsOhw8fxp49e3DjjTdi9OjRKCwsjHK11dVUP1AxEXb06NEQBAHLly+PYpWB1VY7UX1MmTIFR44cwdq1a6NdSlAuv/xyHD58GHv37sXDDz+M8ePH4+jRo9Euq06nTp3CY489htWrV/OCegrXrILJiBEjcPjwYem2Z88eFBYWokOHDtBoNNBoNPjtt9/wl7/8BZ06dQq4juTkZMTExFQ7wqOgoACpqakAgNTUVLhcLhQXF9fYJhz19+vXDwBgMBjQuXNnXH311XjzzTeh0Wjw5ptvNpr6xVDy22+/ITc3t9YrkEar/ppqD1ZqamqdtYvLamoTKjnvoRLrrq+aajcajYiLi6vX+xGqqVOn4tNPP8W2bdvQrl27Wtsq7b3X6XTo3Lkz+vbti5ycHPTq1QuLFy9WfO0HDhxAYWEhrrrqKunzfseOHXjttdeg0Wjg9XoVXX9z0qyCSWJiIjp37izdJk+ejP/9739+f3DS0tLwxBNP4PPPPw+4Dp1Oh759+2Lr1q3SMp/Ph61bt0rjrH379oVWq/Vrc+zYMZw8ebLGsdj61B8XFxewnc/ng9PpbBT1i6Hk+PHj+OKLL2A2m2tdR7Tql/ve1yUjI8OvLgDIzc2V6kpPT0dqaqpfG7vdjr1794b03lcm5z1UYt31VVft9Xk/6ksQBEydOhUbNmzAl19+ifT09JDrj/Z7X9vnjZJqHzp0KL777rtqXzDuueceHD58GDExMYquv1mJ9uxbpQl0VM71118vvP7669LPa9euFfR6vbBq1Srh6NGjwuTJk4UWLVoI+fn5UpuHHnpI6NChg/Dll18K+/fvFzIyMoSMjIyw1lpaWirMmjVLyMvLE3799Vdh//79woQJEwS9Xu93+J5S63e5XMKIESOEdu3aCYcPHxbOnj0r3ZxOp+Lrt1qtwqFDh4RNmzYJAIS1a9cKhw4dEs6ePSu1GTt2rDBz5kzp56+++krQaDTC3//+d+GHH34Q5s6dG/DwwxYtWggfffSR8L///U+49dZbI3K4cG3voVLrFoSKoyoOHTokHDp0SAAgLFiwQDh06JB0JNfMmTOFsWPHSu3Fw4WfeOIJ4YcffhCWLl0a8HDhun6nwuHhhx8WTCaTsH37dr/f97KyMqmNkt/7mTNnCjt27BBOnDgh/O9//xNmzpwpqFQq4b///a/iaw+k6lE5ja3+porBpIpAwaRjx47C3Llz/Za9/vrrQocOHQSdTicMGDBA+Prrr/3uv3DhgvDII48ISUlJQnx8vHDbbbf5/cEKhwsXLgi33XabkJaWJuh0OqFNmzbCiBEjhH379jWK+sXDbAPdtm3bpvj633777YC1V6518ODBwvjx4/0e9/777wuXXXaZoNPphG7dugmbNm3yu9/n8wmzZ88WUlJSBL1eLwwdOlQ4duxYWGsXhNrfQyXXvW3btoDvu1jv+PHjhcGDB1d7TO/evQWdTidccsklwttvv11tvXX9ToVDTb/vletR8nt///33Cx07dhR0Op3QqlUrYejQoVIoUXrtgVQNJo2t/qaKVxcmIiIixWhWc0yIiIhI2RhMiIiISDEYTIiIiEgxGEyIiIhIMRhMiIiISDEYTIiIiEgxGEyIiIhIMRhMiIiISDEYTIgIAPDmm2/ihhtuiPjzbNmyBb1794bP54v4cxFR48NgQkQoLy/H7NmzMXfu3Ig/14033gitVovVq1dH/LmIqPFhMCEifPDBBzAajbjmmmsa5Pnuu+8+vPbaaw3yXETUuDCYEDUh586dQ2pqKubPny8t27NnD3Q6XbXLt1e2du1aDB8+3G/ZkCFDMH36dL9lI0eOxH333Sf93KlTJzz//PMYN24cEhIS0LFjR3z88cc4d+4cbr31ViQkJKBnz57Yv3+/33qGDx+O/fv34+eff67/iyWiJonBhKgJadWqFd566y0888wz2L9/P0pKSjB27FhMnToVQ4cOrfFxu3fvRr9+/er1nAsXLsQ111yDQ4cOYdiwYRg7dizGjRuHe++9FwcPHsSll16KcePGofL1Qjt06ICUlBTs2rWrXs9JRE0XgwlRE3PzzTdj0qRJuOeee/DQQw/BYDAgJyenxvbFxcWw2WxIS0ur9/M9+OCD6NKlC+bMmQO73Y7+/ftj1KhRuOyyy/Dkk0/ihx9+QEFBgd/j0tLS8Ntvv9XrOYmo6WIwIWqC/v73v8Pj8WD9+vVYvXo19Hp9jW0vXLgAAIiNja3Xc/Xs2VP6f0pKCgCgR48e1ZYVFhb6PS4uLg5lZWX1ek4iaroYTIiaoJ9//hlnzpyBz+fDr7/+Wmtbs9kMlUqFoqKiOtfr9XqrLdNqtdL/VSpVjcuqHh58/vx5tGrVqs7nJKLmhcGEqIlxuVy49957cdddd+G5557DAw88UK23ojKdTocrr7wSR48erXZf1eGXX375JSw1lpeX4+eff0afPn3Csj4iajoYTIiamL/97W+w2Wx47bXX8OSTT+Kyyy7D/fffX+tjsrKysHv37mrLP/roI3z44Yf4+eef8cILL+Do0aP47bffcPr06ZBq/Prrr6HX65GRkRHSeoio6WEwIWpCtm/fjkWLFuHdd9+F0WiEWq3Gu+++i127dmH58uU1Pm7ixInYvHkzbDab3/Jhw4bh5ZdfxpVXXomdO3di2bJl2LdvH959992Q6nzvvfdwzz33ID4+PqT1EFHToxIqH8NHRM3WqFGjcNVVV2HWrFkAKs5j0rt3byxatCisz2OxWHD55Zdj//79SE9PD+u6iajxY48JEQEAXnnlFSQkJET8eX799VcsW7aMoYSIAmKPCREFFKkeEyKi2jCYEBERkWJwKIeIiIgUg8GEiIiIFIPBhIiIiBSDwYSIiIgUg8GEiIiIFIPBhIiIiBSDwYSIiIgUg8GEiIiIFIPBhIiIiBTj/wGhdR3xVn/scAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "ax = sim_static.plot_eps(z=0.01, freq=freq0)\n", + "ax.set_aspect(\"equal\")" + ] + }, + { + "cell_type": "markdown", + "id": "d120bf86-54c3-4af2-b3eb-33726288d008", + "metadata": {}, + "source": [ + "### Define design region\n", + "\n", + "Next, we define the design region as a pixelated grid of permittivity values that get generated from our optimization parameters.\n", + "\n", + "We first define the overall geometry as a `td.Box` and also the number of pixels in x and y staticd on our design region resolution." + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "209f2e58-fd7d-42f7-bb6d-459f41511250", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "451 451\n" + ] + } + ], + "source": [ + "nx = (int(lx / dl_design_region) // 2) * 2 + 1\n", + "ny = (int(ly / dl_design_region) // 2) * 2 + 1\n", + "print(nx, ny)\n", + "\n", + "design_region_geo = td.Box(size=(lx, ly, lz), center=(0, 0, 0))" + ] + }, + { + "cell_type": "markdown", + "id": "9b02b2fa-ce32-49ad-9844-1af1a4ff864d", + "metadata": {}, + "source": [ + "Next we write a function to give us the pixelated array as a function of our parameters through our filtering and projection methods, which are used to make the resulting structures easier to fabricate. For more details, refer to our 4th lecture in the [inverse design 101 lecture series](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/), which focuses on fabrication constraints.\n", + "\n", + "We also wrap this function in another one that generates the entire `td.Structure` corresponding to the design region, for convenience later.\n", + "\n", + "> Note: we will enforce here that the design region has both 90 degree rotational symmetry and also x and y mirror symmetry, since we expect the final device to respect these symmetries to work in all orientations." + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "761964ee-79d7-486a-8bbb-03d2917ff844", + "metadata": {}, + "outputs": [], + "source": [ + "from tidy3d.plugins.autograd import make_filter_and_project, rescale\n", + "\n", + "filter_project = make_filter_and_project(radius, dl_design_region)\n", + "\n", + "\n", + "def get_density(params: np.ndarray, beta: float) -> np.ndarray:\n", + " \"\"\"Get the density of material (0, 1) as a function of the parameters (0,1)\"\"\"\n", + " fp0 = filter_project(params, beta=beta)\n", + "\n", + " # make sure density has 90 degree rotation symmetry\n", + " fp_90 = np.rot90(fp0)\n", + " fp_180 = np.rot90(fp_90)\n", + " fp_270 = np.rot90(fp_180)\n", + " fp_rot_symm = (fp0 + fp_90 + fp_180 + fp_270) / 4.0\n", + "\n", + " # make sure density has x=0 and y=0 mirror symmetry\n", + " fp_xy_symm_lr = np.fliplr(fp_rot_symm)\n", + " fp_xy_symm_ud = np.flipud(fp_rot_symm)\n", + " fp_xy_symm_lrud = np.flipud(np.fliplr(fp_rot_symm))\n", + " return (fp_rot_symm + fp_xy_symm_lr + fp_xy_symm_ud + fp_xy_symm_lrud) / 4.0\n", + "\n", + "\n", + "def make_eps(params: np.ndarray, beta: float) -> np.ndarray:\n", + " \"\"\"Construct density values and rescale them to relative permittivity values between air and silicon.\"\"\"\n", + " density = get_density(params, beta=beta)\n", + " return rescale(density, 1, n_si**2)\n", + "\n", + "\n", + "def make_custom_medium(params: np.ndarray, beta: float) -> td.Structure:\n", + " \"\"\"Make td.Structure containing custom medium with the permittivity data as a function of parameters.\"\"\"\n", + " eps_data = make_eps(params, beta).reshape((nx, ny, 1))\n", + " return td.Structure.from_permittivity_array(eps_data=eps_data, geometry=design_region_geo)" + ] + }, + { + "cell_type": "markdown", + "id": "d8dcf2f1-9bf8-49e6-9e1e-6221992c7210", + "metadata": {}, + "source": [ + "### Construct Simulation\n", + "\n", + "With all of these functions and variables defined, we can write a single function to return our variable `td.Simulation` as a function of our design parameters. This function first constructs the design region, a mesh override structure to control the resolution in this region, and then creates a copy of the original `td.Simulation` with all of the basic parameters." + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "1d67ccdc-758e-4648-aabc-4264b83086a1", + "metadata": {}, + "outputs": [], + "source": [ + "def get_sim(params, beta, include_extra_mnts: bool = True):\n", + " design_region = make_custom_medium(params, beta=beta)\n", + "\n", + " design_override = td.MeshOverrideStructure(\n", + " geometry=design_region.geometry,\n", + " dl=[dl_design_region, dl_design_region, dl_design_region],\n", + " )\n", + " grid_spec = sim_static.grid_spec.updated_copy(\n", + " override_structures=list(sim_static.grid_spec.override_structures) + [design_override]\n", + " )\n", + "\n", + " update_dict = dict(\n", + " structures=list(sim_static.structures) + [design_region],\n", + " grid_spec=grid_spec,\n", + " )\n", + "\n", + " # if include_extra_mnts is False, update sim to only include mode monitors, to save data.\n", + " if not include_extra_mnts:\n", + " update_dict[\"monitors\"] = []\n", + "\n", + " return sim_static.updated_copy(**update_dict)" + ] + }, + { + "cell_type": "markdown", + "id": "aaab90f1-970e-4fde-89d8-f08ae61f530f", + "metadata": {}, + "source": [ + "Let's test out our function. We'll make an initially random array of parameters between 0 and 1 and generate the static simulation to plot and inspect." + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "b33ee4ee-4f20-481b-af19-1b47fd5880ea", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "params0 = 0.5 * np.ones((nx, ny))\n", + "\n", + "\n", + "sim0 = get_sim(params0, beta=100)\n", + "sim0.plot_eps(z=0, freq=freq0)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "93091ed1-2e12-49f6-9107-4e297baed3aa", + "metadata": {}, + "source": [ + "Next we will set up an initial set of parameters to approximate the waveguide crossing represented by two intersecting waveguides. We'll use this as the starting point for our optimization since it already mostly works.\n", + "\n", + "Below we'll import a helper function to set this up automatically by looking at a base `Simulation` object and a parmeterization corresponding to the design region `Structure`." + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "e8ccfea8-62b6-4d6e-a49f-407d6c7cb64e", + "metadata": {}, + "outputs": [], + "source": [ + "from tidy3d.plugins.autograd.invdes import initialize_params_from_simulation\n", + "\n", + "params0 = initialize_params_from_simulation(\n", + " sim=sim_static,\n", + " param_to_structure=make_custom_medium,\n", + " params0=params0,\n", + " verbose=False,\n", + " beta=10,\n", + ")" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "d6f35a6d-a40f-4f08-8eda-2c44be692212", + "metadata": {}, + "outputs": [], + "source": [ + "sim0 = get_sim(params0, beta=10)" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "65933269-50cd-4dea-b32d-25f39492c7dc", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "ax = sim0.plot_eps(z=0.01, freq=freq0)\n", + "ax.set_aspect(\"equal\")" + ] + }, + { + "cell_type": "markdown", + "id": "3901f1da-a3de-4356-951a-455ba49ea03c", + "metadata": {}, + "source": [ + "The simulation with design region and initial parameters looks very similar to our initial waveguide crossing.\n", + "\n", + "### Component Modeler\n", + "Next we use the `ComponentModeler` to wrap the `Simulation`, together with a set of `Port` objects, in an object that makes it straighforward to generate scattering matrices. The `ComponentModeler` figures out which simulations need to run for a given specification and can perform parallel processing and final assembly for us. For more details, refer to [this tutorial](https://docs.flexcompute.com/projects/tidy3d/en/latest/notebooks/SMatrix.html).\n", + "\n", + "> Note: we will use `run_only` argument to only compute one column of the scattering matrix, helping save computation time. The structure works the same when turned 90 degrees so we can determine everything we need using the single port excitation + applying symmetry in the design region." + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "ee5efb82-3aba-450e-8e2f-28e8d185ffda", + "metadata": {}, + "outputs": [], + "source": [ + "from tidy3d.plugins.smatrix import ModalComponentModeler, Port\n", + "\n", + "# set up the ports\n", + "spc = -Lx / 2 + wvl0 / 5\n", + "\n", + "ports = []\n", + "for side in \"-+\":\n", + " for axis, dim in enumerate(\"xy\"):\n", + " center_axis = spc if side == \"-\" else -spc\n", + "\n", + " center = td.Box.unpop_axis(center_axis, (0, 0), axis=axis)\n", + " size = td.Box.unpop_axis(0, (lx / 2, td.inf), axis=axis)\n", + "\n", + " port = Port(\n", + " center=center,\n", + " size=size,\n", + " direction=\"+\" if side == \"-\" else \"-\",\n", + " name=f\"port_{dim}_{side}\",\n", + " )\n", + " ports.append(port)\n", + "\n", + "\n", + "def get_modeler(params, beta, include_extra_mnts: bool = True):\n", + " \"\"\"return the ComponentModeler given the parameters\"\"\"\n", + " sim = get_sim(params, beta, include_extra_mnts=include_extra_mnts)\n", + " return ModalComponentModeler(\n", + " simulation=sim,\n", + " ports=ports,\n", + " freqs=[freq0],\n", + " run_only=[(\"port_x_-\", 0)],\n", + " )" + ] + }, + { + "cell_type": "markdown", + "id": "fdc58bd7-c2d4-4155-a810-9b532f532bfd", + "metadata": {}, + "source": [ + "Let's first plot the fundamental simulation generated by the `ComponentModeler` to verify that everything looks sufficient. " + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "b862938c-4faa-401b-a921-e8de2a1adc16", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "modeler = get_modeler(params0, beta=10, include_extra_mnts=False)\n", + "\n", + "for name, sim in modeler.sim_dict.items():\n", + " ax = sim.plot(z=0)\n", + " ax.set_title(name)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "36ddbde9-64c9-4771-ab9e-8bf2c224f860", + "metadata": {}, + "source": [ + "## Defining Objective Function\n", + "\n", + "With our simulation fully defined as a function of our parameters, we are ready to define our objective function.\n", + "\n", + "First, we generate a matrix where the `ij` element represents our \"desired\" transmission from port `i` to port `j`.\n", + "\n", + "> In this case, since we only need to specify one port due to symmetry, we just describe our desired column of the scattering matrix. But this can be easily generalized to a full scattering matrix." + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "68ed0db5-5fac-4597-b4e7-900ac1f07eb7", + "metadata": {}, + "outputs": [], + "source": [ + "# the S-matrix column we want\n", + "s_matrix_desired = np.array([0.0, 0.0, 1.0, 0.0])\n", + "norm = np.linalg.norm(s_matrix_desired)" + ] + }, + { + "cell_type": "markdown", + "id": "cf38f627-60dc-43bf-b474-c17c2c89f85b", + "metadata": {}, + "source": [ + "The next step is writing a python function that combines everything together." + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "5fbae112-d758-4422-a766-e5daad61ac9a", + "metadata": {}, + "outputs": [], + "source": [ + "import tidy3d.web as web\n", + "\n", + "\n", + "def objective(params, beta: float, include_extra_mnts=False, verbose: bool = True) -> float:\n", + " \"\"\"Construct and run the modeler, then compare S-matrix column to desired.\"\"\"\n", + " modeler = get_modeler(params, beta=beta, include_extra_mnts=include_extra_mnts)\n", + " modeler_data = web.run(modeler, verbose=verbose, task_name=\"crossing\")\n", + " smatrix = modeler_data.smatrix()\n", + " smatrix = smatrix.sel(port_in=\"port_x_-\")\n", + " smatrix_array = np.abs(smatrix.data.squeeze()) ** 2\n", + " return np.linalg.norm(smatrix_array - s_matrix_desired) / norm" + ] + }, + { + "cell_type": "markdown", + "id": "94fa67f3-31e2-444c-b2d2-9aea353eef10", + "metadata": {}, + "source": [ + "### Differentiating the objective\n", + "\n", + "Finally, we can simply use `autograd` (`ag`) to transform this objective function into a function that returns our objective function value and our gradient, which we will feed to the optimizer." + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "6d166cf2-233a-4fef-a426-21ea5a65aebb", + "metadata": {}, + "outputs": [], + "source": [ + "grad_fn = ag.value_and_grad(objective)" + ] + }, + { + "cell_type": "markdown", + "id": "afabe317-16c2-4d01-a5c0-6678eb470735", + "metadata": {}, + "source": [ + "Let's try out our gradient function to verify that it works properly." + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "c6602755-bcb7-4ef2-8532-c3690788e8ca", + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "4e31df9714c944e9bd30978d112b3cdb", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "ab361f0542aa454293c2d742e324b402",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "00e03eeac2844a19b2eba220606776d1",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:17:28 EDT Started working on Batch containing 1 tasks.                       \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:17:28 EDT\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m1\u001b[0m tasks. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:17:29 EDT Maximum FlexCredit cost: 0.065 for the whole batch.                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:17:29 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.065\u001b[0m for the whole batch. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Use 'Batch.real_cost()' to get the billed FlexCredit cost after the\n",
+       "             Batch has completed.                                               \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after the\n", + "\u001b[2;36m \u001b[0mBatch has completed. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "13dfd83721d7416a90760bd797f5f5fd", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:17:30 EDT Batch complete.                                                    \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:17:30 EDT\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "16a1e72f313343838e5098f89900da18",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:17:33 EDT Started working on Batch containing 1 tasks.                       \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:17:33 EDT\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m1\u001b[0m tasks. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:17:37 EDT Maximum FlexCredit cost: 0.067 for the whole batch.                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:17:37 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.067\u001b[0m for the whole batch. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Use 'Batch.real_cost()' to get the billed FlexCredit cost after the\n",
+       "             Batch has completed.                                               \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after the\n", + "\u001b[2;36m \u001b[0mBatch has completed. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "cbb9520896e04d56bae0f97f97146ea2", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:18:05 EDT Batch complete.                                                    \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:18:05 EDT\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "699adcc2c086423dbf2200097984a4bd",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "J, grad = grad_fn(params0, beta=1)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 18,
+   "id": "04e85fa2-dfe9-4c07-bb67-447d1721dcd9",
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "J = 0.2659\n",
+      "gradient shape = (451, 451)\n",
+      "gradient norm = 0.0286\n"
+     ]
+    }
+   ],
+   "source": [
+    "print(f\"J = {J:.4f}\")\n",
+    "print(f\"gradient shape = {grad.shape}\")\n",
+    "print(f\"gradient norm = {np.linalg.norm(grad):.4f}\")"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "id": "2351757c-e19c-4715-8bf5-71a775f47602",
+   "metadata": {},
+   "source": [
+    "## Run Optimization\n",
+    "\n",
+    "Finally, we are ready to optimize our device. We will make use of the `optax` package to define an optimizer using the `adam` method, as we've done in the previous inverse design tutorials.\n",
+    "\n",
+    "We record a history of objective function values, and parameters, for visualization later."
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 19,
+   "id": "4a24ecd3-f2d1-43b0-88cd-8e0a41cc515a",
+   "metadata": {},
+   "outputs": [
+    {
+     "data": {
+      "image/png": "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",
+      "text/plain": [
+       "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 1\n", + "\tJ = 2.8238e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.8386e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 2\n", + "\tJ = 2.2298e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 8.4923e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 3\n", + "\tJ = 1.9572e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 6.0384e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 4\n", + "\tJ = 1.7752e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 5.6506e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 5\n", + "\tJ = 1.6322e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 5.8429e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 6\n", + "\tJ = 1.4959e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 6.7027e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 7\n", + "\tJ = 1.3494e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 8.5567e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 8\n", + "\tJ = 1.1575e-01\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 8.8893e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 9\n", + "\tJ = 9.3497e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 9.3111e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 10\n", + "\tJ = 6.8201e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 9.3080e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 11\n", + "\tJ = 4.9634e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.1281e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 12\n", + "\tJ = 4.2938e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 2.3617e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 13\n", + "\tJ = 3.4192e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.3442e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 14\n", + "\tJ = 4.8930e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 3.2987e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 15\n", + "\tJ = 4.5076e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 3.9481e-02\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAK4AAACuCAYAAACvDDbuAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAAAYJUlEQVR4nO3da1BU9/kH8O85e2VlWYhcBQKyoiY6iItBbjVKo9WiMU6TjqNOp3ZMM76g00xfGE0mM8nEXF604yS2tZrbZHTGyUwbW+vdgkbF67oQdaIoF2WhCgRhFxf3cs7zf9E/+/8TWNzdc4SyeT4zvJFz2IezXw9nf+c5v59ARATGJhhxvAtgLBocXDYhcXDZhMTBZRMSB5dNSBxcNiFxcNmExMFlExIHl01IHFwVSJKEbdu2wWAwQBCEEb+MRiO2b98OWZbHu9yYwMFVgUajgc1mQ3JycshtkpOTUVhYCFHkQ64GPooqKSwsxLJly6DT6YZ9T6fToaqqCnPmzBmHymITB1clZrMZv/rVr2C1WoecVUVRRH5+PtavX4/4+PhxrDC2cHBVIggC8vPzUVhYCL1eD0EQIIoi9Ho95syZg2nTpkEQhPEuM2ZwcFXU09ODO3fuIBAIgIggyzICgQDa2trQ09Mz3uXFFA6uSgYGBvDZZ5/B4XAgEAgE/z0QCMBut+Pzzz/HwMDAOFYYWzi4KiAi/Otf/8Inn3wyYjgHBgbwySef4OTJk+NQXWzi4KrA5XJh+/bt6O7uDrlNZ2cntm/fDpfLNYaVxS4OrgoaGhpw7ty5R2535swZfPPNN2NQUezj4KrA4XDA7XY/cjuXy4X6+vrHX9APAAdXIVmW0draGtatXFmWcfv2bb7tqwIOrkKyLI96bft9XV1dHFwVcHAVkiQJfX19YW/f19cHSZIeY0U/DBxchQZvMoQrEAjwGVcFHFyFRFFEQkJC2NubzWbuEFMBH0GFdDod5s+fP2JX2Pfp9XqUlpaGtS0bHQdXIVEUsWrVKjzzzDOjNtEIgoDi4mKsXLmSz7gq4COogpycHLz11lvIy8sbMbyCIMBqteLtt99Gdnb2OFQYezi4KhAEAc8++yw2b96M9PT0Yf24GRkZ2LJlCyoqKri1USUcXJXodDr89Kc/xcKFC2EymaDRaKDRaGAymVBZWRny6QgWHQ6uiiRJGjbcRUTw+/08BKYyDq5KfD4f9u/fjxMnTmBgYACSJEGSJHg8HtTU1GD//v3w+/3jXWbMEHhiZ+WICCdPnsT69evR2to64jZ5eXn4/PPP8aMf/Whsi4tRfMZVQU9PD95//33cvn075DYtLS344IMP+BEelXBwVXD69GmcPn0ao/3xGjwr19XVjWFlsYuDqxAR4fLly/B4PI/c9sGDB7h8+fKoAWfh4eAqRERwu91hhZGI0N/fz8FVAQdXIUEQkJCQENaNhUi2ZaPj4CokCAKmTJkSdnAzMjI4uCrg4KogKysr7O6wrKysMago9nFwVZCdnQ2z2fzI7RISEpCZmTkGFcU+Dq4KMjMzkZeX98jt8vLyOLgq4eCqwGKxYOXKlaNeLuh0OqxatQoWi2UMK4tdHFwVaDQarFmzBsXFxSN+8BJFEaWlpVi9ejU3kauEj6JKnnzySbzxxhuYMWMGtFptcAp9rVaLmTNn4vXXX+cPZiri4KpEFEVUVFSguroaM2fOxOTJkzF58mQ8/fTTqK6uRkVFBZ9tVaQd7wJiiV6vR25uLrKysvDw4UMA//nglpuby03kKuPgqoSIcOvWLezevRt2ux39/f0A/jNf2O7du5Gbm4sZM2bwzQeVcD+uSm7cuIHf/e53OH78OLxe75DvGY1GLF68GL///e+Rn58/ThXGFg6uCu7du4eNGzfiH//4R8jplbRaLV544QX88Y9/RGpq6hhXGHv404JCkiThiy++wMGDB0edEywQCOCf//wn9uzZw3OHqYCDq1B/fz+OHDky7PJgJA8fPsThw4fx4MGDMagstnFwFSKisEI76OHDh9yPqwIOrkImkwlTp04Ne/u8vDyYTKbHWNEPAwdXIb1ej/Lycmg0mkduq9FoUF5ezmO6KuDgqqCoqCjstsaioqIxqCj2cXBVkJ2dPerK6YPS0tK4X0ElHFwVmM3msGZhfPLJJ3khapVwcFVgMBjCuiNmtVqh1+vHoKLYx8FVgUajwdy5c6HVhm790Gq1sNlsYX2IY4/GwVVJcXHxqNe5KSkpeOaZZ8awotjGwVWJ1WrFvHnzQs5IXlxcHNF4LxsdB1clJpMJNpttxGtYg8GAoqIixMXFjUNlsYmDqxKv14v79++H/H5PTw/Pj6siDq4KZFlGXV0dDhw4AJ/PN+z7Xq8X+/fvx9mzZ7lPQSXcj6sQEeHq1avYsGEDLl68GDKYgiBg/vz52LVrF2bPnj3GVcYePuMq5HQ6sWnTJly6dOmR8+NeuHABW7ZsgdPpHMMKYxMHVwG/34+9e/eitrY2rMVJZFnGsWPH8OWXX0a0/i8bjoOrgNfrhcPhiKgfd3Cfka6FWfg4uApotVpotdqIP3BptVqeY0EhPnoK6HQ6pKenR/zIeXp6OvfkKsTBVUAQBCQmJkYU3MF9+IyrDB89BYgIvb29EV0qDO7DK00qw8FVwO12o6GhIeLgNjQ0BGe6YdHh4EaJiNDY2Ihr165FvO/Vq1dx8+bNx1DVDwcHN0p+vx9nz56NaqXI7u5unDt3jofEFODgRkGWZXR2dsJut0fVOOP3+2G329HZ2cnXulHi4EaAiODz+dDR0YGamhpcuXIlqqYZIkJ9fT1qa2vR0dHBZ94ocJPN9/j9fni9Xmi1Wuh0OgiCEFw9srGxEWfPnoXdbseVK1fQ3Nwc9qqS/58oijCbzZg6dSoKCgpQVFSE0tJSTJ8+HfHx8cHX9Pv9CAQCMBgMPO77PY8luLIsQ5ZlSJIEWZYhiiJ0Ol1YY5dEBCIKTkUfCUmSgkuOmkymiB5MJCI4nU7s3bsXDocDWq0W6enpSExMRG9vLxoaGnDt2rVgXy0RQZblqNsUBUGAKIoQBAE6nQ6TJ0/GrFmzUFBQEHzNu3fvIhAIwGazYfXq1RE/2u7z+eDxeCAIAuLj4yN+3i3S90KWZfj9/uB7rtFoIIriYxmzDju4kiSF/MWJCC6XCw0NDXA4HGhtbUV3dzf6+voQCASQkJCAkpISvPDCC8jJyRl2EIgIPT09OH36NC5fvgy3242EhARMmTIFWVlZyM7ORmZmJiwWS8ga7t27hy+++CI4Ad3UqVNRXl6OoqKi4DpkBoNhxP1lWca1a9ewadMm1NbWwuv1DnnDBt/AsfD91xQEAQaDAc899xzee+89zJo1a8QQSZIEr9cLt9uNtrY2XLp0CWfOnEFLSwvi4uKwdOlSrFu3DmlpaSO+riRJ6O3tRXt7O5xOJ5xOJzo6OuByuWA2mzFv3jyUl5fjiSeeGLYvEaG1tRVfffUVLly4AJfLBa1WC4vFgpSUFOTm5mLu3LkoKCgYddWh0TI20ouGZdu2bXTy5ElyuVwky3Lw3z0eDx04cICWLl1KFouFRFEkAMO+9Ho9lZWV0fHjx8nn8wX39/l8dOLECVq6dClNmjSJBEEgACQIAomiSAaDgZKTk6m4uJjeffddam1tJUmSgvvLskzXr1+nVatWkcFgGPKaGo2GEhMTafr06fTjH/+YXnnlFdqxYwfZ7Xbq7e0ln89Hbrebjh49SsXFxSFr/2/4EkWRSkpK6Pjx4+R2u8nn81Fvby/Z7Xb685//TL/+9a9p0aJFNG3aNEpMTCSNRjNkf4PBQD/72c+osbFxyPsnSRK1tLTQ1q1bad68eZScnEwGg4FEURzyXsTHx9OyZcvo5MmTQ94/r9dLR48epZKSEtLpdCFrt1gstGzZMjp48CB5PJ4h719fXx+dOHGCtm3bFm4cKezg6vV6mjJlCm3YsIHOnDlDnZ2ddP36ddq0aRMlJyeHdfAFQSCr1Uoff/wxdXR0UFtbG/3pT3+i3Nzc4EEa7Uun01F5eTkdOnSI3G43eb1eunbtGlVVVQ17o0J9abVaSk9Pp+XLl9Obb75J1dXVlJeXF9brj/fX4PH7zW9+Q2+++SZVVVVRWloaabXasH/3FStW0Lfffkter5fcbjcdOHCAysrKQobu+6+fm5tLO3bsoPb2dmpvb6edO3dGdPxSUlJo8+bNdOPGDers7KRTp07R+vXrKSMjg/R6fdjBDftSYfDPk06ng9VqRWFhIe7cuQOHw4GBgYFwfkTw56Snp2PhwoUIBAI4ceIEurq6Itp/xowZqK6uRm5uLnbv3o2//e1vEbUWDv6cwWtgn883YR6pUVq30WjEiy++iDVr1qCpqQnbt29HY2NjRD8nJSUFixYtgkajQW1tLe7duxfR/nFxcbDZbMjOzkZ9fT2ampqCw4rh/pyIgwv851OxXq9HIBCIqiFaFEWYTCbIsoyBgYGo2gJnzpyJrKws2O32iILPgNTUVNhsNjidTly/fj3i91AQBJhMJgiCAI/HE9VY9GBLqM/nG7J/uFmIatUdWZaDH2Ci3X/wLB3Nz5AkCXfv3sXDhw/5nn8U+vv7cevWLdy/fz+qaf2JKLgcVrQ3UAKBACRJijpDUY9T8LJHTAml+YkquIOXCqPNlfWo/ePi4mA0GqP6BTQaDaZMmYL8/Pyw5qVl/0cQBJjNZuTn5yMjIyOqucwEQYDRaERcXFzUY7QajQYGgyHq/SNOnk6nQ35+PubMmYO2tjbY7faoPpxVVlbC7/ejpqYmomtUURQxffp0bNy4Mfjh7K9//WvwT1ckdRgMBgBQdNkz1pTWbTAYsGTJEqxduxbNzc348MMPcePGjYg/nFVWVkKj0aCmpgZ3796NaP/BWX+ys7PhcDiGfDgLW7jDDwaDgTIzM+mVV16hs2fPUldXF924cYM2b95MqampYQ/nTJs2jT799FP697//Te3t7bRjx46wh1N0Oh0tWLCAjhw5Qg8ePCCfz0fffvstrVixIqIhoYyMDFq5ciW9/fbb9Nvf/pasVuuEGQ6bNm0avfrqq/TWW2/R888/TxkZGRH97itXrqTr168Hx7APHTpEFRUVYQ+HWa1W2rlzJ3V0dFBHRwd9/PHHER2/tLQ0euONN6ixsZG6urqorq6OXn75ZcrMzCSDwRD2cFjYwf3oo4/o1KlT5Ha7h92AOHToEFVVVVFiYuKoNyAqKiqopqZm2A2Ir7/+mqqqqig+Pn7YDQij0UipqalUUlJCH3zwAd2+fXvYDYjGxkZ68cUXyWg0DnlNjUZDSUlJNHPmTFqyZAlt3LiRdu3aRfX19dTX10c+n48ePHhAx48fp5KSkv/6GxBlZWVUU1MT/E/b19dHDoeDdu7cSRs3bqTFixfTjBkzKCkpadi4ttFopJ///Od08+bNYTcgWltb6f3336eSkhJKSUkho9E47AaE2Wym5cuX06lTp4a9f8ePH6fy8nLS6/Uha09KSqLly5fTkSNHaGBgYMj753K56NSpU/TRRx+FHdywh8MG7z+H4nK58M0336C+vh63b99GV1dX8Jav2WxGaWkpVq5ciezs7BGva3t6elBXV4fLly+jv78fCQkJyMjIQFZWFjIzM4O3fEPV0NnZiT179uDw4cN4+PAhrFYrysrKUFRUhKysLMTHx0Ov1494TUdEuHbtGrZs2YJjx44NGRMez1u+gwaXVH3vvffw1FNPhbzl6/P54Ha74XQ6YbfbUVdXh+bmZhiNRixbtgxr165FSkrKiK8rSRJcLhfa29uDt307OjrgdrthNpuDjUBJSUnD9iUi3LlzB/v27cP58+fhdruH3PLNycmBzWbD7NmzkZCQEPJ3f1TGhhyncIMbifFssnnw4EGwySbSjiqn04kvv/wyZJPN1atX0d3dHWyyof9ttInGYIPNYJNNcnIyZs+ejcLCQlgslmFNNi+99FLETTZ+vz/YZDNp0qQfZpPND4Xf74ff7x/yn02WZfT39+PmzZs4d+4c7HY76uvr0dLSApfLFXVbo9VqxZw5c2Cz2VBaWor8/HxMmjQp+JqDIVAyghOrOLgR8vl86OzsRG1tLf7whz/gypUrEQ/iazQazJkzB6+++ioqKyuRnJzMa0NEiJ+AiJBer8eUKVOwaNEiFBQURDUOLQgCCgoKUFlZifT0dA5tFDi4URBFEampqSgqKorqyQSdToeioiIkJyfzxCBR4qMWJb1ej9LSUkyePDnifZOTk1FaWspnWgU4uApMnz4ds2bNini/2bNnh7UuGguNg6tAfHx8xNe5giCgsLAQkyZNeoyVxT4OrgLRTno32o0UFh4+egoQT3o3bji4Cvj9/og7owDg7t27vHSUQhxcBQYfXYp0LDcQCPAZVyEOrgIGgwE2my3YHxsOo9EYcgVKFj4OrgI6nQ6rV6/Gc889F9aHLVEUsXjxYrz00kvce6AQ9yqoYHCBvgsXLoy6QF9paSl27dqFp59+eowrjD18xlXBrFmz8M477yAvLy/k6ulWqxXvvPMOnnrqqXGoMPZwcFUgCAJKSkpQVVUVcvX0FStWYP78+fx0tEo4uCoxGAxITEwM+f3ExESeKlRFHFyVeDwe2O32kKunR/o0NBsdB1clt27dCrkQNRHh4sWLaG5uHofKYhMHVyUXLlzAd999F/L7XV1duHjx4hhWFNs4uCqQJAkOh2PUyeMCgQAcDkdUc3Wx4Ti4KvB6vbh169Yjt7t16xYvVKISDq4KBqevf5Q7d+7A7XaPQUWxj4Orgra2trDmP+vs7ITT6RyDimIfB1cFly5dCmueXpfLBbvdPgYVxT4OrkI+nw9nzpwJ60OXJEmoq6vjXlwVcHAV8ng8aGlpCXv75uZmeDyex1jRDwMHVyFBEBAXFxf29tFOZs2G4uAqFB8fj6VLl4bVTD44ayI/4ascB1chjUaDdevWYfny5aM2h2u1Wjz//PNYu3ZtVNPXs6G4DV8FaWlpePfdd+Hz+XDs2LFh0/objUb85Cc/wdatW0POT8siw09AqISIcOPGDWzduhVHjx4NDo+ZzWYsWbIEr7/+OqZPn87XtyrhM65KBEFAXl4e1qxZg+7u7uAt4Pz8fKxduzbk0xEsOnyNqyKfz4empiY4nU7cv38f9+/fR1tbG5qbmyNespWNjs+4KpFlGV9//TW2b9+Opqam4A2Jvr4+fPjhh5g6dSqWLFnCUy+phK9xVdLS0oJ169bh7Nmzw5rJBUFAeXk5du/ejZycnHGqMLbwf38VSJKEPXv24OLFiyGfgDh//jz27t3L/bgq4eCqoLe3F3//+99H7UHw+/3Yt28fXC7XGFYWuzi4Kmhvbw+rX6GpqQnt7e1jUFHs4+CqwOl0htXW6Ha7Obgq4eCqwOl0htWq6PP5uJFcJRxchYgIHR0dYc2RG8m2bHQcXIWIKOzVJYkIbrebg6sCDq5CgiDAbDaHdTs3km3Z6Di4CgmCgKKiIphMpkduGx8fj7lz53JwVcDBVUFFRQUWLFgwaiAFQcCzzz6L8vLyMawsdnFwVfDEE0/gtddeG/V2bl5eHjZt2oSkpKQxrCx2cXBVUlpaitdeew0pKSlDzryCICA1NRWbNm3C/Pnzx7HC2MLBVYlOp8OKFSuwaNEimEwmaDQaaDQamEwmVFZWYvny5Tw/roo4uCrTaDTDzrj8jJn6OLgq8fl8OHDgAGpra+HxeCBJEiRJgsfjQU1NDQ4ePMgTgaiI+3FVQEQ4duwYNm7ciJaWlhH7cfPy8vCXv/wFlZWVPBymAg6uClpaWrBmzRqcP39+1OWiysrKsGfPHm4mVwFfKigkyzK++uor2O32UW/lDk6nv2/fPl4OVQUcXIX8fj8uXLgQdnfY+fPn+VpXBRxchWRZjuipBrfbzWdcFXBwFRJFMaJ1ebVaLT/pqwI+ggppNBpYLJawt7dYLDyuqwIOrkKiKEY0H1hKSgqfcVXAR1AhURSRm5sbVhhFUUROTg4HVwV8BFUwd+5cmM3mR25nsVhgs9nGoKLYx8FVQUFBAcrKyh65XXl5OWbPnj0GFcU+Dq4KLBYLqqurR73WTUtLQ3V1NRISEsawstjFwVXJwoULsWHDhhHXgzCZTHj55ZexYMGCcagsNnFwVRIXF4df/vKXsNlswXFdQRCg1Wphs9nwi1/8AkajcZyrjB0cXBUlJSUhOzsbWq022AGm1WqRnZ3Nj+yojIOrksGp9Ovr6+Hz+UBEICL4fD44HA7cvHmT51NQEQdXJW63G59++imampqG9CLIsoympiZ89tlnYc0vxsLDwVWJw+HA4cOHR+z88vv9OHjwIBoaGsahstjEwVWBJElwOBz47rvvQm7T3d2N+vp67gxTCT8BwSYkPuOyCYmDyyYkDi6bkDi4bELi4LIJiYPLJiQOLpuQOLhsQuLgsgnpfwAMnPDZF7OdhQAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 16\n", + "\tJ = 2.9627e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 8.3295e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 17\n", + "\tJ = 3.1068e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 2.4241e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 18\n", + "\tJ = 1.9480e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 4.5024e-03\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:46:34 EDT WARNING: No connection: Retrying for 180 seconds.                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:46:34 EDT\u001b[0m\u001b[2;36m \u001b[0m\u001b[31mWARNING: No connection: Retrying for \u001b[0m\u001b[1;36m180\u001b[0m\u001b[31m seconds. \u001b[0m\n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 19\n", + "\tJ = 2.4465e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 3.2538e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 20\n", + "\tJ = 1.7596e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.3490e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 21\n", + "\tJ = 1.9705e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 2.3249e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 22\n", + "\tJ = 1.5817e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.9620e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 23\n", + "\tJ = 1.2857e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.3309e-02\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAK4AAACuCAYAAACvDDbuAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8hTgPZAAAACXBIWXMAAA9hAAAPYQGoP6dpAAAY6klEQVR4nO3de1BU5/kH8O85Z3fdXRZYQFMMIIuKtk28BnCd1RgvSPBSU2U6k05mYlJjE2PHNDUzianTyx9tZjS2SVrxUo3TZKYzsaRxMhNRM3TErOigFmSiI2qApeCOCrvKXmBvz++PdPcHZcGznFMIm+czwz/hHPbx8M3h7Ps++74CEREYG2fEsS6AsZHg4LJxiYPLxiUOLhuXOLhsXOLgsnGJg8vGJQ4uG5c4uGxc4uCqpLOzEwsWLIAgCHG/Fi5cCKfTOdZlJg0OrkrMZjPmzJkDSZIGfU+SJMydOxfp6eljUFly4uCqxGAwYMOGDfjOd74z6HvZ2dnYsGED9Hr9GFSWnDi4KhEEASUlJSgrK4Ner489IhgMBqxatQpFRUUQBGGsy0waHFwVmUwmFBcXIy0tDaIoQhRFpKWl4bHHHkNKSspYl5dUOLgq8ng8aGhogMfjQSQSQSQSQU9PDxobG+H1ese6vKTCwVVJMBjERx99hKqqKvh8PhARiAg+nw9Hjx7F3//+d4RCobEuM2kI3EiujtOnT+PZZ59FW1tb3O9bLBZ88MEHWLRo0ShXlpz4jqsCl8uFt956Cw6HY8hj2tra8NZbb8Htdo9eYUmMg6sCu92OM2fOYLg/XkSE06dPo66ubhQrS14cXIUikQi++OIL+Hy+Bx7r9Xpht9sRiURGobLkxsFVKBwOo7W1ddi7bRQR4auvvkI4HB6FypIbB1ehUCiE7u5u2ce7XC4eXVABB1ehUCgEv98v+3i/3893XBVwcBUSBAEajUb28Ykcy4bGwVVIq9UiOztb9vGTJ0+GVqv9H1b07cDBVUin02H58uUwGAwPPNZoNGLZsmXQ6XSjUFly4+AqJAgC1q1bh7KyMoji0JdTFEWUl5dj7dq13CWmAp7yVcnly5fxk5/8BBcvXhw0NCYIAoqLi3Ho0CE8+uijY1RhcuE7rkoeeeQRvPHGG8jJyRlwRxUEAbm5udixYwe+//3vj2GFyYWDqxJJkrBw4UIUFRVBp9PFGsl1Oh2KiopgtVqHfZRgieErqSKPx4Pu7m6Ew+HY40I4HIbL5YLH4xnj6pILB1clHo8Hhw8fxsWLF2MzY0SEUCiE+vp6vP/++xxeFXFwVRAKhfDhhx9i3759cT/p4PV6sXfvXvztb3/j6V6V8KiCCs6cOYNnnnlm2H5cAMjPz8eHH37IzeQq4DuuQh6PB5WVlWhvb3/gsQ6HA/v375fVAsmGx8FVyO1249KlS7LbGi9cuJBQNxmLj4OrEBEhGAzKPp6fcdXBwVXIZDIhIyND9vFmsxkmk+l/WNG3AwdXoZSUFBQUFMg+ftq0aTAajf/Dir4dOLgKabVazJs3T1bjjCiKmDdvHrc1qoCDq1B0CVE5f/5NJhOsVit3h6mAg6uCuXPnoqSk5IHHWa1WzJkzZxQqSn4cXBWYzWa89tpryMnJGfKYvLw8vPbaa7xGrko4uCoQBAFLly7Fb37zG+Tm5g7oAhNFEXl5efjtb3+LJUuW8GOCSviTeyrR6XR4+umnodFoUFlZidbWVgBAQUEBtmzZgoqKCn5TpiIOroqMRiPWrFkDSZJw5swZCIKARYsWYdWqVbI+k8bk40cFFRER/H4/7ty5g+7ubnR3d6Orqyu27ChTD99xVUJEaGtrwx//+Ed88skn6OrqAgDU19ejra0N27Ztw5QpU/gZVyXc1qiSK1euYPv27aipqUFfX9+A7+n1eqxYsQK7du3Cd7/73TGqMLlwcFXgcDjwwgsv4PPPPx9yJUZJkrBy5Urs378feXl5o1xh8uFnXIWCwSD27duHmpqaYZcPDYfD+Pzzz3Hw4MGEuslYfBxche7du4eamhpZ7YrBYBCnTp3C/fv3R6Gy5MbBVQH3444+Dq5CqampmDp1quzjp02bxv24KuDgKqTT6WCz2WQt9iGKIhYtWoQJEyaMQmXJjYOrUHQrVDk7R0Z3nmTKcXBVYLFYkJWV9cDjJk2ahClTpoxCRcmPg6uCtLQ05OfnP/A4i8XCbY0q4eCqwGAwYNasWcMeIwgCZs2aBb1eP0pVJTcOrgokScKSJUuG7QAzGAx4/PHHecVGlfBVVInVasXs2bPjfk8QBMyePRtWq3WUq0peHFyVZGdn45lnnkF6evqghZ3T09Px7LPP4qGHHhrDCpMLB1clkiTBZrOhsLAQOp0OkiRBkiTodDrMnDkTCxcuhCRJY11m0uDgqiQSicDpdMbdrM/r9cLpdPLGfCri4KqAiHDlyhXs2bMH169fR19fH8LhMMLhMAKBAJqbm7Fnzx5cvXqVPwmhEu7HVcG1a9ewdetW/POf/xzyripJElasWIH33nsPhYWFo1xh8uHgKuRwOPDyyy/j+PHjD3wUkCQJq1evxp/+9CduJleIHxUUCAQCOHz4ME6ePCnr+TUcDuPEiRM4cuQIAoHAKFSYvDi4Cvh8Ppw/fz6hEPb19aGuri6hHdfZYBxcBSRJGtFu6FqtlofGFOLgKmAwGGCxWBL6yLkgCJg6dSr3LCjEwVVAkiTMmDEjof4DURRRWFjId1yFOLgKCIIAs9mc0B1XFMWEz2GDcXAV8vv9CU0qRCIR9Pb2/g8r+nbg4CpAROjq6hp2PYWhzuHhc2U4uAoQEdxud8LnuFwuDq5CHFwFwuEwenp6Ej6vp6eHG24U4uAqEO36SvTu6XQ6eVtUhTi4/yUQCMDtdqOnpwehUGhAKIkIkUgEwWAQbrcbtbW1aGpqSujnExEuX76M2tpauN1uBINBRCKRQa8TCoXQ09MDt9vN08NxqN5kE4lEEA6HEQqFEAqFIAgCtFotdDrdA4eAPB4P3G43iAgmkwkpKSnQarWyh46CwSDu3bsH4OsVZuS8Zn8OhwOHDx/G+fPnodFoYLFYMGPGDJjNZvj9fnR1dcVC7XQ60dTUhLa2toQXsdNqtcjPz8esWbOQnZ2N1NRUZGRkICsrC3q9Hi6XC9evX0dLSwvC4TCsViuef/75hBpziAiBQCD2KJOeni57Kf/oNq8ejwderxeCICAzM/OBGwtGXzMYDIKIoNFooNFoIEmS6p+1Uy24LpcLdrsdX3zxBVpbW9Hd3Q2/3w+NRoPs7GwsX74c69atw6RJkwadGwqFUFdXh8rKSly6dAnBYBAZGRkoKCjAvHnzsHDhQsydO3fY8U+HwxFbNTEYDGLq1Kmw2WwoKSmBxWJBWloaDAZD3IF/IkJzczO2b9+OkydPxu5wgiBAFEUIghC72/Y/Rw3Rf48gCLGv6GtFX0On0+HJJ5/E7t27MX369LjXIBwOw+/3w+12o7W1FfX19bDb7WhpaYFWq0VpaSk2b948ZPijbxobGhpw9uxZNDQ0oKWlBW63G1qtFvPnz8eWLVtgtVrjTnPfvn0bx44dQ01NDZxOJ0KhEAwGAzIzM1FQUACbzQabzZbQ9rHDIpk6OjrI6/VSJBIZ8N8DgQCdPn2aysvLKSUlhQRBIACDvgwGAz311FPU2NhIoVAodn5PTw9VVlbSlClT4p4rCAKlpqbSihUrqLq6mgKBwIDXj0Qi9OWXX1JZWRlptdoB54qiSKmpqWSxWOiJJ56grVu30tGjR6mjo4OCwSAREYVCIWpqaqLS0lKSJClu7d+EL0mSqKysjJqammLXLxgMUnt7O3300Ue0detWWrJkCVksFkpNTSVRFAecr9Vqqby8nK5evTrod9jX10efffYZLV26lFJTU4f8PeTn59OBAweop6cndm4oFKKGhgb6wQ9+QAaDIW7tgiCQyWSi8vJyqq2tjV37/r9Dr9dLHR0dcuNIsoNbUlJCL7zwAp04cYK6u7spEAhQd3c37du3j/Lz84cM7H8HqaioiKqqqqizs5Oam5vp9ddfJ7PZLOuXl5OTQ3/5y1/I6/XG/sEtLS1UXl4+6Bc11JfBYKAFCxbQe++9R5cuXaLPPvuMVqxY8Y0Obf/wlpaW0vHjx+nixYv07rvvUlFR0ZCBiXf+2rVrqbW1NRZer9dLBw4coIcffljWz8jIyKA333yTrl+/Tp2dnXT06FGaP3++rOsvCAJZLBY6cODAgAwdP36cNm3aRCUlJeoHN/oPf/jhh+m5556jvXv30ubNmykrKyuhiy8IAuXm5tJTTz1Fjz/+OKWkpCR0fm5uLh05coTu3r1L7e3ttG3bNpowYULCIUhPT6eioiJ65JFHSKfTjXko5X7pdDp69NFHqaioiNLS0hI+X6/X089//nNqb2+nu3fv0qFDh2SHNvqVkpJCS5YsoXXr1lFOTo6sm1b/r4kTJ9KLL75Ie/fupeeee44mT54cu3HIJfsZt/9zlV6vR1paGjwez4iGdQRBgE6ni72JS4QoiiguLsbWrVtx584dvPPOO2hraxtxDQAG7dnwTda/7kAgMKJnbYvFgldeeQWZmZl49913cenSpYRm/wBAo9FAFMXYG7FEGY1GmEwm3L9/f8AUuNyfNaJdd/r6+hKe6uyP/vPucyT/4EgkgtbWVpw5cya2HdNIaxiPiyz3r3sk1w8Aurq6YLfbkZGRAYfDMaLfY/TDoCOtwe/3o6+vb8QZGtNxXCUdUtF34Gxk+o9mjEcjCq5er8fEiRNHvFti9M/dSHpSRVFEQUEBFi1aBJvNhokTJ464hug443j65alRd1ZWFmw2GxYvXoz8/PwRjbFqNJqEx8n7MxqNmDhx4ogXuU7oUUGSJGRnZ2PVqlV47LHH0NjYiKNHj+Lu3buyf4YgCMjNzUVRURFcLhfq6+vh9Xpln5+Tk4MtW7Zg1apV8Pl8aGtrQ2VlZUKtgtFlkWbOnAmv14vm5uZxMzul0+lQWFgIo9GIa9euxSZc5NLr9aioqMD69ethMBgQCoWwc+dO/Pvf/5b9M6ILVJvNZtTX16OjoyOhR4ZJkyahoqICc+bMQX19PaqrqxNfMEXuuzir1UovvvginTp1ilwuFwUCAXK5XHTw4EGyWCyyh8NKSkrok08+IafTSTdu3KA333yTMjIyZL0bzcvLo/fff598Ph8RfT0c1traSmvWrJE1nCUIAhmNRrJarVRZWUkNDQ1UXV1NK1euHBfDYRqNhsrKyujkyZP0r3/9i/785z+T1Wolg8Eg6/pLkkTr1q2jtra2AcNhhw4dopycHFk1ZGZm0s6dO+nmzZt069Yt+vjjj6moqCih4bBDhw4NyNDJkyfppz/9KVmtVtmjCrKDe+vWLfL5fIMGr4PBIJ05c4ZWr15NJpNpyAtoNBppw4YN1NTUROFwOHZ+T08PHThwYMixYFEUKS0tjVauXEmnTp2KOwFx9epVKi8vjzsBkZaWRtOmTaPly5fTK6+8Qh9//DF1dnbGBvGjExBlZWXf6PBKkkTl5eV05cqV2PULhULU2dlJVVVVtG3bNlq6dClNmzaN0tLS4k5ArF69mq5duzbodxsIBOjEiRNUWloa99z+oes/jh6tobGxkX74wx8OOwGRmppKa9euJbvdPuQExK1bt+TGUf5w2IO43W7U1dXBbrfjq6++gsvlik35Tp48GcuWLcPatWvjPpOGQiGcO3cO+/fvx4ULFxAKhWA2mzFt2jTMnz8fCxYswJw5cwathNhfe3s7Dh48iFOnTiEUCmH69Omw2WwoLi7GlClTkJ6eDr1eH/d5johw48YNbN++HSdOnIgNj0WnfEVRjE3B9r9cSi/df6/qGH29SCQyYMp3woQJKC8vx65duzB9+vS4PyscDqOvrw/37t1DW1tbbMr35s2b0Gg0KCsrw6ZNm5Cbmxv3fPpPb3FjYyPOnTuHhoYG3Lx5E263GxqNBsXFxXjppZdQXFwcd8r3zp07+PTTTwdM+RqNxgFTvlarFWazWdE1i10vtYIb1b/JJvrMIrfJxufzobu7G8DXz1FGozHhJpvo5ncmkynhB//29nYcOXIEdXV10Gq1mDp1KgoLC2E2m9Hb24uuri64XK5Yk83ly5fR0tIyoiabqVOnYtasWZg8eTJMJtOAJhu3243m5uYBTTYbN24cMnRD6evrg8fjAfD1cv+JNtn4fL7Y+Yk22QCINdh8o5tskkUgEIDf74ckSdDr9ZAkKfY/TvSOGw6H4fP5UFtbi1dffRU3btxI6DVmzpyJ3bt3Y/HixTAajbHX6P864XAYvb29CIfDMBgMsUkH9rURTUAkM51ON2RI+v85T09Px+LFizFr1qyEghvdC2Lx4sVDbmQSHfLijfyGxo3kCqSkpCA7OzvhsczJkyc/8M8uGx4HVwFJkpCamprweSaTiRcEUYiDq4AgCMjIyEh4CaZEz2GDcXAVEAQBWVlZCQc30XPYYBxchfR6fcJLMPGCd8pxcBWgESzuEYlEYh8IZSPHwVUgHA7j+vXrCfWURiIRNDc384IgCnFwFfD7/WhpaUno7klEaGlp4YXvFOLgKhD9FMBoncf+HwdXAaPRCKvVmtB07IQJE2C1WkfchM++xsFVQKfT4fnnn8eTTz4pa0JBkiSUl5dj48aN3HugEAdXoby8POzevRvLly8fNrwajQYrV67Erl27Eu7yYoNxcFUwffp0vP3221i2bNmg9k1BEDBhwgQsX74cb7/99pD9tCwxHFwVCIKA733ve3j11VcxY8aMQbunFxYW4he/+AVmzpw51qUmDQ6uSkRRxEMPPRR3VsxoNGLSpEmqN1N/m/GVVEk4HIbdbo99Yrj/7unXrl3D2bNneQhMRRxclTidTvz1r3/F/fv3B30u7d69e/jggw9w+/btMawwuXBwVXL27Fl8+eWXQ36/sbERdXV1o1hRcuPgqiAcDqO2tnbYjaV7e3tRW1vLjwsq4eCqwO/3P3AvCPrP3g/jaWXIbzIOrgrcbjccDscDj3M4HAkvmcTi4+CqoLW1Vdb6aXfu3BnRWr5sMA6uQkSE+vp6WQtcezwe1NfXj0JVyY+Dq1AgEIDdbpfVTB6JRGC32/k5VwUcXIV6enrQ0tIi+/ibN2/GljViI8fBVYHcNbkAxF0wjiWOg6tQeno6SktLZYVXq9WirKwMaWlpo1BZcuPgKqTVarF58+YH9uNKkoSVK1di06ZNCd2hWXwcXBXk5eXhD3/4A1atWhW3O0yv12PNmjXYs2cPN5GrhJcZVQkRweFw4J133sE//vGP2DZWWVlZqKiowM9+9jNMmTJljKtMHhxcFREROjo6UFVVBbvdDkEQYLPZsH79euTk5PCySyrit7gqEgQhtmN4dJfwzMxMGAwGDq3KOLgq8vl8OHbsGCorK+FwOCAIAhoaGhAKhfCjH/2I18RVk+xtTtiw+vr6YruQ99+1RhRFys3NpUOHDg3aMYiNHD/jqoCIUF1djU2bNqGzszPuMTk5OTh8+DBKS0v5sUEFPBymApfLhV27dg0ZWgDo6OjA7t274Xa7R6+wJMbBVUFDQwMuXLjwwOPOnz+PxsbGUago+XFwFSIinD17VlbjjMfjwblz53htXBVwcBUKBoNoaGiQFcZIJIKGhoaEN/Rjg3FwFfJ4PAm3NcppOmfD4+Aq5PV6E3rD5Xa7uR9XBRxchQRB4H7cMcDBVSgzMxPz58+XNTYrCAKKi4uRmZk5CpUlNw6uQkajEVu2bJHV+ZWfn4+XXnqJp35VwMFVgdVqxY4dO2KNNfFkZmbil7/8JYqLi0exsuTFwVWBRqPBj3/8Y2zZsgUpKSmDvm8ymfDyyy/j6aef5mdclXBwVWIymbBx40YUFRVBo9FAEITYG7fi4mJs3LiRHxFUxMFVUUpKCsxm84AFnEVRhNls5tCqjIOrkujCzhcuXEAwGAQRgYgQCARQX1+Puro6XqlRRRxclTQ1NeH3v/89Ojs7By3s3NHRgd/97nfDrp/LEsPBVcHt27fxq1/9asieBSLCpUuX8Otf/xp37twZgwqTDwdXISLCsWPHcPLkyWHXD4tEIqiursann37K3WEq4OAqFAgEUFNTI2tTab/fj5qaGgQCgVGoLLlxcBUKBoNwOp2yj3c6ndzWqAIOrkJEhFAoJPv4RI5lQ+PgKqTRaBLaCd1oNPLsmQo4uAppNJqEur0yMzNl7bTOhsfBVUiSJBQUFMhuaywoKODgqoCDq5AoirDZbHGba/6byWSCzWbjPX1VwFdQBTabDYsXLx72risIAp544glYrdZRrCx5cXBVkJGRgTfeeAP5+flDHpOfn4/XX38dZrN59ApLYhxclSxcuBA7duzAxIkTB31v0qRJ2LlzJ0pKSsagsuTEwVWJRqNBRUUFKioqYDQaY/24KSkpqKiowPr163kYTEUcXBWZTCbMnj0bJpMJoihCFEWYTCbMmTNH1ps3Jh8HV0XRnSPv37+PSCSCSCSCe/fuob6+Hl6vd6zLSyocXJUQEc6fP4/q6mr09vbGGsl7e3tRXV2N+vp67gpTEQdXJX6/H1VVVbh9+/ag7zmdTlRVVcHv949BZcmJg6sSt9uNy5cvx/14TjgcRmNjI+7fvz8GlSUnXpGcjUt8x2XjEgeXjUscXDYucXDZuMTBZeMSB5eNSxxcNi5xcNm4xMFl49L/AYbbpcDOWzGAAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 24\n", + "\tJ = 1.5397e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 2.7810e-02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "step = 25\n", + "\tJ = 1.0909e-02\n", + "\tbeta = 30.00\n", + "\tgrad_norm = 1.5978e-02\n" + ] + } + ], + "source": [ + "import optax\n", + "\n", + "# hyperparameters\n", + "num_steps = 25\n", + "learning_rate = 0.05\n", + "\n", + "# we will just have the same projection strength for beginnning and end in this case\n", + "beta = 30\n", + "\n", + "# initialize adam optimizer with starting parameters\n", + "params = params0.copy()\n", + "\n", + "optimizer = optax.adam(learning_rate=learning_rate)\n", + "opt_state = optimizer.init(params)\n", + "\n", + "# store history\n", + "Js = []\n", + "params_history = [params0]\n", + "beta_history = []\n", + "\n", + "for i in range(num_steps):\n", + " perc_done = i / (num_steps - 1)\n", + "\n", + " # make a plot of density to check on progress\n", + " density = get_density(params, beta=beta)\n", + " plt.subplots(figsize=(2, 2))\n", + " plt.imshow(np.flipud(1 - density.T), cmap=\"gray\", vmin=0, vmax=1)\n", + " plt.axis(\"off\")\n", + " plt.show()\n", + "\n", + " # compute gradient and current objective function value\n", + " value, gradient = grad_fn(params, beta=beta, verbose=False)\n", + "\n", + " # outputs\n", + " print(f\"step = {i + 1}\")\n", + " print(f\"\\tJ = {value:.4e}\")\n", + " print(f\"\\tbeta = {beta:.2f}\")\n", + " print(f\"\\tgrad_norm = {np.linalg.norm(gradient):.4e}\")\n", + "\n", + " # compute and apply updates to the optimizer based on gradient (-1 sign to maximize obj_fn)\n", + " updates, opt_state = optimizer.update(gradient, opt_state, params)\n", + " params[:] = optax.apply_updates(params, updates)\n", + "\n", + " # keep params between 0 and 1\n", + " np.clip(params, 0.0, 1.0, out=params)\n", + "\n", + " # save history\n", + " Js.append(value)\n", + " params_history.append(params.copy())" + ] + }, + { + "cell_type": "markdown", + "id": "f082a060-208d-4678-8c60-9701db55faad", + "metadata": {}, + "source": [ + "## Visualize Results\n", + "\n", + "Let's visualize the results of our optimization.\n", + "\n", + "### Objective function vs Iteration\n", + "\n", + "First we inspect the objective function value as a function of optimization iteration number. We see that it steadily increases as expected. " + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "id": "a3f9509b-2448-4505-a013-c2fd26b36052", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(Js)\n", + "plt.xlabel(\"iteration number\")\n", + "plt.ylabel(\"objective function\")\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "c9b97d20-1e6b-4b4c-9f35-fc3c21903e24", + "metadata": {}, + "source": [ + "### Final Device Performance\n", + "\n", + "Now, let's take a closer look at the final, optimized design. We take the best parameters found during the optimization and run the `ComponentModeler` one last time." + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "d47f5efe-26ae-42f7-810e-4b8bcea4d4d9", + "metadata": {}, + "outputs": [], + "source": [ + "# we'll sample the modes at a finer frequency resolution for this final evaluation, for smoother plots\n", + "freqs = np.linspace(freq0 - fwidth, freq0 + fwidth, 201)\n", + "\n", + "modeler_start = get_modeler(params_history[0], beta=beta, include_extra_mnts=True).updated_copy(\n", + " freqs=freqs\n", + ")\n", + "modeler_final = get_modeler(params_history[-1], beta=beta, include_extra_mnts=True).updated_copy(\n", + " freqs=freqs\n", + ")" + ] + }, + { + "cell_type": "markdown", + "id": "764cb9a2-5e81-432e-9d4a-3817933fad08", + "metadata": {}, + "source": [ + "Let's take a look at our final design." + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "b96dc1a8-4107-418f-a3a8-23385f4ecedc", + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'final design')" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "ax = modeler_final.simulation.plot_eps(z=0, monitor_alpha=0, freq=freq0)\n", + "ax.set_title(\"final design\")" + ] + }, + { + "cell_type": "markdown", + "id": "df8e1f7c-80c2-49b5-9be1-4d1fccf80b28", + "metadata": {}, + "source": [ + "The field plots below show the device operating at the central design frequency. We can clearly see the crossover behavior: light entering the top-left port is efficiently routed to the bottom-right port, and light from the bottom-left is routed to the top-right." + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "52cb5194-a71b-4cf2-9b74-c96cf20f3095", + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "e86893bc7541431095df1e4139f7d27b", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:55:52 EDT Started working on Batch containing 1 tasks.                       \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:55:52 EDT\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m1\u001b[0m tasks. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:55:53 EDT Maximum FlexCredit cost: 0.134 for the whole batch.                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:55:53 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.134\u001b[0m for the whole batch. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Use 'Batch.real_cost()' to get the billed FlexCredit cost after the\n",
+       "             Batch has completed.                                               \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after the\n", + "\u001b[2;36m \u001b[0mBatch has completed. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "7a6428f92e704258a3480bce80e04380", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:56:40 EDT Batch complete.                                                    \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:56:40 EDT\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "694fc856d7644d15978a21413c7cc8a2",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "image/png": "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",
+      "text/plain": [
+       "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "simulations = modeler_final.sim_dict\n", + "bd = web.run_async(simulations)\n", + "for task_name, sim_data in bd.items():\n", + " sim_data.plot_field(field_monitor_name=\"field\", field_name=\"E\", val=\"abs^2\")" + ] + }, + { + "cell_type": "markdown", + "id": "f352300f-c5aa-48a1-97b0-f15735377a41", + "metadata": {}, + "source": [ + "Next, let's look at the S-parameters over a range of frequencies to see how our final device behaves." + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "cf0c4ac8-bc8c-421d-abef-89ae4cf59c4e", + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
09:56:46 EDT Created task 'start' with resource_id                              \n",
+       "             'sid-df34a742-6a56-491f-9f4c-2632b2c82cbc' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:56:46 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'start'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-df34a742-6a56-491f-9f4c-2632b2c82cbc'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56\n",
+       "             -491f-9f4c-2632b2c82cbc'.                                          \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=13954;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=380254;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=13954;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=238595;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32msid\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=13954;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32m-df34a742-6a56\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=13954;https://tidy3d.simulation.cloud/workbench?taskId=sid-df34a742-6a56-491f-9f4c-2632b2c82cbc\u001b\\\u001b[32m-491f-9f4c-2632b2c82cbc'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=820630;https://tidy3d.simulation.cloud/folders/41078c53-acd3-4f23-98d5-8423bc5401c7\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "6135e6dcad964c53ad19a87c7c39ebb4", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:56:49 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - port_x_-@0: 'rf-b36e4261-6012-4b66-805f-14e162cb4612'            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:56:49 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- port_x_-@\u001b[1;36m0\u001b[0m: \u001b[32m'rf-b36e4261-6012-4b66-805f-14e162cb4612'\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:56:50 EDT Validating component modeler and subtask simulations...            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:56:50 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Maximum FlexCredit cost: 0.134. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.134\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:56:51 EDT Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:56:51 EDT\u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Subtasks status - start                                            \n",
+       "             Group ID: 'pa-80b32ccd-ee55-41e2-9409-3eedb5252ded'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mSubtasks status - start \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-80b32ccd-ee55-41e2-9409-3eedb5252ded'\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "b7eb1a035d274d5190214ba763455690", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:57:47 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:47 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:57:48 EDT Billed FlexCredit cost: 0.086. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:48 EDT\u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.086\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "c717dd11530149728cfb891f1d763cd9",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:57:50 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:50 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Created task 'final' with resource_id                              \n",
+       "             'sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'final'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b\n",
+       "             -40f3-bf9a-8d7fb8599e65'.                                          \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=923871;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=426511;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=923871;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=826247;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32msid\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=923871;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32m-a5113da9-103b\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=923871;https://tidy3d.simulation.cloud/workbench?taskId=sid-a5113da9-103b-40f3-bf9a-8d7fb8599e65\u001b\\\u001b[32m-40f3-bf9a-8d7fb8599e65'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=306032;https://tidy3d.simulation.cloud/folders/41078c53-acd3-4f23-98d5-8423bc5401c7\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "19e53b08c54e48e9babe419aa35b69a4", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:57:53 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - port_x_-@0: 'rf-63060054-b8f3-4678-9866-a0564cddebe8'            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:53 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- port_x_-@\u001b[1;36m0\u001b[0m: \u001b[32m'rf-63060054-b8f3-4678-9866-a0564cddebe8'\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Validating component modeler and subtask simulations...            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:57:54 EDT Maximum FlexCredit cost: 0.134. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:54 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.134\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:57:55 EDT Subtasks status - final                                            \n",
+       "             Group ID: 'pa-6dfb45bf-f1ad-40b4-9986-85c9d54914d4'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:57:55 EDT\u001b[0m\u001b[2;36m \u001b[0mSubtasks status - final \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-6dfb45bf-f1ad-40b4-9986-85c9d54914d4'\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "8c363341a3794017a9246a70d0ebab24", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "Output()" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:59:53 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:59:53 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 0.096. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.096\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "application/vnd.jupyter.widget-view+json": {
+       "model_id": "63c91301113643d2bbd69c679f325f39",
+       "version_major": 2,
+       "version_minor": 0
+      },
+      "text/plain": [
+       "Output()"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
\n"
+      ],
+      "text/plain": []
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    },
+    {
+     "data": {
+      "text/html": [
+       "
09:59:56 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:59:56 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "smatrix_start = web.run(modeler_start, task_name=\"start\").smatrix()\n", + "smatrix_final = web.run(modeler_final, task_name=\"final\").smatrix()" + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "id": "9db3a43a-b877-473b-a405-90e785ee680c", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "_, axes = plt.subplots(1, 2, tight_layout=True, figsize=(10, 4))\n", + "\n", + "wvs = td.C_0 / freqs\n", + "\n", + "for ax, smatrix, label in zip(axes, [smatrix_start, smatrix_final], [\"before\", \"after\"]):\n", + " for port in ports:\n", + " amps = smatrix.sel(port_in=ports[0].name, port_out=port.name).data.squeeze()\n", + " ts = np.abs(amps) ** 2\n", + " loss = 10 * np.log10(ts)\n", + " ax.plot(wvs, loss, label=f\"{port.name}\")\n", + " ax.set_title(label)\n", + " ax.set_ylim([-25, 1])\n", + " ax.set_ylabel(\"loss (dB)\")\n", + " ax.set_xlabel(\"wavelength (um)\")\n", + " ax.grid(\"on\")\n", + " ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d1b5690e-2057-443a-b45b-e161d8998e87", + "metadata": {}, + "source": [ + "As we can see, through transmission is very low loss whereas the transmission to the side ports is suppressed. " + ] + }, + { + "cell_type": "code", + "execution_count": 36, + "id": "12865b8e-6017-4e8f-ad67-92e70389b2c5", + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([2.34935260e-04, 8.71454911e-04, 9.90924129e-01, 8.71589045e-04])" + ] + }, + "execution_count": 36, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "abs(smatrix_final.interp(f=freq0).data.squeeze()) ** 2" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "45fe0072-e454-4340-aaa5-5903020c799e", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "applications": [ + "Passive photonic integrated circuit components" + ], + "description": "This notebook demonstrates the adjoint optimization of a wavelength division multiplexer using autograd.", + "feature_image": "./img/adjoint_9.png", + "features": [ + "Adjoint inverse design", + "2D simulation" + ], + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "keywords": "inverse design, WDM, design optimization, adjoint, Tidy3D, FDTD", + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.13.0" + }, + "title": "Adjoint Optimization of a WDM in Tidy3D | Flexcompute" + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/Autograd2GradientChecking.ipynb b/Autograd2GradientChecking.ipynb index 45afd283..44425e0a 100644 --- a/Autograd2GradientChecking.ipynb +++ b/Autograd2GradientChecking.ipynb @@ -7,9 +7,6 @@ "source": [ "# Adjoint analysis of a multi-layer slab\n", "\n", - "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin2GradientChecking/).\n", - "\n", "In this notebook, we will show how to differentiate with respect to `DiffractionMonitor` outputs in `tidy3d` and also check the gradient values against gradients obtained using transfer matrix method (TMM), validating their accuracy for a multilayer slab problem." ] }, @@ -1039,7 +1036,7 @@ "description": "This notebook demonstrates the adjoint analysis of a multi-layer slab in Tidy3D FDTD.", "feature_image": "", "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -1054,7 +1051,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "title": "Adjoint Analysis: Multi-layer Slab | Flexcompute", "widgets": { diff --git a/Autograd3InverseDesign.ipynb b/Autograd3InverseDesign.ipynb index 23c52716..46f9c4cf 100644 --- a/Autograd3InverseDesign.ipynb +++ b/Autograd3InverseDesign.ipynb @@ -13,7 +13,7 @@ "source": [ "# Inverse design optimization of a mode converter\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/).\n", + "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin3InverseDesign/).\n", "\n", "In this notebook, we will use inverse design and Tidy3D to create an integrated photonics component to convert a fundamental waveguide mode to a higher order mode." ] diff --git a/Autograd4MultiObjective.ipynb b/Autograd4MultiObjective.ipynb index 6cb9a391..10b3a170 100644 --- a/Autograd4MultiObjective.ipynb +++ b/Autograd4MultiObjective.ipynb @@ -7,8 +7,6 @@ "source": [ "# Multi-objective adjoint optimization\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin4MultiObjective/).\n", - "\n", "In this notebook, we will show how to use the adjoint plugin efficiently for objectives involving several simulations.\n", "\n", "One common application of this involves defining an objective function that may depend on several different definitions of your structures, each with some geometric or material modification. For example, including the performance of devices with slightly larger or smaller feature sizes into one's objective can serve to make optimization more robust to fabrication errors. For more details, see this [paper](https://link.springer.com/article/10.1007/s10409-009-0240-z). " @@ -729,7 +727,7 @@ "description": "This notebook demonstrates how to perform multi-objective adjoint optimization in Tidy3D FDTD.", "feature_image": "", "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -744,7 +742,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "title": "Multi-objective Adjoint Optimization in Tidy3D | Flexcompute", "widgets": { diff --git a/Autograd5BoundaryGradients.ipynb b/Autograd5BoundaryGradients.ipynb index dd4eb89c..ac682028 100644 --- a/Autograd5BoundaryGradients.ipynb +++ b/Autograd5BoundaryGradients.ipynb @@ -7,8 +7,6 @@ "source": [ "# Inverse design optimization of a waveguide taper\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin5BoundaryGradients/).\n", - "\n", "In this notebook, we will show how to use tidy3d to optimize a taper with respect to the boundaries of a structure defined using a `PolySlab`.\n", "\n", "We will apply this capability to design a non-adiabatic waveguide taper between a narrow and wide waveguide, based loosely on `Michaels, Andrew, and Eli Yablonovitch. \"Leveraging continuous material averaging for inverse electromagnetic design.\" Optics express 26.24 (2018): 31717-31737`.\n", @@ -1997,7 +1995,7 @@ "2D simulation" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -2012,7 +2010,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "title": "Inverse Design of a Waveguide Taper in Tidy3D | Flexcompute", "widgets": { diff --git a/Autograd6GratingCoupler.ipynb b/Autograd6GratingCoupler.ipynb index 11219652..caa06d94 100644 --- a/Autograd6GratingCoupler.ipynb +++ b/Autograd6GratingCoupler.ipynb @@ -6,8 +6,6 @@ "source": [ "# Inverse design optimization of a compact grating coupler\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/).\n", - "\n", "**This notebook contains a long optimization. Running the entire notebook will cost about 10 FlexCredits and take a few hours.**\n", "\n", "The ability to couple light in and out of photonic integrated circuits (PICs) is crucial for developing wafer-scale systems and tests. This need makes designing efficient and compact grating couplers an important task in the PIC development cycle. In this notebook, we will demonstrate how to use `tidy3d` to perform the inverse design of a compact 3D grating coupler. We will show how to improve design fabricability by enhancing permittivity binarization and controlling the device's minimum feature size.\n", @@ -1319,7 +1317,7 @@ "Adjoint inverse design" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -1334,7 +1332,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "nbdime-conflicts": { "local_diff": [ diff --git a/Autograd7Metalens.ipynb b/Autograd7Metalens.ipynb index a50aec68..046f0357 100644 --- a/Autograd7Metalens.ipynb +++ b/Autograd7Metalens.ipynb @@ -6,8 +6,6 @@ "source": [ "# Inverse design optimization of a metalens\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin7Metalens/).\n", - "\n", "In this notebook, we will use inverse design and the Tidy3D `autograd` feature to design a high numerical aperture (NA) metalens for optimal focusing to a point. This demo also introduces how to use automatic differentiation in `tidy3d` for objective functions that depend on the `FieldMonitor` outputs.\n", "\n", "We will follow the basic set up from Mansouree et al. \"Large-Scale Parametrized Metasurface Design Using Adjoint Optimization\". The published paper can be found [here](https://pubs.acs.org/doi/abs/10.1021/acsphotonics.0c01058) and the arxiv preprint can be found [here](https://arxiv.org/abs/2101.06292).\n", @@ -1541,7 +1539,7 @@ "Adjoint inverse design" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -1556,7 +1554,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "nbdime-conflicts": { "local_diff": [ diff --git a/Autograd8WaveguideBend.ipynb b/Autograd8WaveguideBend.ipynb index 66118c54..3df7dd62 100644 --- a/Autograd8WaveguideBend.ipynb +++ b/Autograd8WaveguideBend.ipynb @@ -7,8 +7,6 @@ "source": [ "# Adjoint-based shape optimization of a waveguide bend\n", "\n", - "> Note: native autograd support is an experimental feature in tidy3d 2.7. To see the original implementation of this notebook using jax and the adjoint plugin, refer to [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin8WaveguideBend/).\n", - "\n", "In this notebook, we will apply the adjoint method to the optimization of a low-loss waveguide bend. We start with a 90 degree bend in a SiN waveguide, parameterized using a `td.PolySlab`. \n", "\n", "We define an objective function that seeks to maximize the transmission of the TE0 output mode amplitude with respect to the position of the polygon vertices defining the bend. A penalty is applied to keep the local radii of curvature larger than a pre-defined value.\n", @@ -821,7 +819,7 @@ "\n", "With our gradients defined, we write a simple optimization loop using the [optax](https://optax.readthedocs.io/en/latest/) package. We use the `adam` method with a tunable number of steps and learning rate. The intermediate values, parameters, and data are stored for visualization later.\n", "\n", - "> Note: this will take several minutes. While not shown here, it is good practice to checkpoint your optimization results by saving to file on every iteration, or ensure you have a stable internet connection. See [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/) for more details. " + "> Note: this will take several minutes. While not shown here, it is good practice to checkpoint your optimization results by saving to file on every iteration, or ensure you have a stable internet connection. See [this notebook](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin6GratingCoupler/) for more details. " ] }, { @@ -1653,7 +1651,7 @@ "Adjoint inverse design" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -1668,7 +1666,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.10" + "version": "3.11.2" }, "title": "Adjoint Optimization of a Waveguide Bend in Tidy3D | Flexcompute" }, diff --git a/Autograd9WDM.ipynb b/Autograd9WDM.ipynb index 40287b04..c170ccf5 100644 --- a/Autograd9WDM.ipynb +++ b/Autograd9WDM.ipynb @@ -13,11 +13,11 @@ "\n", "\"Schematic\n", "\n", - "This demo combines the basic setup of our 3rd tutorial of a [mode converter](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/) with the multi-frequency feature introduced in Tidy3D version 2.5.\n", + "This demo combines the basic setup of our 3rd tutorial of a [mode converter](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin3InverseDesign/) with the multi-frequency feature introduced in Tidy3D version 2.5.\n", "\n", "We will follow many of the parameters outlined in `Cheung, Alfred KC, et al. \"Inverse-designed CWDM demultiplexer operated in O-band.\" Optical Fiber Communication Conference. Optica Publishing Group, 2024`. Although, to reduce the flex credit usage and run time, our setup will use a smaller device, run using a 2D simulation, and use a lower resolution.\n", "\n", - "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/).\n" + "If you are unfamiliar with inverse design, we also recommend our [intro to inverse design tutorials](https://www.flexcompute.com/tidy3d/learning-center/inverse-design/) and our [primer on automatic differentiation with tidy3d](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/).\n" ] }, { diff --git a/BilayerSiNEdgeCoupler.ipynb b/BilayerSiNEdgeCoupler.ipynb index 5369ab13..a948f88c 100644 --- a/BilayerSiNEdgeCoupler.ipynb +++ b/BilayerSiNEdgeCoupler.ipynb @@ -21,7 +21,7 @@ "\n", "\"Schematic\n", "\n", - "In another case study, we investigate [inverse taper edge couplers](https://www.flexcompute.com/tidy3d/examples/notebooks/EdgeCoupler/) at the telecom frequency. In addition, different grating coupler designs including the [uniform grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/GratingCoupler/), the [focusing apodized grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/FocusedApodGC/), and the [inverse designed compact grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin6GratingCoupler/) are also explored in various case studies. " + "In another case study, we investigate [inverse taper edge couplers](https://www.flexcompute.com/tidy3d/examples/notebooks/EdgeCoupler/) at the telecom frequency. In addition, different grating coupler designs including the [uniform grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/GratingCoupler/), the [focusing apodized grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/FocusedApodGC/), and the [inverse designed compact grating coupler](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin6GratingCoupler/) are also explored in various case studies. " ] }, { diff --git a/BroadbandDirectionalCoupler.ipynb b/BroadbandDirectionalCoupler.ipynb index bb916866..c1637f9f 100644 --- a/BroadbandDirectionalCoupler.ipynb +++ b/BroadbandDirectionalCoupler.ipynb @@ -1490,7 +1490,7 @@ "id": "050fbb8f", "metadata": {}, "source": [ - "The TMM analysis is only meant for an estimation of the optimal design parameters since TMM doesn't account for crucial details that can only be captured in a rigorous full wave simulation. Starting from the TMM analysis, we can further optimize $L_1$ and $L_2$ using 3D FDTD simulations. This can be done by performing a grid search (parameter sweeping), [adjoint optimization](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin1Intro/), or other gradient-free optimization around the initial values. Since parameter sweeping and adjoint optimization have been demonstrated in various examples such as the [MMI](https://www.flexcompute.com/tidy3d/examples/notebooks/MMI1x4/) and the [mode converter](https://www.flexcompute.com/tidy3d/examples/notebooks/AdjointPlugin3InverseDesign/), we won't do it again here but only report the final optimized design.\n", + "The TMM analysis is only meant for an estimation of the optimal design parameters since TMM doesn't account for crucial details that can only be captured in a rigorous full wave simulation. Starting from the TMM analysis, we can further optimize $L_1$ and $L_2$ using 3D FDTD simulations. This can be done by performing a grid search (parameter sweeping), [adjoint optimization](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin1Intro/), or other gradient-free optimization around the initial values. Since parameter sweeping and adjoint optimization have been demonstrated in various examples such as the [MMI](https://www.flexcompute.com/tidy3d/examples/notebooks/MMI1x4/) and the [mode converter](https://www.flexcompute.com/tidy3d/examples/notebooks/AutogradPlugin3InverseDesign/), we won't do it again here but only report the final optimized design.\n", "\n", "After some further optimization, we determine that the optimal $L_1$ and $L_2$ are 12.4 $\\mu m$ and 4.7 $\\mu m$, which is not far from the TMM estimation of 12.8$\\mu m$ and 4.4 $\\mu m$. Now we demonstrate the FDTD simulation on the optimized device. To define the DC structures, we use Tidy3D's built-in [PolySlab](https://docs.flexcompute.com/projects/tidy3d/en/latest/api/_autosummary/tidy3d.PolySlab.html). " ] diff --git a/CircularlyPolarizedPatchAntenna.ipynb b/CircularlyPolarizedPatchAntenna.ipynb index e583d4ed..e9d6acef 100644 --- a/CircularlyPolarizedPatchAntenna.ipynb +++ b/CircularlyPolarizedPatchAntenna.ipynb @@ -44,6 +44,7 @@ "\n", "# Import a few plugins which contain some of the tools we will use in this tutorial\n", "import tidy3d.plugins.smatrix as smatrix\n", + "import tidy3d.web as web\n", "from tidy3d.plugins.dispersion import FastDispersionFitter\n", "from tidy3d.plugins.microwave import LobeMeasurer\n", "\n", @@ -77,7 +78,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "4cac75e833184a6f9d1418804727cdd1", + "model_id": "0e866c30d6cc4fe3aafe6775f93e190a", "version_major": 2, "version_minor": 0 }, @@ -185,7 +186,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -452,7 +453,7 @@ }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -462,7 +463,7 @@ }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -593,7 +594,6 @@ " radiation_monitors=(mon_rad_spatial, mon_rad_freqency),\n", " freqs=freqs,\n", " remove_dc_component=True,\n", - " verbose=True,\n", ")" ] }, @@ -607,14 +607,57 @@ { "cell_type": "code", "execution_count": 8, - "metadata": { - "scrolled": true - }, + "metadata": {}, "outputs": [ + { + "data": { + "text/html": [ + "
09:26:32 EDT Created task 'cpa_modeler' with resource_id                        \n",
+       "             'sid-4a73bcb5-28b5-4085-b5cf-5e8f9936898e' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:26:32 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'cpa_modeler'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-4a73bcb5-28b5-4085-b5cf-5e8f9936898e'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-89\n",
+       "             57-27175dbc8b53'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=167144;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=24760;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=167144;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=681958;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=167144;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32m-e6dd70a3-1460-404d-89\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=167144;https://tidy3d.simulation.cloud/rf?taskId=pa-e6dd70a3-1460-404d-8957-27175dbc8b53\u001b\\\u001b[32m57-27175dbc8b53'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=887662;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "78a49e66da8d4c238898a882ff9a2428", + "model_id": "411d0c025c9d44d8b430019eb9607651", "version_major": 2, "version_minor": 0 }, @@ -638,11 +681,13 @@ { "data": { "text/html": [ - "
19:32:12 CEST Started working on Batch containing 1 tasks.                      \n",
+       "
09:26:34 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - coax_port: 'rf-ec54d970-195e-4b9e-b94f-6a694b5eb631'             \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:32:12 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m1\u001b[0m tasks. \n" + "\u001b[2;36m09:26:34 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- coax_port: \u001b[32m'rf-ec54d970-195e-4b9e-b94f-6a694b5eb631'\u001b[0m \n" ] }, "metadata": {}, @@ -651,11 +696,11 @@ { "data": { "text/html": [ - "
19:32:14 CEST Maximum FlexCredit cost: 0.245 for the whole batch.               \n",
+       "
09:26:35 EDT Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:32:14 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.245\u001b[0m for the whole batch. \n" + "\u001b[2;36m09:26:35 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -664,13 +709,43 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
             Maximum FlexCredit cost: 0.245. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.245\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:26:36 EDT Subtasks status - cpa_modeler                                      \n",
+       "             Group ID: 'pa-e6dd70a3-1460-404d-8957-27175dbc8b53'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:26:36 EDT\u001b[0m\u001b[2;36m \u001b[0mSubtasks status - cpa_modeler \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-e6dd70a3-1460-404d-8957-27175dbc8b53'\u001b[0m \n" ] }, "metadata": {}, @@ -679,7 +754,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "f114b417876d44dfbc2c20372a864584", + "model_id": "7c7465394a61456eb8f0269f469373b1", "version_major": 2, "version_minor": 0 }, @@ -693,11 +768,28 @@ { "data": { "text/html": [ - "
19:53:47 CEST Batch complete.                                                   \n",
+       "
09:27:56 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:56 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 0.157. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:53:47 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.157\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -716,7 +808,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "f4adb3321f864363ba9258669d8b92f0", + "model_id": "2391774cc5b04b71b77753eee7eb1ef9", "version_major": 2, "version_minor": 0 }, @@ -736,11 +828,25 @@ }, "metadata": {}, "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:27:58 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:58 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" } ], "source": [ "# Run the TerminalComponentModeler and populate the scattering matrix of this single CoaxialLumpedPort network.\n", - "s_matrix = modeler.run()" + "modeler_data = web.run(modeler, task_name=\"cpa_modeler\")\n", + "s_matrix = modeler_data.smatrix()" ] }, { @@ -759,7 +865,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -769,7 +875,7 @@ } ], "source": [ - "antenna_parameters_spatial = modeler.get_antenna_metrics_data(monitor_name=\"rad_spatial\")\n", + "antenna_parameters_spatial = modeler_data.get_antenna_metrics_data(monitor_name=\"rad_spatial\")\n", "partial_U = antenna_parameters_spatial.partial_radiation_intensity(pol_basis=\"circular\").sel(\n", " f=freq0\n", ")\n", @@ -863,7 +969,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -874,8 +980,8 @@ ], "source": [ "# Plot the reflection coefficient using the scattering parameter computed by the TerminalComponentModeler\n", - "freq = s_matrix.f / 1e9\n", - "s_11 = 20 * np.log10(np.abs(s_matrix.isel(port_out=0, port_in=0).values.flatten()))\n", + "freq = s_matrix.data.f / 1e9\n", + "s_11 = 20 * np.log10(np.abs(s_matrix.data.isel(port_out=0, port_in=0).values.flatten()))\n", "plt.plot(freq, s_11, \"-b\")\n", "plt.xlabel(\"Frequency (GHz)\")\n", "plt.ylabel(r\"$|S_{11}|$ (dB)\")\n", @@ -903,7 +1009,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -914,7 +1020,7 @@ ], "source": [ "# Retrieve the field data from the \"rad_frequency\" monitor\n", - "antenna_parameters_freq = modeler.get_antenna_metrics_data(monitor_name=\"rad_frequency\")\n", + "antenna_parameters_freq = modeler_data.get_antenna_metrics_data(monitor_name=\"rad_frequency\")\n", "axial_ratio = antenna_parameters_freq.axial_ratio\n", "\n", "freq = axial_ratio.f.values.squeeze() / 1e9\n", @@ -947,7 +1053,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -989,7 +1095,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1061,10 +1167,55 @@ "execution_count": 16, "metadata": {}, "outputs": [ + { + "data": { + "text/html": [ + "
09:27:59 EDT Created task 'cpa_modeler_diff_feed' with resource_id              \n",
+       "             'sid-c96502e6-e43c-4e38-ac35-ac43cb2493f5' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:59 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'cpa_modeler_diff_feed'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-c96502e6-e43c-4e38-ac35-ac43cb2493f5'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92\n",
+       "             d3-c07822d5b3e3'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=551197;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=546048;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=551197;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=100946;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=551197;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32m-d149991f-42e3-45fb-92\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=551197;https://tidy3d.simulation.cloud/rf?taskId=pa-d149991f-42e3-45fb-92d3-c07822d5b3e3\u001b\\\u001b[32md3-c07822d5b3e3'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=24639;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "5f1e31c1ac7442adad555f94f1749507", + "model_id": "51f73ad136f946b28e0197c4775e1532", "version_major": 2, "version_minor": 0 }, @@ -1088,11 +1239,15 @@ { "data": { "text/html": [ - "
19:54:02 CEST Started working on Batch containing 2 tasks.                      \n",
+       "
09:28:01 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - coax_port: 'rf-fb5cd557-14ac-44be-9019-3b3a1ed33c81'             \n",
+       "             - diff_port: 'rf-e310e6a4-f462-4fa1-b4ff-5961bae7a2f0'             \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:54:02 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m2\u001b[0m tasks. \n" + "\u001b[2;36m09:28:01 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- coax_port: \u001b[32m'rf-fb5cd557-14ac-44be-9019-3b3a1ed33c81'\u001b[0m \n", + "\u001b[2;36m \u001b[0m- diff_port: \u001b[32m'rf-e310e6a4-f462-4fa1-b4ff-5961bae7a2f0'\u001b[0m \n" ] }, "metadata": {}, @@ -1101,11 +1256,11 @@ { "data": { "text/html": [ - "
19:54:05 CEST Maximum FlexCredit cost: 0.669 for the whole batch.               \n",
+       "
09:28:03 EDT Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:54:05 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.669\u001b[0m for the whole batch. \n" + "\u001b[2;36m09:28:03 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -1114,13 +1269,43 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
             Maximum FlexCredit cost: 0.669. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.669\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:28:04 EDT Subtasks status - cpa_modeler_diff_feed                            \n",
+       "             Group ID: 'pa-d149991f-42e3-45fb-92d3-c07822d5b3e3'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:28:04 EDT\u001b[0m\u001b[2;36m \u001b[0mSubtasks status - cpa_modeler_diff_feed \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-d149991f-42e3-45fb-92d3-c07822d5b3e3'\u001b[0m \n" ] }, "metadata": {}, @@ -1129,7 +1314,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "6f9ab37f1bbb466ebf8035b07f9cdc7a", + "model_id": "c66184f7032f4940bf8082a3ec33ea6d", "version_major": 2, "version_minor": 0 }, @@ -1143,11 +1328,28 @@ { "data": { "text/html": [ - "
19:55:50 CEST Batch complete.                                                   \n",
+       "
09:29:24 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:29:24 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 0.474. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:55:50 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.474\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -1166,7 +1368,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "2bafa99c988d4dabb27c430ac4b91f35", + "model_id": "5458954917f649a39dd834366f998df0", "version_major": 2, "version_minor": 0 }, @@ -1186,11 +1388,25 @@ }, "metadata": {}, "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:29:29 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:29:29 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" } ], "source": [ "# Run the TerminalComponentModeler and populate the scattering matrix of this single CoaxialLumpedPort network.\n", - "s_matrix = modeler_diff_feed.run()" + "modeler_diff_feed_data = web.run(modeler_diff_feed, task_name=\"cpa_modeler_diff_feed\")\n", + "s_matrix = modeler_diff_feed_data.smatrix()" ] }, { @@ -1208,7 +1424,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1226,7 +1442,7 @@ "\n", "# Create a differential excitation by setting the amplitudes of the two ports to be equal in magnitude but opposite in phase.\n", "port_amplitudes = {\"coax_port\": 1.0, \"diff_port\": -1.0}\n", - "antenna_parameters_shell = modeler_diff_feed.get_antenna_metrics_data(\n", + "antenna_parameters_shell = modeler_diff_feed_data.get_antenna_metrics_data(\n", " port_amplitudes, monitor_name=\"rad_spatial\"\n", ")\n", "D_right_diff = antenna_parameters_shell.partial_directivity(pol_basis=\"circular\").Dright.sel(\n", @@ -1281,7 +1497,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1321,6 +1537,13 @@ "\n", "[2] C. A. Balanis, *Antenna Theory: Analysis and Design*. John Wiley & Sons, 2016." ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { @@ -1335,9 +1558,9 @@ ], "feature_image": "./img/circularly_polarized_patch_antenna.png", "kernelspec": { - "display_name": ".venv", + "display_name": "Python (dev env)", "language": "python", - "name": "python3" + "name": "tidy3d-dev" }, "keywords": "microwave, RF, antenna, lobe, circular polarization, directivity, axial ratio, gain, Tidy3D, FDTD", "language_info": { @@ -1350,7 +1573,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.13.5" + "version": "3.13.2" }, "title": "Circularly polarized patch antenna with parasitic strips in Tidy3D FDTD" }, diff --git a/CoupledLineBandpassFilter.ipynb b/CoupledLineBandpassFilter.ipynb index 812fdfc4..dcc51ba0 100644 --- a/CoupledLineBandpassFilter.ipynb +++ b/CoupledLineBandpassFilter.ipynb @@ -38,6 +38,7 @@ "\n", "# Tidy3d plugin imports\n", "import tidy3d.plugins.smatrix as smatrix\n", + "import tidy3d.web as web\n", "from scipy import (\n", " optimize as opt,\n", ")\n", @@ -212,7 +213,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -355,7 +356,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -390,7 +391,6 @@ " simulation=sim,\n", " ports=[port_1_td, port_2_td],\n", " freqs=freqs,\n", - " verbose=True,\n", ")\n", "\n", "# Before running the simulations, we can view the mesh to get an idea of how the mesh overrides have performed.\n", @@ -428,10 +428,55 @@ "id": "d9476e25", "metadata": {}, "outputs": [ + { + "data": { + "text/html": [ + "
09:26:48 EDT Created task 'coupled_line_bandpass_filter' with resource_id       \n",
+       "             'sid-8ce6aee0-a098-4d9a-bfde-3c8f0a94552a' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:26:48 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'coupled_line_bandpass_filter'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-8ce6aee0-a098-4d9a-bfde-3c8f0a94552a'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84\n",
+       "             d6-b904c8e6abfc'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=735222;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=787970;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=735222;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=994803;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=735222;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32m-b5cba92c-41d4-43f9-84\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=735222;https://tidy3d.simulation.cloud/rf?taskId=pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc\u001b\\\u001b[32md6-b904c8e6abfc'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=913913;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "872c73842f504d20bd120f6b639e6ca6", + "model_id": "62f20db7c7324733a9cbac1d80894d42", "version_major": 2, "version_minor": 0 }, @@ -455,11 +500,28 @@ { "data": { "text/html": [ - "
19:32:20 CEST Started working on Batch containing 2 tasks.                      \n",
+       "
09:26:49 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - lumped_port_2: 'rf-6be81e1e-7261-4760-a394-facc56b33245'         \n",
+       "             - lumped_port_1: 'rf-57634b49-ce73-4321-8067-a712920d0e87'         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:26:49 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- lumped_port_2: \u001b[32m'rf-6be81e1e-7261-4760-a394-facc56b33245'\u001b[0m \n", + "\u001b[2;36m \u001b[0m- lumped_port_1: \u001b[32m'rf-57634b49-ce73-4321-8067-a712920d0e87'\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:26:50 EDT Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:32:20 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m2\u001b[0m tasks. \n" + "\u001b[2;36m09:26:50 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -468,11 +530,15 @@ { "data": { "text/html": [ - "
19:32:23 CEST Maximum FlexCredit cost: 0.304 for the whole batch.               \n",
+       "
             Maximum FlexCredit cost: 0.304. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:32:23 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.304\u001b[0m for the whole batch. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.304\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -481,13 +547,26 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
09:26:51 EDT Component modeler batch validation has been successful.            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m09:26:51 EDT\u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Subtasks status - coupled_line_bandpass_filter                     \n",
+       "             Group ID: 'pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mSubtasks status - coupled_line_bandpass_filter \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-b5cba92c-41d4-43f9-84d6-b904c8e6abfc'\u001b[0m \n" ] }, "metadata": {}, @@ -496,7 +575,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "bd76e3d58af34d1daef6a68ac24419da", + "model_id": "c474d1dd3fd8433c9b9343b2bf0971ab", "version_major": 2, "version_minor": 0 }, @@ -510,11 +589,28 @@ { "data": { "text/html": [ - "
19:54:47 CEST Batch complete.                                                   \n",
+       "
09:27:36 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:36 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:27:37 EDT Billed FlexCredit cost: 0.085. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:54:47 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m09:27:37 EDT\u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.085\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -533,7 +629,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "1539780dd2d2460a98542a9952fe942e", + "model_id": "ac2eee2e2ca24189a9f5bed3d4675183", "version_major": 2, "version_minor": 0 }, @@ -553,11 +649,25 @@ }, "metadata": {}, "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:27:39 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:39 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" } ], "source": [ "# Run the jobs and save the scattering parameters\n", - "s_matrix = modeler.run()" + "modeler_data = web.run(modeler, task_name=\"coupled_line_bandpass_filter\")\n", + "s_matrix = modeler_data.smatrix()" ] }, { @@ -578,31 +688,7 @@ "outputs": [ { "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "fa82c62bdfcb455aba8de95a39438973", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "image/png": "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",
+      "image/png": "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",
       "text/plain": [
        "
" ] @@ -612,7 +698,7 @@ } ], "source": [ - "sim1 = modeler.batch.load()[\"smatrix_lumped_port_1\"]\n", + "sim1 = modeler_data.data[\"lumped_port_1\"]\n", "\n", "f, (ax1, ax2) = plt.subplots(1, 2, tight_layout=True, figsize=(11, 3))\n", "sim1.plot_field(field_monitor_name=\"field\", field_name=\"Ez\", val=\"abs\", f=freq_stopband, ax=ax1)\n", @@ -751,7 +837,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -776,8 +862,8 @@ " freqs / 1e9,\n", " 20 * np.log10(np.abs(ntw.s[:, 0, 0])),\n", " \"-r\",\n", - " s_matrix.f / 1e9,\n", - " 20 * np.log10(np.abs(s_matrix.isel(port_out=0, port_in=0).values.flatten())),\n", + " s_matrix.data.f / 1e9,\n", + " 20 * np.log10(np.abs(s_matrix.data.isel(port_out=0, port_in=0).values.flatten())),\n", " \"--b\",\n", ")\n", "ax1.legend([\"scikit-rf\", \"Tidy3D\"], loc=\"lower right\")\n", @@ -790,8 +876,8 @@ " freqs / 1e9,\n", " 20 * np.log10(np.abs(ntw.s[:, 0, 1])),\n", " \"-r\",\n", - " s_matrix.f / 1e9,\n", - " 20 * np.log10(np.abs(s_matrix.isel(port_out=0, port_in=1).values.flatten())),\n", + " s_matrix.data.f / 1e9,\n", + " 20 * np.log10(np.abs(s_matrix.data.isel(port_out=0, port_in=1).values.flatten())),\n", " \"--b\",\n", ")\n", "ax2.legend([\"scikit-rf\", \"Tidy3D\"], loc=\"lower right\")\n", @@ -836,9 +922,9 @@ "output_type": "stream", "text": [ "The new optimized dimensions for the coupled microstrips are: \n", - "Widths (mm): [1.41612799 2.39856519 2.39856519 1.41612799]\n", + "Widths (mm): [1.41612798 2.39856517 2.39856517 1.41612798]\n", "Lengths (mm): [6.60907321 6.39916412 6.39916412 6.60907321]\n", - "Gaps (mm): [0.13409209 0.38633752 0.38633752 0.13409209]\n" + "Gaps (mm): [0.13409209 0.38633751 0.38633751 0.13409209]\n" ] } ], @@ -923,10 +1009,57 @@ "id": "659918cf", "metadata": {}, "outputs": [ + { + "data": { + "text/html": [ + "
09:27:40 EDT Created task 'coupled_line_bandpass_filter_optimized' with         \n",
+       "             resource_id 'sid-3c79c88f-fe96-413c-821b-f939c8084c43' and         \n",
+       "             task_type 'RF'.                                                    \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:40 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'coupled_line_bandpass_filter_optimized'\u001b[0m with \n", + "\u001b[2;36m \u001b[0mresource_id \u001b[32m'sid-3c79c88f-fe96-413c-821b-f939c8084c43'\u001b[0m and \n", + "\u001b[2;36m \u001b[0mtask_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-80\n",
+       "             94-0d2d645306f4'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=742025;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=13191;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=742025;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=318724;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=742025;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32m-b95f0ac6-5d0f-4269-80\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=742025;https://tidy3d.simulation.cloud/rf?taskId=pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4\u001b\\\u001b[32m94-0d2d645306f4'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=511758;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "f915f047effb4be8b0fef7a8a7875abf", + "model_id": "46907bf06eac42cab8f1e855ef05f40e", "version_major": 2, "version_minor": 0 }, @@ -950,11 +1083,15 @@ { "data": { "text/html": [ - "
19:55:02 CEST Started working on Batch containing 2 tasks.                      \n",
+       "
09:27:41 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - lumped_port_2: 'rf-3dbf9397-ff00-459b-815b-3440f001e8e1'         \n",
+       "             - lumped_port_1: 'rf-f2878ca6-6404-47e6-9dd9-73df8dea68d8'         \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:55:02 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m2\u001b[0m tasks. \n" + "\u001b[2;36m09:27:41 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- lumped_port_2: \u001b[32m'rf-3dbf9397-ff00-459b-815b-3440f001e8e1'\u001b[0m \n", + "\u001b[2;36m \u001b[0m- lumped_port_1: \u001b[32m'rf-f2878ca6-6404-47e6-9dd9-73df8dea68d8'\u001b[0m \n" ] }, "metadata": {}, @@ -963,11 +1100,11 @@ { "data": { "text/html": [ - "
19:55:04 CEST Maximum FlexCredit cost: 0.303 for the whole batch.               \n",
+       "
09:27:42 EDT Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:55:04 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.303\u001b[0m for the whole batch. \n" + "\u001b[2;36m09:27:42 EDT\u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -976,13 +1113,43 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
09:27:43 EDT Maximum FlexCredit cost: 0.303. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m09:27:43 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.303\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Subtasks status - coupled_line_bandpass_filter_optimized           \n",
+       "             Group ID: 'pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mSubtasks status - coupled_line_bandpass_filter_optimized \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-b95f0ac6-5d0f-4269-8094-0d2d645306f4'\u001b[0m \n" ] }, "metadata": {}, @@ -991,7 +1158,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "8412984011c042bd80cb98f3a10547f3", + "model_id": "88d9bdc9224f4d85b192957787873a9c", "version_major": 2, "version_minor": 0 }, @@ -1005,11 +1172,28 @@ { "data": { "text/html": [ - "
19:56:47 CEST Batch complete.                                                   \n",
+       "
09:28:42 EDT Modeler has finished running successfully.                         \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m19:56:47 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m09:28:42 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 0.133. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m0.133\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -1028,7 +1212,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "bc357f76ca0947578e901a427584c660", + "model_id": "da25769f286c4be9a4ceb0f0843f3357", "version_major": 2, "version_minor": 0 }, @@ -1048,6 +1232,19 @@ }, "metadata": {}, "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:28:45 EDT loading component modeler data from ./cm_data.hdf5                 \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:28:45 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" + ] + }, + "metadata": {}, + "output_type": "display_data" } ], "source": [ @@ -1122,11 +1319,11 @@ " simulation=sim,\n", " ports=[port_1_td, port_2_td],\n", " freqs=freqs,\n", - " verbose=True,\n", ")\n", "\n", "# Run the jobs and save the scattering parameters\n", - "s_matrix = modeler.run()" + "modeler_data = web.run(modeler, task_name=\"coupled_line_bandpass_filter_optimized\")\n", + "s_matrix = modeler_data.smatrix()" ] }, { @@ -1149,7 +1346,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1175,8 +1372,8 @@ " freqs / 1e9,\n", " 20 * np.log10(np.abs(ntw.s[:, 0, 0])),\n", " \"-r\",\n", - " s_matrix.f / 1e9,\n", - " 20 * np.log10(np.abs(s_matrix.isel(port_out=0, port_in=0).values.flatten())),\n", + " s_matrix.data.f / 1e9,\n", + " 20 * np.log10(np.abs(s_matrix.data.isel(port_out=0, port_in=0).values.flatten())),\n", " \"--b\",\n", ")\n", "ax1.legend([\"scikit-rf\", \"Tidy3D\"], loc=\"lower right\")\n", @@ -1189,8 +1386,8 @@ " freqs / 1e9,\n", " 20 * np.log10(np.abs(ntw.s[:, 0, 1])),\n", " \"-r\",\n", - " s_matrix.f / 1e9,\n", - " 20 * np.log10(np.abs(s_matrix.isel(port_out=0, port_in=1).values.flatten())),\n", + " s_matrix.data.f / 1e9,\n", + " 20 * np.log10(np.abs(s_matrix.data.isel(port_out=0, port_in=1).values.flatten())),\n", " \"--b\",\n", ")\n", "ax2.legend([\"scikit-rf\", \"Tidy3D\"], loc=\"upper right\")\n", @@ -1226,9 +1423,9 @@ "Smatrix plugin" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python (dev env)", "language": "python", - "name": "python3" + "name": "tidy3d-dev" }, "keywords": "microwave, RF, network analysis, insertion loss method, filter, Tidy3D, FDTD", "language_info": { @@ -1241,7 +1438,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.13.5" + "version": "3.13.2" }, "title": "Designing and optimizing a coupled microstrip line bandpass filter in Tidy3D FDTD" }, diff --git a/DifferentialStripline.ipynb b/DifferentialStripline.ipynb index d3a4fcdf..24722b4d 100644 --- a/DifferentialStripline.ipynb +++ b/DifferentialStripline.ipynb @@ -38,6 +38,7 @@ "import tidy3d as td\n", "import tidy3d.plugins.microwave as mw\n", "import tidy3d.plugins.smatrix as sm\n", + "import tidy3d.web as web\n", "from tidy3d.plugins.dispersion import FastDispersionFitter\n", "\n", "td.config.logging_level = \"ERROR\"" @@ -153,7 +154,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "3dde875959f9438ba8335852be48f86b", + "model_id": "cbfd97d628eb41a6a0475da016afa761", "version_major": 2, "version_minor": 0 }, @@ -453,7 +454,7 @@ " structures=[str_sub, str_strip_left, str_strip_right, str_gnd_top, str_gnd_bot],\n", " monitors=[field_mon_1],\n", " run_time=2e-9, # simulation run time in seconds\n", - " shutoff=1e-7, # lower shutoff threshold for more accurate low frequency\n", + " shutoff=1e-7, # lower shutoff threshold for more accurate small signal\n", " plot_length_units=\"mm\",\n", " symmetry=(-1, 0, 0), # odd symmetry in x-direction\n", ")" @@ -478,8 +479,6 @@ " simulation=sim, # simulation, previously defined\n", " ports=[WP1, WP2], # wave ports, previously defined\n", " freqs=freqs, # S-parameter frequency points\n", - " verbose=True, # verbose reporting\n", - " path_dir=\"data\", # simulation data directory\n", ")" ] }, @@ -499,7 +498,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -562,15 +561,15 @@ { "data": { "text/html": [ - "
20:00:17 CEST Created task 'mode solver' with task_id                           \n",
-       "              'mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6' and task_type           \n",
-       "              'MODE_SOLVER'.                                                    \n",
+       "
09:27:14 EDT Created task 'mode solver' with resource_id                        \n",
+       "             'mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e' and task_type            \n",
+       "             'MODE_SOLVER'.                                                     \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:17 CEST\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'mode solver'\u001b[0m with task_id \n", - "\u001b[2;36m \u001b[0m\u001b[32m'mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6'\u001b[0m and task_type \n", - "\u001b[2;36m \u001b[0m\u001b[32m'MODE_SOLVER'\u001b[0m. \n" + "\u001b[2;36m09:27:14 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'mode solver'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e'\u001b[0m and task_type \n", + "\u001b[2;36m \u001b[0m\u001b[32m'MODE_SOLVER'\u001b[0m. \n" ] }, "metadata": {}, @@ -579,15 +578,15 @@ { "data": { "text/html": [ - "
              View task using web UI at                                         \n",
-       "              'https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e\n",
-       "              -4ad7-8c67-452dbc05d0f6'.                                         \n",
+       "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-\n",
+       "             409a-b3c6-60e5f33d221e'.                                           \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=265169;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=843138;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=265169;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=379974;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32mmo\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=265169;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32m-604e9d1b-cb0e\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=265169;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[32m-4ad7-8c67-452dbc05d0f6'\u001b[0m\u001b]8;;\u001b\\. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=925033;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=540378;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=925033;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=814591;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32mmo\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=925033;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32m-2fc07a6f-e7f5-\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=925033;https://tidy3d.simulation.cloud/workbench?taskId=mo-2fc07a6f-e7f5-409a-b3c6-60e5f33d221e\u001b\\\u001b[32m409a-b3c6-60e5f33d221e'\u001b[0m\u001b]8;;\u001b\\. \n" ] }, "metadata": {}, @@ -596,11 +595,11 @@ { "data": { "text/html": [ - "
              Task folder: 'default'.                                           \n",
+       "
             Task folder: 'default'.                                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=356774;https://tidy3d.simulation.cloud/folders/folder-7a0ee478-ee62-43e0-9a9e-26a06b299b0a\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=772260;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" ] }, "metadata": {}, @@ -609,7 +608,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "04dd0691e0f343b1bc235581afff838b", + "model_id": "83adfe49941c49229b5314c9c343b98d", "version_major": 2, "version_minor": 0 }, @@ -633,15 +632,15 @@ { "data": { "text/html": [ - "
20:00:19 CEST Maximum FlexCredit cost: 0.014. Minimum cost depends on task      \n",
-       "              execution details. Use 'web.real_cost(task_id)' to get the billed \n",
-       "              FlexCredit cost after a simulation run.                           \n",
+       "
09:27:15 EDT Estimated FlexCredit cost: 0.014. Minimum cost depends on task     \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:19 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m0.014\u001b[0m. Minimum cost depends on task \n", - "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", - "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + "\u001b[2;36m09:27:15 EDT\u001b[0m\u001b[2;36m \u001b[0mEstimated FlexCredit cost: \u001b[1;36m0.014\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -650,30 +649,11 @@ { "data": { "text/html": [ - "
20:00:20 CEST status = queued                                                   \n",
+       "
09:27:16 EDT status = success                                                   \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:20 CEST\u001b[0m\u001b[2;36m \u001b[0mstatus = queued \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
              To cancel the simulation, use 'web.abort(task_id)' or             \n",
-       "              'web.delete(task_id)' or abort/delete the task in the web UI.     \n",
-       "              Terminating the Python script will not stop the job running on the\n",
-       "              cloud.                                                            \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTo cancel the simulation, use \u001b[32m'web.abort\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or \n", - "\u001b[2;36m \u001b[0m\u001b[32m'web.delete\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m or abort/delete the task in the web UI. \n", - "\u001b[2;36m \u001b[0mTerminating the Python script will not stop the job running on the\n", - "\u001b[2;36m \u001b[0mcloud. \n" + "\u001b[2;36m09:27:16 EDT\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" ] }, "metadata": {}, @@ -682,7 +662,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "5e8515675c8447e4baec771480c5ded2", + "model_id": "2f7edb449d14494fa69fac8a1f5d4545", "version_major": 2, "version_minor": 0 }, @@ -706,101 +686,11 @@ { "data": { "text/html": [ - "
20:00:25 CEST starting up solver                                                \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m20:00:25 CEST\u001b[0m\u001b[2;36m \u001b[0mstarting up solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
              running solver                                                    \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mrunning solver \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
20:00:37 CEST status = success                                                  \n",
+       "
09:27:24 EDT loading simulation from simulation_data.hdf5                       \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:37 CEST\u001b[0m\u001b[2;36m \u001b[0mstatus = success \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
              View simulation result at                                         \n",
-       "              'https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e\n",
-       "              -4ad7-8c67-452dbc05d0f6'.                                         \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView simulation result at \n", - "\u001b[2;36m \u001b[0m\u001b]8;id=432424;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34m'https://tidy3d.simulation.cloud/workbench?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=713939;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=432424;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=213059;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34mmo\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=432424;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34m-604e9d1b-cb0e\u001b[0m\u001b]8;;\u001b\\\n", - "\u001b[2;36m \u001b[0m\u001b]8;id=432424;https://tidy3d.simulation.cloud/workbench?taskId=mo-604e9d1b-cb0e-4ad7-8c67-452dbc05d0f6\u001b\\\u001b[4;34m-4ad7-8c67-452dbc05d0f6'\u001b[0m\u001b]8;;\u001b\\\u001b[4;34m.\u001b[0m \n" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "21a6bb84dbfa46538dc763aab2d42277", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    },
-    {
-     "data": {
-      "text/html": [
-       "
20:00:41 CEST loading simulation from simulation_data.hdf5                      \n",
-       "
\n" - ], - "text/plain": [ - "\u001b[2;36m20:00:41 CEST\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" + "\u001b[2;36m09:27:24 EDT\u001b[0m\u001b[2;36m \u001b[0mloading simulation from simulation_data.hdf5 \n" ] }, "metadata": {}, @@ -827,7 +717,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABDoAAADDCAYAAABj/3F7AAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjMsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvZiW1igAAAAlwSFlzAAAPYQAAD2EBqD+naQAAf3NJREFUeJztnXmY1ET6x7+VpI+5h+GY4T5EBAXkEkTxWEBnwAtFBEURQXRX8cJVwVVAZMFVVDxwXQ/w+IEoqKyuirIoqyKCgOgqh8J6cM1wDHPP9HSS+v3RV9Kd9HT3dE/3dL+f56mnu5NKpVJJJ2/eeg/GOecgCIIgCIIgCIIgCIJIAoR4d4AgCIIgCIIgCIIgCCJakKKDIAiCIAiCIAiCIIikgRQdBEEQBEEQBEEQBEEkDaToIAiCIAiCIAiCIAgiaSBFB0EQBEEQBEEQBEEQSQMpOgiCIAiCIAiCIAiCSBpI0UEQBEEQBEEQBEEQRNJAig6CIAiCIAiCIAiCIJIGUnQQBEEQhBup63BInc+LdzcIgiAIgkgBSkpKIOb3QXl5eby7knQwzjmPdycIgiAIIt4cOXIE+W07AFzFr7/sQ+fOnePdJYIgCIIgkhixVU+ox/dAaNMHSsn38e5OUkGKDoIgCIIAILbuBV5fDYhWABxq6b54d4kgCIIgiCRl9+7d6HVqb4idzoby+0b8/tsv6NixY7y7lTSQ6wpBJDFvvfUW8vLyUFVVFbN9TJgwAVdddVXM2ieIpuCnn36CevxniO0GQWw7ALzsN2zfvj3e3SIIgmhWkNxBEKFz6pBCCHknQ2hxElhuZ3Q+fXi8u5RUkKKDIBKAV155BYwxb5EkCe3bt8fkyZNx8ODBiNpUFAVz5szBbbfdhszMTO/yLl266PalLUVFRWHv57777sPbb7+N7777LqJ+EkQi0HPwhRDyTgKz54JZMyG0PhWDzrsYZPRIEEQyQnIHQcSXL774ArzqMISCfgAAsWAAeNkvdF1HESneHSAIwse8efPQtWtX1NXV4euvv8Yrr7yCL7/8Ej/88APsdntYbb3//vvYs2cPbrrppoB1/fr1w9133x2wvF27dmH3uX///hg0aBAef/xxvPbaa2FvTxDxZuPGjeCVByH2GutdJuT3hbxzNT766COMHj06rv0jCIKIFSR3EETTwznHeUVXQGjTG8ySBgBgtiwIrXqh/zmjoVZEpmwk9JCigyASiFGjRmHQoEEAgBtvvBGtWrXC3/72N7z33nthm2kuW7YMZ599Ntq3bx+wrn379rj22muj1u+rrroKc+bMwXPPPaebxSGIRIdzjnMuvNwtbKR7lzPRCqGgHy4aOxHOyqOQJHpcEgSRfJDcQRBNz1tvvQXurIHYprduuZDfF/Ku1fj4449RWFgYt/4lC+S6QhAJzDnnnAMA2LfPFxRx9+7duPLKK5GXlwe73Y5Bgwbhvffe021XV1eHtWvXYuTIkRHtd9myZWCM4dtvvw1Yt2DBAoiiqDNtveCCC1BdXY1169ZFtD+CiBfvv/8+eH0VhNa9A9YJLU8BVAUrVqyIS98IgiCamnjJHUeOHEHr1q1x/vnn61wG9+7di4yMDIwfP15Xn+QOojkzYdKNEAv6gwn6SRQm2SDkn46iy6+JW9+SCVJ0EEQC8+uvvwIAWrRoAQD48ccfceaZZ2LXrl2YOXMmHn/8cWRkZGDMmDF49913vdtt27YN9fX1GDBggGG7TqcTx44dCyi1tbUAgCuvvBJpaWlYvnx5wLbLly/H+eefr5uxOfXUU5GWloaNGzdGfQwIIpb873//A8vMh2CxgwmirgiSFUJWO53ATxAEkczES+5o06YN/v73v+M///kPnnnmGQCAqqqYPHkysrKy8Nxzz+naI7mDaNbUV0HI6RggdzBBhJDTCdkWOd49TArIFpcgEojy8nIcO3YMdXV12Lx5Mx566CHYbDZcfPHFAIA77rgDnTp1wjfffAObzQYAuOWWWzBs2DDcd999uPzyywH37AsAdO3a1XA/n3zyCVq3bh2wfOHChZg5cyaysrIwZswYvPHGG3j00UchCC6d6LfffoudO3finnvu0W0nSRI6duyInTt3RnlECCL2MDAwQTRZyZq6OwRBEE1GosgdcE+yXH311Zg1axZGjRqFf/7zn9i4cSPWrFmDli1b6rYjuYNo7ngUG4HLyQ4hWpCigyASCH+Tzy5duuD//u//0KFDB5SWluLTTz/FvHnzUFlZicrKSm+9wsJCzJkzBwcPHkT79u1x/PhxQDMj48+QIUMwf/78gOUnn3yy9/ukSZPwxhtv4LPPPsOIESMAtzVHWloaxo4dG7BtixYtcOzYsUYcPUHECUGAIFkNV5HAQRBEMpNIcgcAPPvss9iwYQOuvPJK/PTTT7juuutw2WWXGbZJcgfRnGGixVj2EC3x6E5SQooOgkgglixZgh49eqC8vBxLly7F559/7p1B2bt3LzjnePDBB/Hggw8abn/kyBGdS4lZasxWrVo16Ed7wQUXoG3btli+fDlGjBgBVVXxxhtv4LLLLkNWVlZAfc45GM1+E80QxgSIFjNFh4mlB0EQRBKQSHIHAOTl5eHpp5/GuHHjkJ+fj6efftq0LskdRHNGtFggGMkeEik6ogUpOggigRg8eLA3+vmYMWMwbNgwXHPNNdizZw9UVQUA/PnPfzaNxNy9e3cA8Jp4njhxAh06dIioL6Io4pprrsGLL76I5557Dhs3bsShQ4dMo6afOHEiYGaGIJoDLJhFByOLDoIgkpdEkjs8fPzxx962Dhw4gNzcXMN6JHcQzRlBtBrKHpwUHVGDFB0EkaCIooiFCxfiD3/4A5599llMmTIFAGCxWBqcFenZsycA4JdffkGfPn0i7sOkSZPw+OOP4/3338dHH32E1q1bGwo7sixj//79uPTSSyPeF0HEC8YYBBPBglxXCIJIFRJB7li7di1eeukl3HvvvVi+fDmuv/56bN68OSDFN8kdRHNHkCRD2YOL9HoeLUiCI4gE5vzzz8fgwYOxePFiZGdn4/zzz8c//vEPHD58OKDu0aNHvd8HDhwIq9WKrVu3Nmr/ffv2Rd++ffHSSy/h7bffxoQJEwKEDQDYuXMn6urqcNZZZzVqfwQRH5hh5HOX2wqZRRMEkTrEU+4oKyvDjTfeiMGDB2PBggV46aWXsH37dixYsCCgLskdRHOHMWO5g1xmowcpOggiwbnnnntQUlKCV155BUuWLAHnHH369MGsWbPw4osvYv78+bjooot0sy12ux0XXngh/v3vfxu2efDgQfzf//1fQFmzZk1A3UmTJuGTTz5BRUWFqdvKunXrkJ6ejgsuuCCKR04QTQMTBAgWq2EhgYMgiFQjXnLHHXfcgePHj+PVV1+FKIooKirCjTfeiPnz5+O7777TtUdyB9HcYe4YHQFyR4SuK0uWLEGXLl1gt9sxZMgQbNmyxbTujz/+iLFjx6JLly5gjGHx4sWNbjMRIdsYgkhwrrjiCpx00klYtGgRpk2bhq1bt+Khhx7CK6+8guPHj6NNmzbo378/Zs+erdtuypQpGDt2LPbv34+OHTvq1u3YsQPXXXddwL46d+6MMWPG6JZNnDgR9913H0466SQMHjzYsI+rVq3CFVdcYRiklCASHkZZVwiCIDzEQ+5477338Nprr+Hxxx/3usEAwBNPPIF169bh+uuvxzfffAOLxfUSSHIH0dwRTLKuCKIFSphtvfnmm5gxYwaef/55DBkyBIsXL0ZhYSH27NmDNm3aBNSvqalBt27dMG7cONx1111RaTMRYdwsPDJBEM0aRVFw6qmn4qqrrsLDDz8ccTvHjh1D27ZtMXv2bMOo6zt27MCAAQOwfft29OvXr5G9JoimZfHixZi56BW0GHqj4frybStwz3UX4KGHHmryvhEEQTQnoiV3NATJHURzhzGG1qPnQUwPTMfsLD8M5+ZnUV5eHnJ7Q4YMwRlnnIFnn30WAKCqKjp27IjbbrsNM2fODLptly5dcOedd+LOO++MWpuJAk1VEUSSIooi5s2bhyVLlqCqqiridl555RUoimI4EwMAjzzyCK688koSNohmC3NbdBgVxsh1hSAIIhSiJXc0BMkdRDLgsegILC6rpYqKCl1xOByG7dTX12Pbtm06VzJBEDBy5Ehs2rQpor7Fos14QK4rBJHEjB8/HuPHj49o208//RQ7d+7EX//6V4wZMwZdunQxrLdy5cpG9pIg4gxj5rE4GAUjJQiCCJXGyB2hQnIHkRSYBB5lTIDD4UBOTo5u+Zw5czB37tyA+seOHYOiKMjPz9ctz8/Px+7duyPqWizajAek6CAIwpB58+bhq6++wtlnn41nnnkm3t0hiJjBmCsYqeE6itFBEARBEESUEdzBSAOWSxbYbDYcOXJEt9xmszVh75IDUnQQBGHIhg0b4t0FgmgSmBAkGCm5rhAEQRAEEWXMgpF6sq5kZ2eH1E6rVq0giiJKSkp0y0tKSlBQUBBR32LRZjygqSqCIAgitWEMoiQZFiaQ6wpBEARBENHFTO4QxfDsEKxWKwYOHIj169d7l6mqivXr12Po0KER9S0WbcYDsuggCIIgUhrGGATRWO/PKEYHQRAEQRBRhgmCoezBxPDljhkzZuD666/HoEGDMHjwYCxevBjV1dW44YYbAACTJk1C+/btsXDhQsAdbHTnzp3e7wcPHsSOHTuQmZmJ7t27h9Rmc4AUHSGiqioOHTqErKwsEnwJgiCaGM45Kisr0a5dOwhRjpvBAAhmlht0uyfiBMkdBEEQ8SOWcgfccoeR7CFEcL8fP348jh49itmzZ6O4uBj9+vXD2rVrvcFEf//9d90xHDp0CP379/f+XrRoERYtWoTzzjvP67reUJvNAVJ0hMihQ4fQsWPHeHeDIAgipdm/fz86dOgQ3UYFBkEysegg1xUiTpDcQRAEEX9iIncAECRj2cNMHmmI6dOnY/r06Ybr/OPudenSBZzzRrXZHCBFR4hkZWUBAPbu2+f9ThAEQTQNlZWV6H7SSTG5/zIGiOS6QiQYJHcQBEHEj1jKHQAgCoKh7GFqYUqEDSk6QsQj7GZlZYUcBZcgCIKILrFQPDDGIJrMoERiQkoQ0YDkDoIgiPgTqwkPQRIMZQ+ziRcifEjRQRAEQaQ0jAGCWfAvkjcIgiAIgogygsgMZY9IgpESxpCigyAIgkhpGDMOCAYAjKKREgRBEAQRZQQT2YNcV6IHKToIgiCI1IaZB/9iZNFBEARBEESUMQ1GShYdUYMUHQRBEERKwxijYKREs4CFECWfaN5wuuckNPQfTH6a6j8oiGbBSGmGJVqQoiNMdny7AxkZGWFvJ8f4xuhUottenaw2avuaMLavqpeDrDM+sBpnYPs1foMgq1zz3VXfqfiWqSqH6j4viruu6v5UQjxdWqWrRaOV9QQwtIg+szSRMTDGIHl/A5LmBcuqacwuid7v6RZfuxkW33It2Tbj5ZlW85ulVHPCcDmrKTPeoLbC+5XX1Xi/q3W1vuWyw/VFlsEVGeCK9zdUFVx1/eacQ/WcL9V3LlXZdw5VJbSLWhBdx+5JA8o8DwhB0KUGFdxjyiSLb2NJfwtk9sD/tmCwDABYunkUbiE99MCBqj0z5LpGcGt6o7YPaE+0RbW9wPYje+xUV1dHvS8eggUjpfSyRLz57ttvI5I7iOYPKT0SA1JupCaxlDvgDjpqGIw0wvSyRCCk6CCIGKJwAConfzsNnHNwpXGKNIKIJsGCkdJ7BkGkOqG+5CbYzYLezfUk2OlpttcVETVMg5GSniNq0FASBEEQKQ1jruBfRiVS15UlS5agS5cusNvtGDJkCLZs2RK0/qpVq9CzZ0/Y7Xb06dMHH374oW795MmTwdxWYZ5SVFQUUd8IonnC41Ri2b8YDo0bFTzkojSDEs7xqNqBiOHwJ9511VTXPNEYmIncQZOj0YMsOoikhQcxNQzmthIpimb7YIGEuMq95vAKAGOnk+YN5xqLjQasN7gaHesOz7hyVXW5r6gqOIRA14Mo7Y9IIhiDYBqjI/zm3nzzTcyYMQPPP/88hgwZgsWLF6OwsBB79uxBmzZtAup/9dVXuPrqq7Fw4UJcfPHFWLFiBcaMGYPt27ejd+/e3npFRUVYtmyZ97fNFls3I4KIDtF5cWI8TvfuUN0WQrxZcN10rbbtMG82fsqMIKsD15msbA4eGsGG2Wid4h4Jo80Erlka9r3eeLBCvk6jfF1FEx41kwJ6YQ+GIAiGsgfF6IgeNJJESqCqPCRFhsID43N4tjUqnvoB23CfIqXBvhnU1e4jEeGq4i06VDV0RYJBXVVRvAWcmxeDbRrsM+dBlV9E6uKJ0WFUIrHoeOKJJzBt2jTccMMNOPXUU/H8888jPT0dS5cuNaz/1FNPoaioCPfccw969eqFhx9+GAMGDMCzzz6rq2ez2VBQUOAtLVq0iPiYCYIgCIKIH6JkLHuYZYEjwocsOgiCIIiURmCA1USwCNeEtL6+Htu2bcOsWbM0bQgYOXIkNm3aZLjNpk2bMGPGDN2ywsJCrFmzRrdsw4YNaNOmDVq0aIHhw4dj/vz5aNmyZVj9IwhzElwRHKmiuqkU3Gb78VOWMq4YztL7ZtFN7jn+EyqaBf579nTFqEtG8ydmI5QocwO64dL0ydBKw2+hZ1tuYByhHcPQrTvcFiJGlhtGA9bYQWzs9pFYhETNECOWF1DztxaxiIKh7GGh9LJRgxQdBEEQREojCMxU0SEKDLIso6KiQrfcZrMZuo4cO3YMiqIgPz9ftzw/Px+7d+823EdxcbFh/eLiYu/voqIiXHHFFejatSv27duH+++/H6NGjcKmTZsgisnoAEcQBEEQyYtVMlN0kEVHtCBFB5FSeFxBVM4NU8pq14fWoOargQJWYBwQGBSFA6IrHofCGESVQxEYRHB4JgV0Xhyae1zIfYkxprE0uMZtRNUcDFdc8Tm46k0t60kfy1XubY9rp5c0xxpqZhbmfiCoigLBG/HEtS0DwN2DyehdkDCBuRUaZuu+/PJLLFiwQLd8zpw5mDt3bhP1EJgwYYL3e58+fdC3b1+cdNJJ2LBhA0aMGNFk/SAIgiAIovEIAjOUPczkESJ8ElJlFE60+h9//BFjx45Fly5dwBjD4sWLG90mQRAEkToIAoNNEgyLKDAMGzYM5eXluqJ1TdHSqlUriKKIkpIS3fKSkhIUFBQYblNQUBBWfQDo1q0bWrVqhb1790Z0zEQgJHvEBsbVqJSgcZuCFMaVxhXVGVox2R6qpnj65YlRpeun+zhNsl/4ZxPR1vI0JyuuoqiArLomcGTVV5wqh8J9xekusmpcnAalXlFjWoz2ado/d/91x6Ry7/Fqj1/RjI93+LVjaJSpxYuvpuH1qD2fqv6cx+q6CrVE9p+J0n+WCIpVNJY7rOS6EjUSTtHhiVY/Z84cbN++HaeffjoKCwtx5MgRw/o1NTXo1q0bHnnkEVOhMNw2CYIgiNRBYAxWSTQsAmOQJAnZ2dm6YpbxxGq1YuDAgVi/fr13maqqWL9+PYYOHWq4zdChQ3X1AWDdunWm9QHgwIEDOH78ONq2bRvxcRM+SPYgCIIgmhKX60qg3CFJZIIcLRJO0RFutPozzjgDjz32GCZMmGAqeIbbZixgLLYl4fqL0IvAmGkJB5c7hK8oOi2/2zXFneFEcWc0cSq+IisqnO5SL/tKnTNYUbzFIave4mnT4VTgcCqQFRUOp4o6WYWsqpA9MygKh+JOMytz7i26mRvVePYhVhnPTTXzqnHhiuwrXHWllpWdgCwDigwuO6HU10Opl8FlBWq9E2q9E4pTdhVHvaY4faXevKiy4itOV+GyAlWWocqudr3uMd5+uos2Y4yi6ks44yQIpoWz0IvrNtyYEu0LoJndrKKAJxipUYkkn/2MGTPw4osv4tVXX8WuXbvwpz/9CdXV1bjhhhsAAJMmTdJZhNxxxx1Yu3YtHn/8cezevRtz587F1q1bMX36dABAVVUV7rnnHnz99df49ddfsX79elx22WXo3r07CgsLozgSqUuyyh4EQRBEYuIJRupfKEZH9EiokfREqx85cqR3WUPR6mPVpsPhQEVFha4QBEEQyYfAGKyiYFjECJQz48ePx6JFizB79mz069cPO3bswNq1a70BR3///XccPnzYW/+ss87CihUr8MILL+D000/H6tWrsWbNGvTu3RsAIIoivv/+e1x66aXo0aMHpk6dioEDB+KLL74wfckmQidRZA+SOwiCIFIHi4ncQVlXokdCBSONJFp9rNpcuHAhHnrooYj2SRAEQTQfGAsSjDRCeWP69Oleiwx/NmzYELBs3LhxGDdunGH9tLQ0fPzxx5F1hGiQRJE94i13xMyn3iyQdZgwbeDrsPYvh1AnwrbNYL55RAbNjcSz3P3JtSlNBVGzQcNoh1Vxm3Z6gpd7V3FfOlnuXqe1AvXE//bEpTBLU9vQsmjCWOAOtPdh7fAI7l+B6zkYY75Us8xTH561ri+aMQx5Et07aL5rhoHDG1ne+2k0eFH+jwkNuziwCKa0o9XNSPYdKjwJIsyLZsFIE9T6tTmSUBYdicSsWbN0gef2798f7y4RBEEQMUBgwYOREkRTQHIHQRBE6mAVjWUPs3T3DRFu8OtVq1ahZ8+esNvt6NOnDz788EPd+smTJ4MxpitFRUUR9S1eJJSiI5Jo9bFq02azBQSfIwiCIJIPVzBSkxgdNLOS9CSK7EFyB0EQROpgJndE4roSbvDrr776CldffTWmTp2Kb7/9FmPGjMGYMWPwww8/6OoVFRXh8OHD3vLGG29EfLzxIKFcV7TR6seMGQNootWbmQDHo81EJNqyeGObE8JQoQV7kRAa0ROn4jMbdDgV3TJXIFLVFZSUw/3pClzqWe9BDdFMU9LcmCzuAbCIzGuaJjAGi8jgcP+WRAEicwUiDWhLMyZ2zb80xhajbkxsFjW2jNzp0Hyv99Vx1LpacNa7An/KCriqgqscqiy7g4G6goSq7nOiyr4R4HIIJsbQX2DM/Z0JzBUMVHR9qoIAwSJ6/xyiGni7Ey3h7Suk5Q2t86exf95Y2oamCIIA0xmUSIKREs0Lkj0IgiCIpsYTjNRoebhog18DwPPPP48PPvgAS5cuxcyZMwPqP/XUUygqKsI999wDAHj44Yexbt06PPvss3j++ee99Ww2W8QK/0QgoRQdcEerv/766zFo0CAMHjwYixcvDohW3759eyxcuBBwB/zauXOn9/vBgwexY8cOZGZmonv37iG1SRAEQaQuHosOIygmWGpAsgdBEATRlFhM3FQ8ig7/gNQ2m80wALkn+LU2m1tDwa83bdqEGTNm6JYVFhZizZo1umUbNmxAmzZt0KJFCwwfPhzz589Hy5YtwzzS+JFwio7x48fj6NGjmD17NoqLi9GvX7+AaPWCZrb00KFD6N+/v/f3okWLsGjRIpx33nnegG8NtUkQBEGkLsGDkZKmIxUg2YMgCIJoSsyCkQoCg8PhQE5Ojm75nDlzMHfu3ID6kQS/Li4uNqxfXFzs/V1UVIQrrrgCXbt2xb59+3D//fdj1KhR2LRpE0SxeQSDTThFB8KMVt+lSxdvJOlI2yQIgiBSl2AWHeF4IRHNm+YhezSNA2NUiVYKB8UZ0WYslO2CZWYx6r/Rudel/tDeODTfBV/WFc4YGBM02wW2yTUm7P5utLIu64prpeKupHJXJhXu7ip3//ZkYfE05f10f5GVhq8vNcbXYEMuy1o3YU+GFm1yFYG5vghgYMw1vMzdrued0jOsnGnb0vTBrwtMm2HFc614Prkr4wpzf3oH3IvmRGmvpYauIe+yYG6yDb/GcTGC8xU1U8ZYPkBjeR02zQSHRTSWPSwig81mC4iv0dTp5CdMmOD93qdPH/Tt2xcnnXQSNmzYgBEjRjRpXyIlIRUdBEEQBNFUCIzBauITS2neCIIgCIKINhZRMJQ9PK4roQakjiT4dUFBQdgBuLt164ZWrVph7969zUbRQXNVBEEQREojALAIzLBQ1hWCIAiCIKKNmdwhhRkEXRv82oMn+PXQoUMNtxk6dKiuPgCsW7fOtD4AHDhwAMePH0fbtm3D6l88IYuOJiLWonK02zfzVw95ezX07c1mUuFnoqjDwBJV9jMD9GRaAYDaetf3OqfLbNCpqHDIKhRVhaq6sq04FQ6n7Fnva0trnsz9bEeZZpy0fZVET9YVAaLgmhW2SCIkkcEiCBAFVwYWiygg3eY2ZbT7UoBob3JyqGlfYo3iM+01yrQCAHJVteuzzgHVqbgzrXCosgIuy1BkBVxRAW6cdUXVnDPV77i12S+0484kl5+gaJHAJBFMFMAEAYLAIFgtrswrALjVNb7am55obTjLCxONb5PMYm5CqAph+C6GU9ewI1HWV6fgiz1jzDTKeQoOB0EQBEEQMUYSBEPZwxLBO1i4AbXvuOMOnHfeeXj88cdx0UUXYeXKldi6dSteeOEFAEBVVRUeeughjB07FgUFBdi3bx/uvfdedO/eHYWFhY0+9qaCFB0EQRBESsOYeZpr1kS+ugRBEARBpA4CM7YajSQIergBtc866yysWLECDzzwAO6//36cfPLJWLNmDXr37g0AEEUR33//PV599VWUlZWhXbt2uPDCC/Hwww83eayQxkCKDoIgCCKlEZgr+JfZOoIgCIIgiGjisuwOFDLCdV3xEE5AbQAYN24cxo0bZ1g/LS0NH3/8cUT9SCQiUnT8/vvv+O2331BTU4PWrVvjtNNOa1baHYIgCILwwMBMTUUpRkdiQHJHExBCFplIYFwJoVYI7USYdQVKfYNVmGxehykGLo4NHZPuviGAe1wMBUGfcUUQAeZ2rxTdbUpaN01zMV3RZJ3wuNs63S6fsurKuKJyVyYVFRyyqkJVXTlAPC6xHhdRj+uv2ohrINx7ZaT70u5Hcn/3uLZKAoPgHmZJEFy/mSvbCgP3vkBaPLvWDK9FY73H/PumyboC2eGq47kuuAKoii8zi6oAnIN5M6w0kGlFCwt0ZeUm7rMAwCVr8PYidW1vrEutG85jmII0CR7NkmDsphKJ6wphTMjO3b/++ivuu+8+dO7cGV27dsV5552HUaNGYdCgQcjJycEFF1yAVatWQVWjlEaMIAiCIJoAl0WHYFhI3gAeeeQRlJWVNfl+Se4gCIIgkhVPjA7/IgWJXZhKREP2CGkkb7/9dpx++un45ZdfMH/+fOzcuRPl5eWor69HcXExPvzwQwwbNgyzZ89G37598c033zSqUwRBEATRVDBmHPmcsq4AlZWVeOCBB7Br164m3S/JHQRBEEQyY5p1JbXFDiCKskdIrisZGRn43//+h5YtWwasa9OmDYYPH47hw4djzpw5WLt2Lfbv348zzjijUR0jwiPayj+lkRas4ZhdKYL5zuyS8YFZDXza/IP3aDOnVNa5TAyr6lymr3WyihqHAs65KxOI6jLhVNxZPxTNtqoS2myhLiuI+4SIAoMgCWBgECRAlERYJQEWSYBFZEi3Ssh0uv6G2hcq7XH7Zx+JF1xjusk1prxyjS/riqO8CgDgrK6DXOsAlxUoThlqvQy5XoHqVMEVDkVWvdlWVKcmq02oY23xjY/ozqoiWkUIFgFMZJCsIpjAIKXZINhc2VYs6XZAk6UFACyC/vpiUqApPLOlGY+HGMRkVAzdpJ430kSUG5i6EuHBgphdp5Keo76+Hh9++CEOHjwIRVHgcDjwwQcfoH379pg2bRquueYaZGZmQhRFtG3bFqNHj4bdbo9JX0juIAiCIJKZaAYjbc7EUvYISdHhSUUTCkVFRSHXJQiCIIh4EzwYaeoIHJMmTcLq1atRUFAAi8UCi8WCHj164LPPPsO8efPw2muvweFwQFEUlJSUoE+fPti6dWtM+kJyB0EQBJHMSCbBSM3kkWQllrIHZV0hCIIgUhqX64qx9Vgqecp+8skn+Oijj3DBBRcErHvllVd0v/ft24cePXqgtLQUeXl5TdhLgiAIgmj+SEwwlD0klkqSR2xlj7AVHcePH8fs2bPx2Wef4ciRIwFBwEpLS8NtkiAIgiDiRlCLjhSKRtqiRQv069cvpLonnXQSTjnlFNTU1MRc0UFyRxMRo6wr4FEKFhth/wyzpvjXcWfSMNytoza0ZZr9MK1LImOA6HKhZJIESBYIogQwEVwQvVk1GNym2KLGTVSTWYVzv3uRZjg8WVM8WVfqZY56VUG9wiErHPWqClnlUDmgKKq3vux20zXLIWPkGCmZ3CulMK3fZJPzKRv4TjfUP0+fJMYguoNISwKDXRIhCQySAFgFEdz91uPpqkUzprruMH0ftJmDvNeTXOf9zVQFUOrBOQevdwCqCu7JEqRpWOsCzAyyqRi5ypq5z7pWNvxCzAVLg3UCN4rvfzZVME0vm2IWHbGUPcJWdFx33XXYu3cvpk6divz8/JTzIyIIgiCSi+AWHanzjNu3b19Y9Xfu3BmzvmghuYMgCIJINiTBWPYIV2nY3Iml7BG2ouOLL77Al19+idNPPz3cTZMDFlnuZsFfEx9lOIuu1rSxfukmMUQNEYPMmNol40CLdilQ2ywJ+lkbbRBPh+yqX17r0rA7HDKcdQpkWYUiK1AVuD89ueWNtdncLzCoWaBQzywwExgEQYAgMgiiAMkiwGIVwUQBFquAGrtP056Tbqx112p2zSIxRzLpbBYE00z7bzT7AABc9o27s9o1w1FXWoH6KgfkGidUmcNZ44RcJ7uCkDpdxTOWqonG3/8aZCYH6QlMKlgESO6ApKJVhGiTYM2UYc1wBQ0VPdeSdn+S/pgEe+izKWqQ3PYIti7gABoZTDTKD0Qec5PJSPsbu3soCxLQOcXkjYQk5eUOgiAIIukQBWPZg7LLRo+wh7Jnz56orQ002SMIgiCI5ghjLoWrUUlVRcd5552H1157LSGe9yR3EARBEMmGyIzljlRymfXHPyaHB1mWMWvWrLDbC9ui47nnnsPMmTMxe/Zs9O7dGxaLfvY3Ozs77E4QBEEQRLxgboHDbF0q0r9/f/z5z3/GbbfdhquuugpTp07FmWeeGZe+kNxBAI3w91fNIjxomg4Sx4PX1QQ2WVsVWM9R5/vuF+PAYxHJrGlgkuSyFBQlCDY7AJfVIPfE5jCJjxBM6eoZGo+RaZ2soE5WUSMrcMoq6mQVDlWFU+FwOBU4FU+MDte+PBaU/vECbJZAi8N0q7EVYrRidNTUB54vh9O3zKmJ4eGxCJXcU+AWkcFmEV2fgoA0qwq7JMAqCFAlQHKfB6NdB+2+9py443V4YnUwZy1URx1QXwcuO8GdDnBnveE1xTQWk8wWmB5TMOqYFCTGhtjwtU1xMhIXgTFD2cNMHkkFbr/9dnzwwQd44YUX0KJFCwDAnj17cM011+D48eNhZWRDJIqO3NxcVFRUYPjw4brlnHMwxqAoIfzpiISnsXFw1DC2twTRXCqC8Q063RJojGT385fRPrCdsuu6dDhcD57aKifqqushO1XIDgcUZx24LIN7HmBM8yA38d0H4As2BUBx+oKZcbfrC1cVMEEEkyRIkh2iPQ0WezosVhG2NAlcBRz2wL+hpBkTq+Y4zFyKWCSvY2Y3UjNXCovNt6mJa4ZS73YNKq9DbWkdHBUOyLVOVNfJqFE4HCqH0x0QzXOI2hu6TXPcQa8LzYNbdcugAnNtYxMY0mwiRLuEtBY+QcKS5Tq3gsZdhVn1binMnh6wLy5ajTsh2oyXB3ELMqzLGum6QjQal0WH8bpUnVhZvHgxFi1ahPfeew+vvvoqzj33XHTv3h1TpkzBddddh/z8/CbrC8kdBEEQRLJh5rqSqnIHAHz77be49tpr0adPHyxbtgw//fQT7r33XowZMwbPPfdc2O2FreiYOHEiLBYLVqxYQUHBCIIgiGYPg/GsCtyBSlMVSZJwxRVX4IorrsCRI0fwwgsv4MEHH8T999+P0aNH4/bbbw9QPsQCkjt8sGhlQ0glQpnRlp2mq7jBOtXIyqOq3Pfd4duGq6or2woAKSPDlXUlIweCPQ1cEADJb2bfJE5SwGWv+e2dj3Hr/FQA9aqKqnoFNfUyymtl1DhkOGQVDln1Tv54sLhjWKX5WXBk2QPHzsys3n+yqSHqZONruaou0BJi2ycn4acvTgH0yWbA/c5tbvsTGDb5C9gkAek2Ca0yrXj9scE4/FsOGPMFl/aMpfZIrppwCOMnHPL+rq4RMXHiAM+OtHvVL+MqHrv9XZycd8hlyVFbhS9+OBVPfDAx4Dh09y4mIM3mwIpZj/sWWQInUFiQaxOW1LXWiOW9sKkmoESy6AjgpJNOwsaNG3HnnXeiqKgIoiji1VdfxdVXXx1Re2ErOn744Qd8++23OOWUUyLaIUEQzZcVH5yONz7qB2hmULXp0rjbDLZz2i7c0uF23bZv1ryIw2pvgLvEBO9tXPvcBzDY8hKGWF/2LnPwDCypWhdS/67JuAldhR+9v78rPwf/t/MBV9tutTnTqM89KQDTbPV444HFIe2DSD5Yis+gNMSWLVuwbNkyrFy5Em3atMHkyZNx8OBBXHzxxbjllluwaNGimO6f5A6CSF1++OxkHNzZFrUVgRaX/jiqAxVQ1ZVWlJcGuon4U1Pt93LLgaNHzS03tThl/bb1sgXHKhtOfZluq8PKDeegus6GDLsDEy/5IaT9EckBY8ayRwrrOQAAH3zwAVauXImhQ4fip59+wssvv4zzzjsP7dq1C7utsBUdgwYNwv79+0ngSDCi/Z9o7J8snKwtwV4wzHJJG2Vj8Z9NMPItVWSX9ttR40TV8eOQ66rgrKmA6nZbEdy57gWLz11BtPgekMzPjUXVaNrlumrvd6fbf1fr2gIAlvQc2HJbwZqWA1XNgqTpo7a/2mOxatwgopoZwmTWiJu4pbzx8UB88W1nHC3NbLDpXCEHtaW1KK90oNyp4qhDwXGlBarQ1mCH+p+VSiaqZNXruuLgHJXcYDsD9tcyqPVOZDsUZNbIqLaLKHW0aXC7dLsDzJqGFWsHorrWioy0eky8zJW+SpVMXFeCuDSFlUmlsVlOUv2JGAUYM59BSdXg50eOHMHrr7+OZcuW4eeff8Yll1yCN954A4WFhd5ZycmTJ6OoqCjmig6SOwgXMZzBNcm0Br/MYl7qHQGL5GpfjA5HmS+Gh1Lv9MoOttxaSHYbJPdvwWr3zfJ7ZpHNLDr8fouaJZ7vgjsDnwBAVV2xOk5UO3Gk0oHSKgfq3ZnmPJPhglvGsttcz/28TP2LvX/MDgBIV4yfb84wH0We+CD+1Dn11ib//exk1JanA0yFPatOl6nNa9HhiVFiqcZvx6phlQTkZdpgERnSsuqRk1fnfql0W3S4t9d2OSND0Y8xA1q3dnh2pFkRaNEhKjXgVeWQa2pRd6ICvOI4WqYfc7fja1WbPY65LTre/GwYjlbkonV2Ga4ZtSNgPIJdm6ENOVmBJSpk0RHIzTffjFdffRV//etfMWPGDJSUlGDKlCno06cP/v73v+Oqq64Kq72wFR233XYb7rjjDtxzzz3o06dPQFCwvn37htskQaQGnKOlXIcWteVwihbU8oYVBonEivf74GhpBgSmomWOJhCbxrpDdX/PspS5FnCOdK6gJZeRjuOogc8s1CdoMN0yK6vU7ZeBI5Md1ilEDEQOAIAAP8USq0ML6xHXOslj0eET0jzf02z1AIA33j8NR45noE3Laq+ig0h+WJC4RKkqb3To0AEnnXQSpkyZgsmTJ6N169YBdfr27Yszzjgj5n0huYMgIoRzZFZVACcqoDgZjloatopIVOxZdRh577sorfIpmerccddUd4BSl45IBDhHdnUl8nglpk5bD6FFHnLTLMh2x0RLd8sD2kklm98bUUa6gjXvfgMAEGTfPpmj2v3pntCqOgG14gTUUt+2gzttxasTtgAARKvvfmXL9cl91ha5AIDL/zq3sUNDNFNEZix7pLKF6caNG7F582ZvOvmCggJ8+OGHWLJkCaZMmRJ7Rcf48eMBAFOmTPEuY4xRULCGiPVFG2U3vcYK9+FsHsytU+XGLVkMgpRmWvWXc4bmqeWxllDdPqH1dU5UHtoHpT7QzBEARKtGGMjwfWV+fnuq5uFXX1OhWeH7H+QoTkyq2I9bqvaje62vzi/ZbfBm73PwfasLUZ2WofON1VqsWCXfGJhFNY8keKyZDyITjCN8e3bdKq8W7z3+one5XPyb93vZT67v5Tt+Q5tdR9HXWYM2smuWaxGKcFiy4SPZis+Qhhr3XLn29Ldwn8NaFVC9F3UlJtsGQxNoHZUa394a7ayQAhxXgOP1QLooYKB1HZ4csNXV71NdJm+5PTp7q0vtT9LsPQvcfeVyMF8QUpPx4GKQSOjhWGkk3Jt0ovUn9njSyxqvS73xAID169fjnHPOCVonOzsbn332Wcz7QnJHMyfOWR9C8eUPNmsOJTBGAncGWnTUV/qsOuuO++J1OMprIDtc16g91w5rTibyMjOAtCwwqw3cbTXosabUP5s1wcj97s2SRg6yuOUEm7tOTn0tenz8IXqtfR+tDx/w1vs1Nx+vdDkT73YfjEprGmzprueYxzKi0k8g84/ZAQBOk9gakpnJqQlm7Wizqrg65/pQOUdlnRO1db7zUVvlDoBe4/rMqKnGpb9uxQ2/fo3OZSXeemUdOmHf6EtQPHoU5Mws73hpD9d/fHVd0J4Tj9Wr+7wxq8sKpq60DDVHylB1qBSqU4Vkc21jy/HJk0wjrFkyPcHQue/T4FpDMIuOUK7tBmsYbZS6sT+aEsGdXtafxiaEaM5s27YNNlugy9itt96KkSNHht1e2IqOX375JeydELEn2A06EtRGak7C0UbyIH03U4IYubSk+7mzZGsUHXkZrgfS7+60aHJ9namSAwAUjQJDUjSZOZj+oaJqTVoNUthdUH0Eb5V8i3SuAFeMBcZdCbRoAZw4gc6rV+Ped95B/bcf4Znr7oDS7Vzvdpma9G3a7CPmL2Omh2KO2cu4idvFKSeVoU2rWrTIcYCl+2YlWGaO97s1Kx0tfzuMC/+7E5Kqgl9xBTBunPeY81etwuR33sHVvBaL1CzsgE1nVOlUfded/zWtrVdnYvKqpUZRUSsraJPmug5sORkB/WVpGbptTulehvzWtcjNcXiFT5i48gRzTwl2TQfQyBdp3ljXFyK4RUdTdybOHDlyBG3atDFVcsiyjO3bt2Pw4MFN1ieSOwgidFp/swVnzJ8LyeFwPYOv9MkdnVavxuy338E9uz7BLUOvw5bufeLd3QbJbX8CUlYVrOl1Qeudc2g3ntv0OtJkJzBWf9zZq1djwNJ/QFn+CrY8MBeVQ+OTKtuIHu32o03OCeRmBKYsJpIbsujw4ZE9jJQccMse5eXlhuuCEbaio3PnziHUIlKdcN7dWBCditmf3ciywSbpl2kVHS0zXS+tOdmuP9AxgzSiOrQBNrXadL93Sk86WiMuqD6C9w5/A1ZYCGHpUqCgQLdeGDcOKC6GdepU3P3yo/hXmyz83m+Q+1h8O9IqOszGIzJFh/FGZqlRH5u3xbdpnS9uiZCe5f1ecLwMvd//AriwEGzpUjCTY7ZNmYL7167FAp6LHfDd1LQKNtVf0aFNKRviIWbYJaS3cillbHnZAAAxM9dXwaJPL7vooW80v9wzXWYKjWAKBlI+NDOYqeVGqskbbdu2xeHDh9GmjSu2TZ8+ffDhhx+iY8eOAIDjx49j6NChTWpFQXIHEXOCzIxzg3WqHHj9y7X13u+Oct9ESsXBStQcrXXvhiOzIAN5p3WHkJkD2LN8zyHJ/SwMFv9Jg7aaxW392nL7Nxj44CzgwgvBXn7Z9BlsnzIVL3/8MqZiCj5v2xOSe3LF38rCaWBN4G9w4UFVw5sgM2vHf59DJv0HR8rr3P0DnPW+9XXVrjEf/NNWvPztW25562VTeUucOhVDH5yF7xb+DaWDh4Q61PrB9pwnj0xiVyBk1qKutBIl2/fj+MFK2C0i0lu7zmu2phlLlk/29FxDCyY8613GuUHabsq0lLQwZix7pKIlaaxkj4ik8UOHDuGtt97Cs88+i6efflpXosGSJUvQpUsX2O12DBkyBFu2bAlaf9WqVejZsyfsdrt3YLRwzjF79my0bdsWaWlpGDlyJH7++eeo9DVZEcAaVRhDyEUIWphhkYSGS7pF8JZsuwXZdgva5qShbU4aclvF1k81R3HirZJvwQoLIb73XsBD10tBAYR//hMoLMSoJ/+KPGctMm0iJAHeEsp4RBVBNC7MV7ho9RYhPQtCehYsXMSpb28ACovAtMd8+DAwd67r03PM770HVlSEPwuVSI9hoKycLrlIa+MqQmYOhMwcMHu6t0CUGi4m48HBTEs4fwDOhEYVovEw5ptZ8S+R/r2a63PMP13jr7/+CqfTGbROUxBruQPN+JwRMUZVAwqXlYAi1zq8pa7MV0oPVWF7aQ22l9bgi2PV+OiHI2Bnj4PSZQDk3A5Q0nKhpOVClexQJTs4EzWFeQsYdMUTyFBkDDaJIb22Cv3nzXUpOf75z6Byh/jeP8EKL8RzX/0frOVlkB0yZIeMelnVFVXlASX2wx24T22fPH2VHTLqahywlpfh5e/fASu8EOJ7/wwqe7B//hO48EL0eWgO0murdGOoHVvtuLuK75x4zpPnvMm5HaB0GYAWU+7FRz8cwZYTtdheWoPSQ1UoPVSluxa014jRNWR0rRHJi5ncEanrSnN+hsVK9gjbouOVV17BzTffDKvVipYtW+q0Towx3H777UG3b4g333wTM2bMwPPPP48hQ4Zg8eLFKCwsxJ49e7xaHi1fffUVrr76aixcuBAXX3wxVqxYgTFjxmD79u3o3bs3AODRRx/F008/jVdffRVdu3bFgw8+iMLCQuzcuRN2e8Mpp5oF0Vb+NfJZFk53gr1ImFl7GFk2WPwWan1LPdYd7Vu4zndNxxy0Hj8WH/5lQhg9DR3x2Wchzfw32NKlgNTA30ySILz0ElinTjjlP//Gz5eN1buraO54ZkMV0ek3G/hQsrFoMpEINtesRetPvoDglMFefll/zIcPAw89BFx6KdDWnT1FkiC8/DLsnTph6WP3Qbn11kiOwBTGOdbecCmk9DSkF7QEAIhulxWmsebxz6hiaL1hpkwIatHRlNr41NP8RxsWZbewZH+ONfVsU6zlDqTAOSOii2FMD83MuycmBwDUKhxVfpYSAwYNinqfxGefhVBXF/gMNkKSIL78MtI6dsKVv3yD1fmjALfFiRYjvUa0lB1m7Rgt1vZLu51a78C4X7cgXZVdlrMhyB7spZcgdOqEgT/+GHXZw0OVrKLWbbKivRa010jQuDBESiAIxjE6IpnATIVnWCSyB+Nhqkc6duyIP/7xj5g1axaEkG2+QmfIkCE444wz8OyzLlMuVVXRsWNH3HbbbZg5c2ZA/fHjx6O6uhr/+te/vMvOPPNM9OvXD88//zw452jXrh3uvvtu/PnPfwYAlJeXIz8/H6+88gomTAjtRbeiogI5OTn4+JNPkJGREcIWKU4YV1WweCBma4yeD/5xrRyaOA517pXVTncwUllF60wLCocNCb2jocI5rKefDta/P9jKlaFvdtVVqNm8BRuWvgarxnVFm2bKIKuuq47Zy25QJZLxQ5YZxBpxLdfEI9EEzGJOB8A5Tr/pNtiGngX25pv6DbdvBwYOBLZtAwYM0K3i48eD79iB+u++i6pygHGOHZ/9Cyg9DGZ1KzPsLhcbbvX9f7lVf6PmRoFHTV1XggUuC+feSIqKUKiursaFhYUoLy9HdnZ2CFuExuLFi/HZhg1YvuINw/XTb70FnTt1wkMPPRRym4n6HAsFQRBQXFzsFYyysrLw3XffoVu3bgCAkpIStGvXrkldV2ItdyBBz5lH7vjk44+9cgcL4i7ZaGL04sXk4LEVQm7HWRvRdkJdw7EP1MpS03XK8eKAZY7iwwHLyn7e7/1+5Mcj3u/79ldgX3W9ru7yul8b7FNYRCh3qFddhd/WfoYLL/8LwBiy8/TunO1aBsq7bbKMfejTbWGkVQdQ4zC+lo9UBgZ6PXTcF+i1otR3HZQdOIwtW15G51HDISSA7DHR3sX7/SR3bLiTOvqeV21O871w5p7cMWB7W0HbgGViSxPLHABCVl6DfVLt4Wf4435uvZHCpRi+DMfoWQBN8NlYyR1wv7Tv2vMTOnToELBu186dGDlieFgxKRLxGRYOsZI9wrboqKmpwYQJE2IibNTX12Pbtm2YNWuWd5kgCBg5ciQ2bdpkuM2mTZswY8YM3bLCwkKsWbMGcAcxKy4u1kVqzcnJwZAhQ7Bp0ybTE+VwOOBw+G62FRUVhvUIE8J4bkQSSNUouLfFb5k2zkWm1fW9pUZzEmaA8NA5fhzCTz8B8+e7fh8+7DOdNKJtW6BtW7Arr0TGqlUYOf4KQBBQ3aMHdv3tUV3VXvfdi4yffmqwC4cmTMAhzbUtVlej/8SJIXV/98KFqO7Z0/u7xcaN6LZoEcaWv4Zjaiu0Eo7h7ZxJ+o1UFbbjx4HHrww85u3b9Z/aYx47Fuytt4DSUqBly5D6FypqVhsgXSMEeJQPmnuXv0Li3vt6oazMgtxcJx792y7PhlHtF5F4BLfoYJBlOeAZYLPZDINmJdJzLBIYY6isrITdbvdmNamqqvIefzyehbGUO5BA54zkjiRCY3XgbAJ3j0jlDuHKK9F11Sp8uWo2OBOwp6AzZlzms3JQVBWzlz+J7od9ihmz2eYNF1yKz0Ze6v1tq6vFX+beZtoF7TTrs9PuxW8dT/Luc/CebzH9/VcAANfWLAdTT0ZLdhyvpV2js+6o5gxda8tcgUf9jztOsocH73lv4Pz/ZfUdKK/JQk56JRZNfysmfSESE9Ek45vgXpYqcgdiKHuEreiYOnUqVq1aZagdaizHjh2DoijIz9cH48nPz8fu3bsNtykuLjasX1xc7F3vWWZWx4iFCxcazuAxzsEo7VIC0PA5kLQWC56ZKu0yJoFx7vJ9jSKsyj171KKF6/Mf/3CZT5oxZ47Lj9Rd33b8OACgvk0bML/4FZayMtiOHm2wD1JVVcCxhbIdADBF0W3H6uthO3oUO9AbB9EB7XHAvK1gxzxtmu+73zGzykrwKAobnDHITISiscbwHJL2meKvZPvpp0wcPWpD69YOcE8II9JzJATR/p/6Y3ZfZ5zjyy+/xIIFC3TL58yZg7lz5wbUT6TnWCRwztGjRw/d7/79++t+N7XrSizlDiTQOTOTO4jmjdgE/5fGyh35tZUAgCPuTw+iICC3phKtKk402Ad7rT6THeMcLcrMLWW0SJoMdqIgwK7I3n3+gD5e2SO/qky3XZXHCjNBZA8toZ73n0s641hlHlplhTZWRHJhJHswzuFwOJCTk6NbnqxyB2Ioe4St6PD48qxduxZ9+vSBxaI39X7iiSfC7kQiMmvWLJ2mq6Kiwhv5lQiF0JVBQfOAmymVDNwrmKoPWsPq6zTf3WaPda6HOK+vB/ICTQSjAc90mwmecAsGN9/s8hE1w+M76q5fn9cCXBDgzM6E4KgCRN9/zJmTA0frVgaN6P/8cka6+xz4ljtatw6p/7IoQtGMu2y1wtG6NfhxAVABLgioy3O1pSgMJ05YYBFl5Cslxse8fbtL0HjxRZ/5qN8x86wsRJvSOgVHq5xeN6AMt8mPXWPpY/Mz69Fks/fOxphNIge73YZlpUSKlLjDONe7ZungGDZsGN5//33dUrMUaM2dzz77LN5dCIDkDiKuGDwEmMEsLNPUEzUp4m1NkCuysXJHSVoWOBNQlpYV8DJRnpGFY9ktvL/NLDocaem6dUwQUJZrrkTQZlKT/WKK1EtW7z7VSgHggMoYSjJywVUOpS4NXBVRyyWcjN8SSvbw4Dnv2mtBe40YXUOxdMcgEg+myoayB1MV2Gw2HDlyRLc8WeUOxFD2iEjR8fHHH+OUU04B/AKDNHaWp1WrVhBFESUlJbrlJSUlKDCJHl1QUBC0vuezpKQEbdu21dXp16+faV/MzINSh8ZZrQRVXgTsKsi+zOJFGPgpM7ne77fGL7jG5eemnHDdNNSKE5D86keNli2h9ugB9vbbYOPGeU0lG4KvXg1Hx/b4/rlF4NZ09zHU62ay9yz8q/HGRoIYV72uGUpGBra6zdE8qCbjrnL96T9+1tk4/s7ZqL+8JXAMqM9riU/ffBsA8PtvafjTlEGAwvGz1AvdVr3tSuNmdMwDBgT6yb79NniPHkBew36m4XKwoh6HK+qQY3e9FLXKcH1qBTEhSG5jb+o7k0s5mDwSLO5MQDu8kUIwKUqiADe914BzSJIUsn9uIj3HIuG8886LanvRIJZyBxLonJHc0bxh2sDholah3gQ36QjlDnX1avyW3RpFV7hidGS1CIzNMP/au3W/zWJ0ZNr1rxQOexrm/O0l031X1Zkpl4FvevbHDT2fAQCceDQXqACOZeTgopseReWJWhz4+DLUHOoM8MSTPTx4zrv2WmBNcS0QzQdVMZY93O84qSJ3IIayR9iKjscffxxLly7F5MmTo94Zq9WKgQMHYv369RgzZgzgDqayfv16TJ8+3XCboUOHYv369bjzzju9y9atW4ehQ4cCALp27YqCggKsX7/ee2IqKiqwefNm/OlPf4r6McSPBHOnCce9x+wFI0jgNX+lBgDAP1BZlc8M0On2L63a+z8AQPG2X/He1weAyYsa7F4LTfYW/5kMhyZ4mzaq+kWoxuR9+8CKi81TvGk5fBh4912UTLwcqD4BZnY+zTKiMKvh8mCYnSH/oK7+9TmAWnegV4fX95ThGflPePKdPwNhHDN/+23cndcTz55+FQBASvMFzRItemFKG6G8vqphM1oA6Dm8CL1Pcs0o9WrrmrnplOMLjsWY3y1Qc5Cy59jMZuOC6PKadFIm2n/9VJTDuGpq0RGW0paeYzEhlnIH6JwREcAMspoIVt9z2Jbl+56bJqFTvUvR/nuty/L0u9UvAHltwW2Z4KK7rjuzmT52lO+GHOC+p7n3q+BoV1SEbs89F9YzGO+8i2W9R0O0uvYt+Fk5GhsdROchYdaOefMMgihAtIgaa4jIZA+88w5+ufVWFG/TxO/Q7DfQnYBr1rmfCYrrmcGUejBHFSo+fttbp1OaBblprjHVXgvaa8R3DTG/TyJVYKpiatERDvQMMydscdxms+Hss8+OTW8AzJgxAy+++CJeffVV7Nq1C3/6059QXV2NG264AQAwadIkXbCVO+64A2vXrsXjjz+O3bt3Y+7cudi6dav3xDLGcOedd2L+/Pl477338N///heTJk1Cu3btvBcDEQjjaqMKOA+5MJgXr7bTvyhyQGGyU1d4XY23qFXlUKvKUXukDLVHylD+a1kIoxA5nyENDs6gTpkCyOazFgAAWQa/cSpUqwVHzjgNak0lmCJ7C7jiK2bjEUVUzg2LFkX1FQ+v4nrU8HQoU27UH3Pbti6/WO0siyxDmToVNUzE69mxM80uO1aDw+W1OFxei4o6JyrqnKhxqt7iVLiuaJU5ssohq8Zj4SowLWFc/lDBG1WIKMA5oMrGJUxFB5rxc2zq1KmorKwMoaaLW265BceOHYva/s2ItdyBZnzOiCZAEAIKE1hAESTRWyzpkrektUxD1xwbuubYcE6rDIzq3QbywX1A6WEIteUQnLUQnLVgitNVtLKUFq4vCri3OBXg4IWjoNjs4FOnhiR3KFNvRK1kwZrugyFKAkRJgEVkuiIIgSXWmO2PMcAiMoiSoEuUEq7swW+8EYrNjoMXFOnGUDe+fuhkXPd58pw3obYcKD2M+soajOrdBoNbuM53Wss0pLVM010L2mvEe+149gHja41IYszkjgjk+ub8DIul7BG2Rccdd9yBZ555Bk8//XS4m4bE+PHjcfToUcyePRvFxcXo168f1q5d6w2G8vvvv+sir5911llYsWIFHnjgAdx///04+eSTsWbNGm8OYAC49957UV1djZtuugllZWUYNmwY1q5dS3nsY0lYrivmdU21mn7xOIBAiw5FE7TKUeqK1ltzzBWwqzqIyST8NIA6V4eAesYP/RoIWKRm4f61a6FeeimEl182NiM9fBj8xqnAxx9j9x/HwwkZqKmEkOkLQMQkjbWGaGy5EWaWaPc2xsvNAoRrlQCedL31Gk1HOXIxVn0LH6y9GMqlYyC+/KLPjFQbPOnwYShTp4J//DHGtT0D5ZoYJIxpg4f6jbbupGjS2AV5IDjralBe4cpicLzKZQXUKsNnKZJtNb8Fyu4BkkxcS4LJe2GdDprESQwiUGiY0VyfY2+++SbuuOMO9O3bt8G6lZWVeOGFFzB79uyo7d+MWMsdaMbnjIgSQVKCBzyLAAgGud6lNI1FR06693s2gPRWrt/2XDusOZkAV6FWlUO0p/meZx5ZQ/Mc1KeH0z8stNmAnZzDmZmJLQ/MwZkPzgIuuwzspZdM5Q5l6o3Axx/j9gtvhrNFDtLcmekskv5YLQYv2mbeF+EqQcza8d+ntppFEmCxCjqXtXBkD37jjeCffIIdC/+G2sxM2DRjqDdm8XuIawdbdmdG8sicdZVQq8phz8tC/oCOyCgohepUIbnT7WqvBe014r2GNJoOo2st2LVJNHdUE9kjfHmkOT/DYil7MB7mG9Lll1+OTz/9FC1btsRpp50WEBTsnXfeCae5ZoNRPvtEwszFI2IamVnGPLCfAYqB0sLTjjMwnzq0wUU18HJ9JhD54D7v97KffgMAlO4+BAA4/tMJbDtRixrF+GaSqXnYZ2iefv4PZodm8xP1vmP2LO4HB/4sVMLGOHD55S4f0hYtgBMnoK5aBfbuu1AkEdvOHwD5D2d4t5cKOnu/C7m+vOtqmj4Cs3e5zThPumoxvxk5FeNzXOM0HpPrxg9G6TEb8lo58PALXwAAig+k4+Hbz9LVuxAf423hKqSzGuDyKyCMG6s55reBd99BDRMwLr8//p3RRqe0sGXm+o5b8nNd0Vzjcp3v/Mu1VYb9Fa3paNVjAFoUuFxWurV3+Tqe1t43hl1y9f7Is24c5j3GF5Z/DfgFL9ViDeJrG06UfQN5OSyirScxCzQXb6qrq1F44YVRz2e/ePFi/OffH+OtZf8wXP/HGfehQ7ceKZEN49xzz8X//vc/DBgwAJIkwWKxoFevXrjvvvuwdOlSbNy4EXV1dVBVFTt37kRNTQ0OHDgQ836R3OGTO6L+rNeiRk/Zp4Upxs/xsNuprwmhlsF2joa349XmVp6qQcYRtTxwNrH+hK+N+krfM0pxyF5XC2tOBqQ0Oyx5rcDsGRCyW4BluJ573O56VnGbT8ZUNc9B2e9urxE5vJMPNbKK/K1bcNbChyA5HOCXXw7hyit9z+DVq4F330WdZMWfR92ILV1dLzVp7vgauen6yZRse+BkQF6m8YSLzeRZaYbDxE+2tErvmvzuw5egtjwd9uwajLz3XZTV1OOHNy5E2S/tdfUakj3Ymncg22z46v65qBwyBPB7vmvnPSQ/RYcg+65h5nCdW+YJbl9dBrXiBNSyI5BrauE4UQHF4fSmwRVtvoatWb5za23hOu+X/3UujlbkonV2Gf756LMB4yFoAsH647l2gsFt6Q3WCdjGGv42hu2IMYw5FENrF+5WNlZXV+PCwsKoyx1wW0zs+3YTOrZvF7Dux90/4byLx6K8vDyq+0xUYil7hG3RkZubiyuuuCKS4yCIlGMHbLhJteB81GL0mvfRdvVq77pyqx0/tW+HsnN7Q7Za0PDjqnnwCQrRQf0Nk/Aabl/zHLqv9uWF/590Cp5WHser9sGoYv8C49+TQQORAAQPRpoqLF++HC+88AIOHToEVVVRV1eHl156CWvXrsXu3bsxcuRI5OTkQBRFXHXVVZg0aVKT9IvkDoIInZJBg/HGP5aj/bq1OH3dv9Bq1SrvuoOt2mLlsLF4v9eZqLKlhe+/nsA0KHvIj2NT9zEoEk5gMK9Agur0iVTCzP08yi7piU4sZY+wFR3Lli0LdxMCSLxgoQ3RWOE+DIsOFsSiAyYzQcw/8KifqwoAyFWaGZV61z48qb3S8uw4VVZQo3BUOFU4OYfMfd4R2nRwFs13f8tMSZu1QzMd4AnW6VQ56riAdSwTn3OgjRUosAiQJBFSbhqy22chW1GBWgfkGt8xCRorBaZxY4FinCkmklk+E4MOOE3OvWfxiVIr7r9xmKsNxVhSKEcunsHteEa+DXkoRRYqcQjt4JQtLhuEOgAHzgSYExDrwJjr/NQwBlv7z2Br/5k3UBeXbajYMtO/N4EdA2A/ZQks2YdhTc+BaE+DcqIn9nw8HADws/vkrddY6EieWTa7jHuf2OhtinPA4R4gUTAej2CWD+FYmjb2rxZ1YS3Wt6oEFC6DppeNoktLotOxY0c8/PDDumW///47unTpgn/9618YPXp0XPpFcgfhIsLX8hBukizI7LBR4FFmC8xOYs313SukDL01peAONIq0DDCLFYLd9alr2/Mc192LfDPi/s8KRXOz9rhaeoJo12Vm4r8XX46NIy8GKy+HWl6FE6IVpZY01MkcLVUVLQGo7voW93PR3yojy8Ciw8xywyJGR23iv0+P3FVXacf6xy4HOOCoMbYUaFD22A1sndUJkqQiPV2Gxcq9j6Srxh/CVRNcVr9gQHWNiIkT3dladIPP9cu4isduX4Me+QosmTKk7Cxs/L4HFq0Zb9BDbcRThjSbQ+OyxIyvtWCWCyEJAMmkzkouTIORxtJyLwGJpewRtqKDIIgIYQzVTECZICJTEJDZzKYTRo3Zj+2bW2H3D7koLw3VP4+hFC1RipbGq7kFkC26d2suB7bN60O0d1H1tzSuiHBW6916jFRntjTXg2b05ftRWyMhLT0M1yui+eMJRmq2LoXp1KkTCgsLY5JOjiCIGMMYajOzUW1JR029AjiVZjfx1vcPe7H3uwIc/V8b1JaH6lIRXPaQZQEVFXoXnOoaPz9SDhw9GprrhVPRb+twWnC0wtzlxEO6rQ5Ti9ajus6GDHt0XLyIZgSXjWWPcNz/k5RoyR4hKTqKioowd+5cnHnmmUHrVVZW4rnnnkNmZiZuvfXWRnWMCJMoC+ON1iaGY3ZlYqUAAIJJjA61JjAug1qn98OVHYHtWjJdvt3pahoEiwDFoaBNrQzVqUJRVDjdsxv+WUbM0M715phM/FoElz7dJgqw2CVYMywQrSIsmVZYs20QLB7LBd+NjTvqfN9rfZYpzGYcH4ZHYOZmdoyySTTS8y75DfWqiuLDdu8skKIIqK6I0AeTKWCiA0zUWvQwWDJE2LJaenPPq7IVgr0ilAaR1bY97C2tkKwiRInBoTJYMl3XiscCQ9TE1pCYx6JDQY2s4NyLf/Ou87gJS6Yp8Mxn+oUwfEcFtXEKL9bIGB8EXBKtqeVG83opiAUfffRRk++T5A6CIACg74ifUScrqDrunrRwW3SocvgPP1FSkZYmw2pzWXJon74Z6X5yFANat3bLoA1YdFhE/bY2ixOtswNjuxhZdEz4w5ea9cZx2IgkhZsEI03xCRYP0ZA9QlJ0jBs3DmPHjkVOTg4uueQSDBo0CO3atYPdbseJEyewc+dOfPnll/jwww9x0UUX4bHHHmt0xwiCSDwuuGw/LrhsP45WuR7+Rw5m4On7zgurDTHjGNK6fQpbwfdgTH8zF0RLQH1BqkfrkYtN2/NkamGiBFESdGaa2V1+Q+uebwAAWmW7FDIdW/qURR1bBJofEykI565UzgYEpHgkmgSSO4zhMczAwCKI9N8sCMV1xWIcYBMAmD1wgsHoLHCb3Xg9E70uCcyWBjABzGoFEyVAtIJ7FeNhnlsDD06PQt8VLFuEwIB6mSPTKnpTpruK273W7aLpmbzwz5xiMQi6bTNR5EtBAnQb1jc5L0J64PLzLv0d5136u7eva54ait935oe8r87dK3D5Nb9g8JllYAywufuabvEdi13S7zcjXcGad79x9UkTAJ/VuyaimNM1ucYUGVDqoTpauQL6yvU499wynHPWEtcGJhOHzOKZJNJk2TO41oJdm7yZWQZHk1jeC5sKpiiGskdYCR2IoISk6Jg6dSquvfZarFq1Cm+++SZeeOEFbyRYxhhOPfVUFBYW4ptvvkGvXr1i3WeCIJohYuZxZHT/D2wF/wVXza14CKLJ4RSM1IgvvvgC//jHP7Bv3z6sXr0a7du3x+uvv46uXbti2LBhMd03yR0EQTSWjieVY/RV/0P/waWwSUyXwp4g4o5pMNIkVTqHSDRlj5BjdNhsNlx77bW49tprAQDl5eWora1Fy5YtA1K9EQRBeLBkn0B2r69gKfgRXKkDVzg5AxAJhhokGGlqXq1vv/02rrvuOkycOBHffvstHA6XFVd5eTkWLFiADz/8MOZ9ILmDIIhIaNe1DCOu3IvTBx2HTRTAkmD2n0g+TIORpljWFS3Rlj0iDkaak5ODnBzyJQuVmJs/R1sYb6TZlJkZuGFd2Xx2n8vGMTp4XXXgQodfJhbNmEh2l4mgPdcVyEqySbBmWqE4VSgOGarMoTgVqE7XeVI0+d255rtqlqoEgKAx22TuqOSCyCBIIgSL61OyiZDSXC4WTBJhyUyDJd1l7qqNrM0148c1cUoEs3GN4Poy20IxWVGvGQdPhPcgwwF7XjnaDf0WuSfvhSIrUORMKGoGuOKKh+I5Pdox5SbxQQCAabPfaMbaY2oriAJEkYGJAiRJgCAyWK0i0tzZcNLcuexVzT7qZP3B1jgDHy7pkvEMULAA81KwgfFvJ0xzX3+i/tdnsX2xFxIx7QrngFn2pxR1XZk/fz6ef/55TJo0CStXrvQuP/vsszF//vy49InkDoIgglHQtQznX/4zevY/CovISMFBJDaq01j2UINko0xyoi17UNYVgiCiSmarCnQ99ztkdt8LmatBlUMEkRBwDm5mKpqiFh179uzBueeeG7A8JycHZWVlhtsQzZxY+ftH62UzjCDPWrhB7KcAJPNMYkJGoLKbW83jJrg28m3DGPP9ZqIrtoAggDMBXBB96zwpaAWtaO47J8FuRR7Fu+dIBSbCLgF2SYDKOWSFQ+YcKncp+73paN3PZzmM+5xJfO6wldiqxXifGSF0xeY3AdHxpHIUjtuHvgOPQxQZBGaFxBgkkUFiAiSRQWC+cTKaqNANgf9/QXtO3OeJw3XNcFEBU61gljRXvCcu69whubbhBmbqfXE7NP0SzK/fkK7tSP430frPpnAMkVDgqmooewSb9Et2oi17kKKDIIiokJtfiR5/+AGdTv8dlQ4HKus4kLrWd0RzgrsCyBmvS82LuKCgAHv37kWXLl10y7/88kt069Ytbv0iCILw0KFbOS68ci96DzoOxtxKJYJoLihOY9nDzMI0BYi27EGKDoIgGkVufiXOGL0bJ59xAEcrKQ880QxRObjTWLBI1ZmVadOm4Y477sDSpUvBGMOhQ4ewadMm3H333Zg9e3a8u0cQRArTrksFzir8DacNOEYKDqLZwp2yoezB5dTNuhJt2YMUHWHD9Tm9EoVo+5E3NhCOEnpWDRakrlprEIsDAK83eKH2M/8SrT6TPkuGJw6G62EopStQ6p3gigouK+CqClVWoLpjNKjauByaFx0exA1D1KQpEzwxOiwiBEkEE1wxOQSL5IrZ4V4m2iyQ0lymiqLdZwrLRLO/pvF5ZpGY15tsEkpLnrRwrfNrMfWvn7ktI0UoqmvM7RYB2WkqVM7hVFRN4VBUDllRvSazqhJaDBStJaVWqBHcNqiSyCCJAkSBwSIyiIzBZhFhca9Pt7pMXW2a88T9xq3eIEBJjWz8X7BI5rdPpxBGjI5G3k8kHmUBL9byYqSHG8PbLucquJlFR4oGBZs5cyZUVcWIESNQU1ODc889FzabDffccw9uvPHGeHePIIgUpujqnwCQgoNo3nCl3lD24HLqWnREW/YI2wnr+uuvx+effx72jgiCSD4kixqp2zRBJA5cBZedhiVV07wxxvCXv/wFpaWl+OGHH/D111/j6NGjyMnJQdeuXZu0LyR3EARBEMkGl2VjuSOMhA7JRrRlj7BfUcrLyzFy5EicfPLJWLBgAQ4ePBj2TgmCIAgiYfAEjjMqiWjBF0McDgdmzZqFQYMG4eyzz8aHH36IU089FT/++CNOOeUUPPXUU7jrrruatE8kdxAEQRBJh5nckYKWpLGSPcJ2XVmzZg2OHj2K119/Ha+++irmzJmDkSNHYurUqbjssssotz1BEATRvAgaoyO1LDpmz56Nf/zjHxg5ciS++uorjBs3DjfccAO+/vprPP744xg3bhxE0TjlcqwguaOZE6UMDlyI7LpjYgMZUgDwIC4QXA28vpglLXgbmmPmjGl+C3AHlfDW8x6X+5NrMnxo22R+qb9FzTqL27RScCtmVcGVYcUmilDBAQ6o3Od87fHa9LqQerbzc+f0/x0vBK27qva729dScqdpZ8zlfck82WGYqw5jrt+e+qLbjVnUja9vf/7Xg/acQHJfT+7zxVQFnKveQeWeANYel3LtGGrczI1cjlWj6zDYdR8kI4uv7xH8bygtb5PAZYrR4SFWskdEV3Lr1q0xY8YMfPfdd9i8eTO6d++O6667Du3atcNdd92Fn3/+OZJmCYIgCKLp8WRdMSoppuhYtWoVXnvtNaxevRqffPIJFEWBLMv47rvvMGHChCZXcngguYMgCIJIKmSnsdyRgllXYiV7NEpld/jwYaxbtw7r1q2DKIoYPXo0/vvf/+LUU0/Fk08+2ZimCYIgCKJJ4MFidEQ70HOCc+DAAQwcOBAA0Lt3b9hsNtx1110JE/SP5A6CIAgiGeCKsdzBUzBGR6xkj7BdV5xOJ9577z0sW7YMn3zyCfr27Ys777wT11xzDbKzswEA7777LqZMmdLkfrwJTYzN/xiPrj8Xa+SfjIWhjeT1debrnMbpSrnRy4dfVEymyYrhyWwiWFwaQdXpyrTCVRVc4e7v3Gsupsu0opnR5X5ZOZjo26co+bSNnn0LkggmMlfWFUFwZ2HRrJNEiFa3GaTNZwrLbHbNPhIjOZLHNBQAbJpjzdBc22KGq06aTYWsqHC6s9c4FVf2FUV1mdMqKofTPa6yJtOKU5PhROHmZrqC4PsuCr6sKyJjEBiDKDAIDO4MLK71Fnf/LZpz5n8DNcokWi8bv+g6TJYDgBRGhFYlSKaZkLaP8gtoShqsqqpplPNUc11RFAVWq8/UX5IkZGZmxrVPJHcQBEEQSYc7GKk/qei6EivZI+w3qLZt20JVVVx99dXYsmUL+vXrF1DnD3/4A3JzcxvdOYIgCIKIPdw8+FeC+Kg3FZxzTJ48GTabSzlcV1eHP/7xj8jIyNDVe+edd5qsTyR3EARBEEmHWeDRKE9eNwdiJXuEreh48sknMW7cONjtdtM6ubm5+OWXX8JtmiAIgiCaHlUFdwbmsnetSy2B4/rrr9f9vvbaa+PWFw8kdxAEQRDJBpedhrKHmYVptCgtLcVtt92G999/H4IgYOzYsXjqqaeCWlDU1dXh7rvvxsqVK+FwOFBYWIjnnnsO+fn53jpGbiZvvPEGJkyY0GCfYiV7hK3ouO6666KyY4IgCIJICDh3BQUzXJdarivLli2LdxcCILmjiYhRHBbOohPAlomRZdfhIWSQYGqQPhrcAwztvEz2o8u6osm24vnk8F9mfB78F2s8OGFx//B6TXLmyrLCOQDm7S/nvgwrAMAtzLscBgZsqtGRxtrIzSjxCIyzo3iH06+udrnn5cuThQUARGiWGbQb2CffSu7JdOK5rkXuukb8s6y4fxtlV4GZC7bhvs2v35AyqkSQdSVa/9lY3VOSBtlpLHvE2HVl4sSJ3nhXTqcTN9xwA2666SasWLHCdJu77roLH3zwAVatWoWcnBxMnz4dV1xxBTZu3Kirt2zZMhQVFXl/h2ppGSvZIzGc/wmCIAgiTnCuQqUYHQRBEARBNBGqIhvKHrEMRrpr1y6sXbsW33zzDQYNGgQAeOaZZzB69GgsWrQI7dq1C9imvLwcL7/8MlasWIHhw4cDbsVEr1698PXXX+PMM8/01s3NzUVBQUHM+h8uKRl3jiAIgiA8cJVDrZcNCzeKUEsQBEEQBNEIVKex3KE6XYqOiooKXXE4jBM0hMOmTZuQm5vrVXIAwMiRIyEIAjZv3my4zbZt2+B0OjFy5Ejvsp49e6JTp07YtGmTru6tt96KVq1aYfDgwVi6dKnbqix+kEVHshBt8+rGXpjhzIIGqxtOO37mfZ4MK/oqbnNFSYKqKADn3kwqXOXe2Vt9phXzsWCaTCRMk2lDcGclYZIIQRTBBFfmFbgzrwCAIEouO1OLK/COLtOKpDHR1Znrxl43aWZoKGlMEHUZWLjBODMGLglwSr6sKyrnrqwrKofCAdk97tqsJir33ZKUIC+Yosbe1PNVFJh3ucAYBIFBZL4MLf7ZVwAgFONMQ9NdAGqQ/0g4l63B8IVFisXKjA2cm1tu0AAnPZxzzJkzBy+++CLKyspw9tln4+9//ztOPvlk020+//xzPPbYY9i2bRsOHz6Md999F2PGjGl0uwRBEERqoH3v8F/ucDiQk5OjWz5nzhzMnTu3UfssLi5GmzZtdMskSUJeXh6Ki4tNt7FarQFuKPn5+bpt5s2bh+HDhyM9PR2ffPIJbrnlFlRVVeH2229vVJ8bQ0JZdHDOMXv2bLRt2xZpaWkYOXIkfv7556DbfP7557jkkkvQrl07MMawZs2aqLRLEARBpAbBLTrIdSXZefTRR/H000/j+eefx+bNm5GRkYHCwkLU1ZmnPq+ursbpp5+OJUuWRLVdgiAIIjUwkztUpwybzYby8nJdmTVrlmlbM2fOBGMsaNm9e3dMj+fBBx/E2Wefjf79++O+++7Dvffei8ceeyym+2yIhFJ0kLBBEARBNDVcVaE4nYaFFB3JDeccixcvxgMPPIDLLrsMffv2xWuvvYZDhw4ZTpx4GDVqFObPn4/LL788qu0SBEEQqYEqy4Zyh+oORpqdna0rntSrRtx9993YtWtX0NKtWzcUFBTgyJEjum1lWUZpaalpbI2CggLU19ejrKxMt7ykpCRoPI4hQ4bgwIEDUXG5iZSEcV3xFwoA4LXXXkN+fj7WrFljmppm1KhRGDVqVNTbJQiCIFIEt0WHEcFc14jmzy+//ILi4mKd73FOTg6GDBmCTZs2RSwjxKpdc+fCxhNKdpJIYCw6/6FIM0EwseHj4tHIDmE2fprlXHv+AjKxuDOgmLTj30PtYQnuIWbcl26Ec32CFK/KlvtaUr3ZVkwygxhcb7H25jM6FWZnR5dRxa+y4P3JAtoV9UMedB/wOydMk9kGnrFjoi/Lip+7q2l2lWi4nAsNv8YZncMGiVK2lFjdU1w0/4wunhgdgcvDT2vfunVrtG7dusF6Q4cORVlZGbZt24aBAwcCAD799FOoqoohQ4YYbjNw4EBYLBasX78eY8eOBQDs2bMHv//+O4YOHWq6rx07dqBFixZBFTSxJmEsOhoSCpq6XYfDERAEhiAIgkhGXH6yRoVidCQ3Hv/i/Px83XJ/3+OmaJfkDoIgiNTBTO6IpSVpr169UFRUhGnTpmHLli3YuHEjpk+fjgkTJngzrhw8eBA9e/bEli1bAPd789SpUzFjxgx89tln2LZtG2644QYMHTrUm3Hl/fffx0svvYQffvgBe/fuxd///ncsWLAAt912W8yOJRQSxqIjkYQNAFi4cCEeeuihiPfb/Gk6c+2o/aH9Zm0Y0wYKdX+XXJc8U1Uwgbl885lLc8o4B1c82/hmjoJlXWCaaQQm+bYRRHfAUUkEBMEVjFQUXH5ygmsZmABIFjDR3Set5lv7XRPkNLbacfeuTZYL2i4x4+/egKUiICuuMeGcQxB8QUhV1RWY1Ok5JZrz5glQijCCkfr6wbyBRz1BSEWBQfDM+Aj6T/idv3AJFow0HOg9Ov5wlUMxs+gg15WkYvny5bj55pu9vz/44IO49kcLyR0EQRCpg+JUDGWPSCw6wmH58uWYPn06RowYAUEQMHbsWDz99NPe9U6nE3v27EFNTY132ZNPPumt63A4UFhYiOeee8673mKxYMmSJbjrrrvAOUf37t3xxBNPYNq0aTE9loaIm0XH8uXLkZmZ6S1OZ2Ae4Xgya9YsXQCY/fv3x7tLBEEQRAzgKneZkBqUWKaXLS0txcSJE5GdnY3c3FxMnToVVVVVQbepq6vDrbfeipYtWyIzMxNjx45FSUmJro5RELKVK1fG7DiaE5deeil27NjhLa1atQLcvsZaGvI9bgjPtuG0S3IHQRBE6sBN5A5Vjq2iIy8vDytWrEBlZSXKy8uxdOlSZGZmetd36dIFnHOcf/753mV2ux1LlixBaWkpqqur8c477+ieZUVFRfj2229RWVmJqqoq7NixAzfffDMEIb7OI3Gz6Lj00kt1vkCeQCUlJSVo27atd3lJSQn69esX8X60wkY47dpstrj6FBEEQRBNAw8WoyOGFh0TJ07E4cOHsW7dOjidTtxwww246aabsGLFCtNt7rrrLnzwwQdYtWoVcnJyMH36dFxxxRXYuHGjrt6yZctQVFTk/e2fFi5VycrKQlZWlvc35xwFBQVYv369VyaoqKjA5s2b8ac//Sni/XTt2jXsdpNW7oiSvz+EyGJ0mMWf0LcdQ39/f0tM//EIiM1h3BfBbzk3iH0iuatw7mpG1cTj8PaC+SwJPbEqzEYoUezZzF6VmN+YmMXc8Jxek6F37yPYNeBb5zlPzH903DFkAq83k3YjjDmjbyOEl8hI/n/R+s8SQVGdiqHswZ3G8ggRPnFTdCSysEEQBEGkDpyrUEwEi1hZdOzatQtr167FN998g0GDBgEAnnnmGYwePRqLFi3y+spqKS8vx8svv4wVK1Zg+PDhgFuh0atXL3z99ddeX1m4FRuNsUhIFRhjuPPOOzF//nycfPLJ6Nq1Kx588EG0a9cOY8aM8dYbMWIELr/8ckyfPh0AUFVVhb1793rX//LLL9ixYwfy8vLQqVOnkNslCIIgUhPFKRvKHkqMLTpSiYSJ0ZH0wkaKOeKzMKJJx2pkmGb2h4mevajufXp09ypEQXLN6CqKL84GN4xVHhi9XaP19sTlgCc2BxA8PocouL57zLoE47gciYh25kP73RPzgqnuQ1Q5FMZcEU8Ed4h3gQEqYJHcxyhrrhXN+Ap+s1XamBiCdtw1M3Ge2Bxwx/Hwj9vh+oz9TEVz/rfH/FaViBNFnIMrxoJFSLPBEbBp0ybk5uZ6lRwAMHLkSAiCgM2bNxumLd22bRucTqcuuHbPnj3RqVMnbNq0SafouPXWW3HjjTeiW7du+OMf/4gbbrhBF7eI8HHvvfeiuroaN910E8rKyjBs2DCsXbsWdrvdW2ffvn04duyY9/fWrVvxhz/8wft7xowZAIDrr78er7zySsjtEgRBEKkJVxVD2YNig0WPhFF0gIQNgiAIIg54YnQYoqqQZTkgA0Zj3QyKi4vRpk0b3TJJkpCXl2caKLu4uBhWqzXADcU/uPa8efMwfPhwpKen45NPPsEtt9yCqqoq3H777RH3N5lhjGHevHmYN2+eaZ1ff/1V9/v8889vUAkWSruJRWwUYdEKoh3gKhBVotDHUBSJBnUiHR9dRlU/FxTmdk8RNZV0l6t7uc9gzcxdJjFoaGSNPI+CualE40o3d2Fh+sE2c1GJhhI9wmuuIaIX+J6U68HgTsVQ9iDXleiRUIoOEjaIpoYJArg7A4sAEapHs6rN2OJvxWHaVuANPag1h//DT/NbZ42SINYdZlYUuu5pjTMYoAjM1KpDVXhAW4pi/l822792f1prDoIIlaBZVxQVX375JRYsWKBbPmfOHMydOzeg/syZM/G3v/0t6P527drVyB4H58EHH/R+79+/P6qrq/HYY4+RooMgCIIgEgTFKRvKHkqMs66kEgml6CAIgiCIJoerphYdXOUYNmwY3n//fd1yM2uOu+++G5MnTw66u27duqGgoABHjhzRLZdlGaWlpaaxNQoKClBfX4+ysjKdVUdDGUKGDBmChx9+GA6HIzmDXRIpQeSWDyFYggSb9TaaTGuEG5j5cTTQpt9qQRNoVHXbcvhbeXC/394++AUjNe1rgvhhhjrcZvXMNtcFIA35lHoqugbH/3wyrkZuaRHlaw1Rtc4goo0qG1t0xDrrSipBig4iJfD4pjf0zPbE2VC1PnNBHjLauBz6FWE8WBLEYiMa+KwuGpaOPHUVTV2d0BWiVUZDgpq3ObLyIExwWXSYxOhQOSRJQnZ2dkhttW7dGq1bt26w3tChQ1FWVoZt27Zh4MCBAIBPP/0UqqrqMpJpGThwICwWC9avX4+xY8cCAPbs2YPff/8dQ4cONd3Xjh070KJFC1JyEHEiWvfeyN66G/2iF9NHRyMa12YM4ebtqAbjZibWBOQLScDHZrhdCppNpVHHp93YN3KNut4SZrwTpiNJjVqvQLEEyh4qWXREDVJ0EMmLVoGg6m8aTGDgEABV1bmvNCbDQsguJhpXGJakmnYRgEdHzQSmdQQOrKtRQKiNGH9/txVtIFItFJCR8Idz8+wqsQpG2qtXLxQVFWHatGl4/vnn4XQ6MX36dEyYMMGbceXgwYMYMWIEXnvtNQwePBg5OTmYOnUqZsyYgby8PGRnZ+O2227D0KFDvYFI33//fZSUlODMM8+E3W7HunXrsGDBAvz5z3+OyXEQBEEQBBE+XOWGskes5I5UhBQdBEEQRErTkEVHrFi+fDmmT5+OESNGQBAEjB07Fk8//bR3vdPpxJ49e1BTU+Nd9uSTT3rrOhwOFBYW4rnnnvOut1gsWLJkCe666y5wztG9e3c88cQTmDZtWsyOgyCahngpqUO9B8RRiW60a3e3g1o0pBIJZ5nTDK4rIqYoTtVQ9lCdlHUlWpCigyBiiCcQKeFDcFt40G2cSBRcig7jK5IHCZDbWPLy8rBixQrT9V26dAmY2bHb7ViyZAmWLFliuE1RURGKioqi3leCSF2a6TO8mXY7daATlOq4FB2BsodCio6oQYqOMDm9/4CQfbUJgiCI6OCf3jWacNU8ynksLToIIhRO79+f5A6CIIgmJpZyB9yxOBTJwKJDJkVHtCBFB0EQBJHacHPXlcbEjSEIgiAIgjBCcSpQxEDZg9LLRg9SdBAEQRApDefc3EWF9BwEQRAEQUQZrhi7x8bSZTbVIEUHQRAEkdIED0ZKJqQEQRAEQUQXxalAESgYaSwhRQdBEASR0rhidJgEIyV5gyAIgiCIKKPKKhTBIBgpxeiIGqToIAiCIFIblUM1s+hQSOAgCIIgCCK6qPUKVATKHpwsOqIGKTpCxJPir6KiIiDdH0EQBBFbKisrAc29OJpwlVPWFSLhILmDIAgifsRS7oDbckNhBsFIZQpGGi1I0REinov95O7d490VgiCIlKWyshI5OTlRbZNzDtUk+Be9XxLxguQOgiCI+BMLuQPuoKOqEChkmMkjRPiQoiNE2rVrh/379yMrKwuMsXh3B3DP8nTs2BH79+9HdnZ2vLuTMNC4BEJjYgyNizGJOC6cc1RWVqJdu3YxadvUdYUsOog4kYhyBxL0/hBvaEyMoXEJhMbEmEQcl1jKHQCgOhWonFxXYgkpOkJEEAR06NAh3t0wJDs7O2FuCokEjUsgNCbG0LgYk2jjEosZFXiCkdabBCOlmRUiTiSy3IEEvD8kAjQmxtC4BEJjYkyijUus5A7AFQRdMcjsZhYcPVqUlpbitttuw/vvvw9BEDB27Fg89dRTyMzMNN3mhRdewIoVK7B9+3ZUVlbixIkTyM3NbXS7sUaI254JgiAIIgHgnMOpqoZFBSk6CIIgCIKILrJqLHvIMU73NnHiRPz4449Yt24d/vWvf+Hzzz/HTTfdFHSbmpoaFBUV4f77749qu7GGLDoIgiCIlEblQL2Jiwp5rhAEQRAEEW3qVY56FihkxNKgY9euXVi7di2++eYbDBo0CADwzDPPYPTo0Vi0aJGpm86dd94JANiwYUNU2401ZNHRjLHZbJgzZw5sNlu8u5JQ0LgEQmNiDI2LMak2LhyAwo0LKToIQk+q3R9CgcbEGBqXQGhMjEnFcVEbkDsqKip0xeFwNHqfmzZtQm5urlcZAQAjR46EIAjYvHlzwrXbWMiioxljs9kwd+7ceHcj4aBxCYTGxBgaF2NSbVxUzs0tOsh1hSB0pNr9IRRoTIyhcQmExsSYVBwXc4sODofDERAfZM6cOY0eo+LiYrRp00a3TJIk5OXlobi4OOHabSyk6CAIgiBSGhXkukIQBEEQRNPhNJlkcXIOm82GI0eO6JYHs3aZOXMm/va3vwXd365duxrR2+YJKToIgiCIlCZYjA6Fk6aDIAiCIIjo4lQ56g2sRp0qB0SElX3m7rvvxuTJk4PW6datGwoKCgIUKLIso7S0FAUFBWH0Xk+s2m0spOggCIIgUpqgriuk5yAIgiAIIsrUN6DoCIfWrVujdevWDdYbOnQoysrKsG3bNgwcOBAA8Omnn0JVVQwZMiS8nTZBu42FgpESBEEQKU2wYKSk5yAIgiAIItqYBSNVYrjPXr16oaioCNOmTcOWLVuwceNGTJ8+HRMmTPBmRjl48CB69uyJLVu2eLcrLi7Gjh07sHfvXgDAf//7X+zYsQOlpaUhtxsPSNGRYHDOMXv2bLRt2xZpaWkYOXIkfv7555C3f+SRR8AY86YB8lBXV4dbb70VLVu2RGZmJsaOHYuSkpIYHEFsiGRcFi5ciDPOOANZWVlo06YNxowZgz179ujqNOdxWbJkCbp06QK73Y4hQ4bobkhGrFq1Cj179oTdbkefPn3w4Ycf6tY39tpLFMIZlxdffBHnnHMOWrRogRYtWmDkyJEB9ZNhXMK9VjysXLkSjDGMGTNGtzwZxkSLx3XFqCik6SBSAJI9AiG5IxCSO4whucMYkj2CYyZ3OGNsSrp8+XL07NkTI0aMwOjRozFs2DC88MIL3vVOpxN79uxBTU2Nd9nzzz+P/v37Y9q0aQCAc889F/3798d7770XcrtxgRMJxSOPPMJzcnL4mjVr+HfffccvvfRS3rVrV15bW9vgtlu2bOFdunThffv25XfccYdu3R//+EfesWNHvn79er5161Z+5pln8rPOOiuGRxJdIhmXwsJCvmzZMv7DDz/wHTt28NGjR/NOnTrxqqoqb53mOi4rV67kVquVL126lP/444982rRpPDc3l5eUlBjW37hxIxdFkT/66KN8586d/IEHHuAWi4X/97//9dZpzLWXKIQ7Ltdccw1fsmQJ//bbb/muXbv45MmTeU5ODj9w4IC3TnMfl3DHxMMvv/zC27dvz8855xx+2WWX6dY19zHR8uSTT/JeQgafYz/JsPQXs/js2bPj3U2CiCkkewRCcocekjuMIbnDGJI9ggOA32nrbCh3/MnakWdnZ8e7i0kBKToSCFVVeUFBAX/ssce8y8rKyrjNZuNvvPFG0G0rKyv5ySefzNetW8fPO+88nbBRVlbGLRYLX7VqlXfZrl27OAC+adOmGB1N9GjMuGg5cuQIB8D/85//eNtoruMyePBgfuutt3p/K4rC27VrxxcuXGhY/6qrruIXXXSRbtmQIUP4zTffzHkUxzjehDsu/siyzLOysvirr77KeZKMSyRjIssyP+uss/hLL73Er7/+ep2wkQxjouXJJ5/kp7B0PsvazbCcLpCig0huSPYIhOSOQEjuMIbkDmNI9ggOAH6rpZOh3HGj1IEUHVGCXFcSiF9++QXFxcUYOXKkd1lOTg6GDBmCTZs2Bd321ltvxUUXXaTb1sO2bdvgdDp163r27IlOnTo12G4i0Jhx0VJeXg4AyMvLA5rxuNTX12Pbtm26fguCgJEjR5r2e9OmTQHXRmFhobd+tMY4nkQyLv7U1NTA6XR6r5HmPi6Rjsm8efPQpk0bTJ06NWBdcx8TI9QgJqQqZV0hkhySPQIhuUMPyR3GkNxhDMkeoWHqukJyR9SgrCsJRHFxMQAgPz9ftzw/P9+7zoiVK1di+/bt+Oabb0zbtVqtyM3NDavdRCHScdGiqiruvPNOnH322ejdu7e33eY4LseOHYOiKIbjsXv3bsNtiouLg45fNMY43kQyLv7cd999aNeunfdB2tzHJZIx+fLLL/Hyyy9jx44dhuub+5gYwbl5GlkSN4hkh2SPQEju0ENyhzEkdxhDskdoqJxDMZAyaIIlepBFRxxZvnw5MjMzvcXpdIbdxv79+3HHHXdg+fLlsNvtMelnUxONcfHn1ltvxQ8//ICVK1dGpY9E8vHII49g5cqVePfdd5PmvxQulZWVuO666/Diiy+iVatW8e5OkxHMooOCkRLJBskegZDcQcQDkjtcpKrsEa9gpKkEWXTEkUsvvVSXW9jhcAAASkpK0LZtW+/ykpIS9OvXz7CNbdu24ciRIxgwYIB3maIo+Pzzz/Hss8/C4XCgoKAA9fX1KCsr080ilJSUoKCgIEZHFznRGBct06dPx7/+9S98/vnn6NChg3d5cxsXD61atYIoigFR2oP1u6CgIGh9z2ekY5wIRDIuHhYtWoRHHnkE//73v9G3b1/v8uY+LuGOyb59+/Drr7/ikksu8S5TVRUAIEkS9uzZ0+zHxJ+OHTuiBA44uArJT/evguMwHOjUqVPc+kcQ0YZkj0BI7ggOyR3GkNxhDMkeDZMBEQdRh5N5ZsC6A3BAqqiNS7+SDbLoiCNZWVno3r27t5x66qkoKCjA+vXrvXUqKiqwefNmDB061LCNESNGeHMZe8qgQYMwceJE7NixA6IoYuDAgbBYLLp29+zZg99//9203XgSjXGBOw3V9OnT8e677+LTTz9F165ddeub27h4sFqtGDhwoK7fqqpi/fr1pv0eOnSorj4ArFu3zlu/a9euEY1xIhHJuADAo48+iocffhhr167FoEGDdOua+7iEOyY9e/YMuJ9ceuml+MMf/oAdO3agY8eOzX5M/Ln88suRBhE/oDJg3W5UgYNj0qRJcekbQcQCkj0CIbkjOCR3GENyhzEkezTMkldexhaUQfZzXamHiq0ow9I1q+LWt6Qi3tFQCT2PPPIIz83N5f/85z/5999/zy+77LKA1EnDhw/nzzzzjGkb/pHPuTudWadOnfinn37Kt27dyocOHcqHDh0a02OJJpGMy5/+9Ceek5PDN2zYwA8fPuwtNTU13jrNdVxWrlzJbTYbf+WVV/jOnTv5TTfdxHNzc3lxcTHnnPPrrruOz5w501t/48aNXJIkvmjRIr5r1y4+Z84cwzRvDY1xohPuuDzyyCPcarXy1atX666RyspKXZ3mPC7hjok//pHPeRKMiT/r16/nVjA+CR34zejMb0ZnPgUdeRoE/vbbb8e7ewQRc0j2CITkDj0kdxhDcocxJHsER5Zl3hIWfiZyvXLHzejMByCHF8DGVVWNdxeTAlJ0JBiqqvIHH3yQ5+fnc5vNxkeMGMH37Nmjq9O5c2c+Z84c0zaMhI3a2lp+yy238BYtWvD09HR++eWX88OHD8fsOKJNJOPijiMYUJYtW+at05zH5ZlnnuGdOnXiVquVDx48mH/99dfedeeddx6//vrrdfXfeust3qNHD261Wvlpp53GP/jgA936UMa4ORDOuHTu3NnwGtFeR8kwLuFeK1qMhI1kGBN/OiGN90aWV9gYSMIGkUKQ7BEIyR2BkNxhDMkdxpDsEZxPPvmEWyHw692TLNeiPZfA+ObNm+PdtaSBcU6hXQmCIIjU5scff8TpvftgHNpCAsNKHMLnX21sliaxBEEQBEEkPh1ZGlrAgrOQhw04Bhkce3l1vLuVNJCigyAIgiAA9GJZqIcKKwTUQ8U+EjYIgiAIgogR33//PQac3g8j0Qr/xjH8tG8vunXrFu9uJQ2k6CAIgiAIAIcOHULn9h3AwbH755/RvXv3eHeJIAiCIIgkpifLxE+oRm9k4XteEe/uJBWk6CAIgiAIN8NZK8jg+Jwfj3dXCIIgCIJIcg4cOIDCjr3w+bFf0bJly3h3J6kgRQdBEARBEARBEARBEEmDEO8OEARBEARBEARBEARBRAtSdBAEQRAEQRAEQRAEkTSQooMgCIIgCIIgCIIgiKSBFB0EQRAEQRAEQRAEQSQNpOggiGbOyy+/jAsvvDAu+545cyZuu+22uOybIAiCIIj4QLIHQRCJDmVdIYhmTF1dHbp164ZVq1bh7LPPbvL9Hzt2DN26dcOOHTvQrVu3Jt8/QRAEQRBNC8keBEE0B8iigyCaMatXr0Z2dnZcBA0AaNWqFQoLC/H3v/89LvsnCIIgCKJpIdmDIIjmACk6CCIBOHr0KAoKCrBgwQLvsq+++gpWqxXr16833W7lypW45JJLdMsmT56MMWPGYMGCBcjPz0dubi7mzZsHWZZxzz33IC8vDx06dMCyZcu82/z6669gjOGtt97COeecg7S0NJxxxhn46aef8M0332DQoEHIzMzEqFGjcPToUd3+LrnkEqxcuTKq40EQBEEQRGwh2YMgiGSGFB0EkQC0bt0aS5cuxdy5c7F161ZUVlbiuuuuw/Tp0zFixAjT7b788ksMGjQoYPmnn36KQ4cO4fPPP8cTTzyBOXPm4OKLL0aLFi2wefNm/PGPf8TNN9+MAwcO6LabM2cOHnjgAWzfvh2SJOGaa67Bvffei6eeegpffPEF9u7di9mzZ+u2GTx4MA4cOIBff/01iiNCEARBEEQsIdmDIIikhhMEkTDccsstvEePHvyaa67hffr04XV1daZ1T5w4wQHwzz//XLf8+uuv5507d+aKoniXnXLKKfycc87x/pZlmWdkZPA33niDc875L7/8wgHwl156yVvnjTfe4AD4+vXrvcsWLlzITznlFN3+ysvLOQC+YcOGRh49QRAEQRBNDckeBEEkI1K8FS0EQfhYtGgRevfujVWrVmHbtm2w2WymdWtrawEAdrs9YN1pp50GQfAZbOXn56N3797e36IoomXLljhy5Ihuu759++q2AYA+ffrolvlvk5aWBgCoqakJ61gJgiAIgog/JHsQBJGMkOsKQSQQ+/btw6FDh6CqaoPmmC1btgRjDCdOnAhYZ7FYdL8ZY4bLVFU13Y4xZrjMf5vS0lLAbQJLEARBEETzgmQPgiCSEVJ0EESCUF9fj2uvvRbjx4/Hww8/jBtvvDFgBkOL1WrFqaeeip07dzZpP/354YcfYLFYcNppp8W1HwRBEARBhAfJHgRBJCuk6CCIBOEvf/kLysvL8fTTT+O+++5Djx49MGXKlKDbFBYW4ssvv2yyPhrxxRdfeKOlEwRBEATRfCDZgyCIZIUUHQSRAGzYsAGLFy/G66+/juzsbAiCgNdffx1ffPFF0DzxU6dOxYcffojy8vIm7a+WlStXYtq0aXHbP0EQBEEQ4UOyB0EQyQzjnPN4d4IgiMgZN24cBgwYgFmzZjX5vj/66CPcfffd+P777yFJFNuYIAiCIFIBkj0Igkh0yKKDIJo5jz32GDIzM+Oy7+rqaixbtowEDYIgCIJIIUj2IAgi0SGLDoIgCIIgCIIgCIIgkgay6CAIgiAIgiAIgiAIImkgRQdBEARBEARBEARBEEkDKToIgiAIgiAIgiAIgkgaSNFBEARBEARBEARBEETSQIoOgiAIgiAIgiAIgiCSBlJ0EARBEARBEARBEASRNJCigyAIgiAIgiAIgiCIpIEUHQRBEARBEARBEARBJA2k6CAIgiAIgiAIgiAIImn4fyJJ/uHeOTqHAAAAAElFTkSuQmCC", + "image/png": "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", "text/plain": [ "
" ] @@ -960,7 +850,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAxYAAAGGCAYAAADmRxfNAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjEsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvc2/+5QAAAAlwSFlzAAAPYQAAD2EBqD+naQAAhLNJREFUeJzt3QeYU1X+PvA3mUwvDMM0OkMXUFBABRRQUVTEXtZ1VSzrqmD/re2/a1kLrq5rX3Wb6Np7wYoKCCpSBKX33mF6n5T/857xxjshmcK0zMz7eZ7LJDc3yU0mZO57z/me4/D5fD6IiIiIiIjUg7M+dxYRERERESEFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxERERERqTcFCxGRVqqwsBBXXnklMjMz4XA4cOONN5r1u3fvxrnnnosOHTqY9Y8//niT7dO0adPMc27atKnJnrOxnre5XouISLhSsBARaUGsg9lQy7x58/zbPvjgg2b7a665Bv/73/9w8cUXm/U33XQTPv/8c9xxxx1m/cknn9zg+8nnfv/99xv8cUVEJHw5fD6fr7l3QkREaodB4bLLLsNf/vIXZGVlHXA7Q0Jqaqq5fPTRR8PlcmHu3LlVtmELxrhx4/Dyyy832n4mJCSYVhHur53H40FFRQWio6NNEGoqjfG81u9i48aN6NGjR4M8pohIS+Zq7h0QEZG6O+WUUzBs2LBqt9mzZw8GDBgQdH1ycjKaQ0REhFnayvOKiLQl6golItLKzJo1y5yV55n0jz/+2N9NyupGxYbqZ555xr/ekpuba+owunbtas7s9+7dG3/961/h9XqrPD6vP/HEEzj00EMRExODtLQ001KycOFCczsfs6ioCC+++KL/OSZNmhS0LuG0005Dz549g76OESNGHBCe2MoydOhQxMbGIiUlBb/5zW+wdevWg6qHYCsDn58tOkceeaR5LdyXl1566YD7L1++HMcff7x53i5duuD+++8/4H2xfPrppzj22GMRHx+PxMRETJgwwdzf8vXXX8PpdOKuu+6qcr9XX33V7OOzzz5b4+sREQlHChYiIi1QXl4e9u3bV2XZv3+/ue2QQw4xtRPsEjVkyBBzmcvw4cPNTzrxxBP966m4uBhjxowxB+6XXHIJnnzySYwaNcrUYdx8881VnvuKK67wBxAGj9tvv90clFv1HXxMBhMeXFvP8Yc//CHo67jgggtMAFqwYEGV9Zs3bzaPx+BgeeCBB8y+9enTB3//+9/NPnz11VcYPXq0CUUHY926dabLFt+PRx99FO3btzchyB4Edu3aheOOOw5Lliwxr5XPy/DBcBWIr5VBgl3B+N78+c9/xooVK3DMMcf4Qw0DyrXXXoupU6fixx9/NOt27tyJ6667znRRu/rqqw/qtYiINDvWWIiISMvwwgsvsC4u6BIdHV1l2+7du/smTJhwwGNw28mTJ1dZd9999/ni4+N9a9asqbL+9ttv90VERPi2bNlirn/99dfm/tdff/0Bj+v1ev2X+ViXXnppyP3fuHGjuZ6Xl2f2+5Zbbqmy3cMPP+xzOBy+zZs3m+ubNm0y+/HAAw9U2W7p0qU+l8t1wPqantd6f7jum2++8a/bs2fPAftz4403mu1++OGHKtu1a9euymMWFBT4kpOTfb///e+rPPeuXbvMtvb1RUVFvt69e/sGDhzoKy0tNb+npKQk/+sVEWmJ1GIhItICsSvTjBkzqizsgnOw3nrrLdPCwDP29lYQnkFn4fM333xjtnvnnXdMd5277777gMc4mKLopKQkUy/y5ptvmi5aljfeeMMUn3fr1s1cf/fdd03Xo/PPP7/K/rEQnS0YM2fOPKjXzRoUvm4Lu3X169cPGzZs8K/75JNPzL6wu5R9u4suuqjKY/F3wJaTCy+8sMo+srbjqKOOqrKPcXFxpnvWypUrTYsLu6w99thj/tcrItISqXhbRKQF4kFuTcXbdbF27Vr8/PPP5oA5GBZ80/r169GpUydT39BQ2B2KQ9N+//33GDlypHmORYsWVZlfg/vH4MEQEUxkZORBPXewA3mGq5ycnCrdshgMAjGA2HEfra5OoUKUHbuacShghsTx48fj8ssvP6jXICISLhQsRETEtAawzuDWW28Nenvfvn0b7bknTpxozuCz1YLBgj9Z3HzeeedV2T+2iLBVJtjoTqxpOBihRoo6mJHYrWJu1lmwJSUQh/61KysrM4X2xDDFOhe+DyIiLZWChYiIoFevXmambnZ9qmk7Tq6XnZ1dbatFXbpFcfQkjs7E7lgsymY3KHZPYsuI/Xl5sM+5Oxoz5ATTvXt3f2uE3erVq6tc5z5Senp6je8jsTsZu0L97W9/w2233WYKw1k0LyLSUqnGQkRETO0CuyIxNARi3YDb7TaXzznnHHOAf++991Z7lp9hoS4jNbE71I4dO/Dvf/8bP/30k7lud/bZZ5vWBT5vYGsCr1sjYjWGU0891YxQNX/+fP+6vXv34pVXXqmyHbszsbsTZx3nZHyBeB/LDz/8YAIFR5i65ZZb8Mc//hFPP/00Zs+e3WivQ0SksanFQkSkBWKXoFWrVh2wnl2JQs0LUR0e2H744Yem5YDDrXKuCM5FsXTpUrz99ttmqFQOX8thVy+++GJzZp1n8Tl/BbsAzZkzx9w2ZcoU83i8/5dffmlaINjywJaGYHUK9oN3zvnwf//3fyZAMMAEtgZw7ggOf8t9OfPMM832HKr2vffew1VXXWXu2xjYPYzdm/hab7jhBhOa/vnPf5qWDNalWBgqOAcF358jjjjCDJXLmpUtW7aY4mzWVDA8lJaW4tJLLzX1IhxClxiYPvroIzOTN99zPoeISIvT3MNSiYhIwww3y4W3H8xws9ZwqXfccYcZBjUqKsqXmprqGzlypO9vf/ubr7y83L+d2+32PfLII77+/fub7dLS0nynnHKKb9GiRf5tVq1a5Rs9erQvNjbWPJ819GywYV8tF110kblt3LhxIV//O++84zvmmGPMcLZcuA98LatXrz6o4WaDvT9jxowxi93PP/9s1sXExPg6d+5shuf9z3/+E/S1zJw50zd+/HgzxCy379Wrl2/SpEm+hQsXmttvuukmM3Suffha4u0cOveaa66p9rWIiIQrB/9p7nAjIiIiIiItm2osRERERESk3hQsRERERESk3hQsRERERESkZQeLqVOnYvjw4WZkD477zVE+AscFD7R8+XIzWkiPHj3MOOn2mVmDeeihh8x2HNJPRERERERaYbDgeN2TJ08244PPmDHDjPt90kknmSEOQ+HMpBxKkYEh2MymdgsWLMDzzz+Pww47rBH2XkREREREwmIei88++6zK9WnTppmWi0WLFmH06NFB78MWDi7EWUpD4QyyF110Ef71r3+Zsc9FRERERKSNTJCXl5dnfqakpNT7sdgSMmHCBIwbN65WwaKsrMwsFk74lJ2djQ4dOpiuVCIiIiIibY3P50NBQYGZ7NTpdLaMYMEDedZBcGbSQYMG1euxXn/9dfz444+mK1Rd6j0486mIiIiIiFS1detWdOnSBS0iWLCFYdmyZZg7d269X/QNN9xgajZiYmJqfb877rgDN998c5XWk27dupnHS0pKqtc+iYiIiIi0RPn5+ejatasZbKkmYREspkyZgunTp+Obb76pMQnVhPUZe/bswRFHHOFf5/F4zGM//fTTprtTRETEAfeLjo42SyCGCgULEREREWnLHLUoDXA1d5+t6667Du+99x5mzZqFrKysej/mCSecgKVLl1ZZd9lll6F///647bbbgoYKERERERGpH1dzd3969dVX8cEHH5jmlV27dpn17dq1Q2xsrLl8ySWXoHPnzqYGgsrLy7FixQr/5e3bt2PJkiVISEhA7969zeME1mjEx8ebIuz61m6IiIiIiEgYzmPx7LPPmlqGsWPHomPHjv7ljTfe8G+zZcsW7Ny50399x44dOPzww83C9X/729/M5SuvvLKZXoWIiIiIiDh87I8kQQtV2HLC4KMaCxERaQqsCeRksSIiTSUyMrLaUoG6HBOHRfG2iIhIW8ZzfOwOnJub29y7IiJtUHJyMjIzM+s9d5uChYiISDOzQkV6ejri4uI0MauINNlJjeLiYjOiKrEkoT4ULERERJq5+5MVKjjQiIhIU7IGTGK44PdQfUZQbdbibRERkbbOqqlgS4WISHOwvn/qW+OlYCEiIhIG1P1JRFr694+ChYiIiIiI1JuChYiIiLQo06ZNM6PYhKtw3j/OHXbjjTc22/N/9dVXOOSQQ0xtUW3cc889GDJkSKPvV2u2b98+Uzuxbdu2Rn8uBQsRERE5KJMmTTJdKLhwLPysrCzceuutKC0trbKdtY19OeaYY2r1uFFRUejduzf+8pe/wO12N9i+b9q0yTz+kiVL0NAuuOACrFmzpsEftzXg5+NPf/qTv0CYISzY5+Pf//53c+9q2Nl0kJ/Z1NRUXHLJJbj77rvR2DQqlIiIiBy0k08+GS+88IIp+ly0aBEuvfRSc/Dz17/+tcp23IbbWhgYavO4ZWVl+OSTTzB58mQTXu644w60hFF2rJF22iJ+Fvi7CjR37lysX78e55xzTpX1nHRt9erVVdZxQjZpOJdddhmGDh2KRx55BCkpKWgsarEQERGRgxYdHW0m1uratSvOPPNMjBs3DjNmzAg5AZe11HRwYz1u9+7dcc0115jH/fDDD6ts8/nnn5tuNQkJCSaI7Ny503+b1+s1rRxdunQxj8XuNJ999pn/drau0OGHH26CELsI1eZ+1lnjd999F8cdd5wZTWfw4MH4/vvvq+0K9dFHH2H48OGIiYkxZ5DPOuss/23/+Mc/0KdPH3NbRkYGzj333JDvy+bNmzFx4kS0b98e8fHxGDhwoAleltmzZ+PII480+845CW6//faQLT133nknjjrqqAPW8/XwPbCw9YDvM/evf//+Zn8D34833ngDY8aMMdu88sorQZ/v9ddfx4knnmi2seP97Z8NLtUFs+r25/LLL8dhhx1mAimVl5eb3zHP2Fu+/fZb8/vm747v4/jx45GTk2Nu4/2uv/5603WIj8+WtQULFvjvO2vWLLO//Ozxcbmfxx9/vBmq9dNPPzX7xaD029/+1swPYeHzTZkyxSwMTfwM/PnPfzbzSNjfh/fff7/Ka+XniJ+n6j6zNb0nxM9Jp06d8N5776ExKViIiIiEGR5slJSXNfliP8g5GMuWLcN3331XY2vEweABHA8SLTxo+9vf/ob//e9/+Oabb7Blyxb83//9n//2J554Ao8++qjZ5ueffzYHj6effjrWrl1rbp8/f775+eWXX5pAwqBQm/tZ/t//+3/m+dgtpW/fvrjwwgtDHsB//PHHJkiceuqpWLx4sakz4ME/LVy40BzI8kCeZ+0ZYkaPHh3yfWDLDQ9++ZqXLl1qWoYYrGj79u3mORhgfvrpJzz77LP4z3/+g/vvvz/oY1100UXmfWArgmX58uXmdfPAmBgS7rrrLjzwwANYuXIlHnzwQXNA/OKLL1Z5LAaYG264wWzD9yyYOXPmYNiwYaiPmvbnySefRFFRkdkf6/fEeWKefvppc52/rxNOOAEDBgwwYZCtKAxqVs0Hu2q988475vF+/PFH0w2Pryc7O/uA2g8+Jj/vW7duxfnnn4/HH38cr776qvl9f/HFF3jqqaeq3IeP6XK5zHvOz9nf//73OnX5CvWZre3viJ85/g4ak7pCiYiIhJnSinIc8/C1Tf68c2/9B2Kjout0n+nTp5sDWx5U84DX6XT6D+LseOBtn3jr5ZdfNi0cNWHY4YE4zxBfd911VbrbPPfcc+jVq5e5zjPB9rPsDAa33XYbfvOb35jrPACfOXOmOfh75plnkJaWZtZzUkKeIa/t/SwMFRMmTDCX7733XnNGeN26deZscSAe8PHxuJ29VYAYiNjycNpppyExMdG00PCMdCjcnl2JDj30UHO9Z8+e/tt4lpotR3z/eUab+7Jjxw7zenjgyd+NHfeZ+8GDYR6IWgepbMXgATWxXz6D1tlnn+0/a75ixQo8//zzptubhQXh1jbVtbbwrHmgvLw8fzgiXuZs9MHUtD+8Lz9bbD3h+8nfG39/bEWghx9+2IQb+xl9vg/EQMIwxhaCU045xaz717/+ZVrgGND++Mc/+u/DsDZq1Chz+YorrjBd9NavX+//fbDVic/L997C381jjz1mfjf9+vUzwZDXf//736M2Qn1ma/s74nvPYNuYFCxERETkoLE7EA/GeFDGgySekQ3sQ0+8jd2ZLOymU5vAwgDB7kk8g86zxBZ2Y7FChfV47I5C+fn55oDaOvCz8DrP5IdSl/uxu03ga+HzBwsWPEse6uCRXYMYJnhAyu5cXNi6EWrCRLZusGsYz4jz/eR7be0Lz1aPGDGiypwE3PfCwkIzIlC3bt2Ctlr897//9XfLee2113DzzTeb2/g75cEyD5zt+88QGVgDUZuWiJKSkgO6QREDAFsHLIEByFLb/eF7wOB33333mQN7+0AB/F2cd955QR+fj83Pm/33z1oRnunnexvq98/ua/x99bSFPK6zWhgsRx99dJXfDfeTgYCtJQc723Vdfkds9bN3z2oMChYiIiJhJiYyyrQeNMfz1hXPtltnt3mAyjPgPLvLAx07nmG1tqtLYGG3Kp5pZWCxCywO5gFbfbty1YX9+a2DRQagYKqrF7AOqtl3n2GBLQsMUOzXH2zI2iuvvNJ0zbG620ydOtUcnNpbc+qCLUk8+OY+8MCf3Xo4qhUxkFhn7QNrMQIPhPk5qAnrCqxaBjsGidp8Nmq7P/w9sI6C69iKZNdQRfWBv/9gn0dviM9DKME+wzXNhF2X3xG7c1mtHo1FNRYiIiJhhgcY7JLU1Et9Z9/lASILgjmcKA9S68MKLDzLHhgqasJuLwwjPLi043X2rSerDsQ+n0Jt7ncweHab3blC4etj6wO76bC+gQXRX3/9dcjt2aXm6quvNn3sb7nlFnNQSSzeZd2A/eCU+87wwmL0YLie3YbYBYoLW1BYuGyddef7sWHDBvO7sC9WIXFdsIsXu+gcrNruD0c+WrVqlSlkZ80KRxerze+CLWD8XNh//zywZ8irz+/f8sMPP8Bu3rx5pmjfCgA86LcPQMC6HnsLQ7DPbF1+R6yBqq6bXUNQi4WIiIg0GHYzYV901iPYi6mbGveBfc95sMiRnXhwyW4w1ohFPHjm2WseePLgml102HWkpvsdDD4eC4b5mKy1YDcVjuTElgJ2+eJBIQu2OUIR1/NMN/vgB8NaBvb/Z8E4z/6zHz8DBV177bWmpoCtF6w5YTE4n5tdm0J1L7K6Q3E7Fsezy5od60LY/YrvDbtpsY6GBed8bqvLVG2xpSWwoLiuatof1hCw1eftt982XZpYIM2icoYndlViLQTrU/heMZzxYJ3vIT+3bFFhNzN+BjhqGUMtwx4P7gNb4A7Gli1bzD7+4Q9/MC1ELO5ma5OFo0uxPoZdpBge+Pmwt4SE+szW5nfE18DhoFnY3ah8ElReXh7jvvkpIiLSWEpKSnwrVqwwP1uaSy+91HfGGWccsH7q1Km+tLQ0X2FhobnOv6fvvfdevR/X8sILL/jatWtXZR0f335Y4/F4fPfcc4+vc+fOvsjISN/gwYN9n376aZX7/Otf//J17drV53Q6fWPGjKnV/TZu3GieZ/Hixf51OTk5Zt3MmTND7t8777zjGzJkiC8qKsqXmprqO/vss836OXPmmOdu3769LzY21nfYYYf53njjjZCvfcqUKb5evXr5oqOjzXt88cUX+/bt2+e/fdasWb7hw4eb58nMzPTddtttvoqKCv/tfK4bbrihymNy//l4cXFxvoKCggOe85VXXvHvO/dz9OjRvnfffTfk+xHK/v37fTExMb5Vq1b51wV7r+zuvvtu8zuozf7w/9CAAQN8V111VZXtTz/9dN/IkSN9brfb/x7xOl9zcnKyb/z48eY9ID7GddddZ35HvH3UqFG++fPn+x+Lv2O+Xmv7UK/h7oD95vt+7bXX+q6++mpfUlKS2e8777zT5/V6/dts377dd9JJJ/ni4+N9ffr08X3yySfmcfn41X1mq3tPLK+++qqvX79+B/U9VJdjYgf/adzo0jKxgIvJjyMVWCMJiIiINDTOUr1x40bTbSFYYatIa8LWAB5jccSitmTs2LGmBYwtSs2BheNs1bCGEa7L91BdjolVYyEiIiIiTYLzSnAUrLoWNsvB27dvnxmKloX6jU01FiIiIiLSJDjSFQv8pemwdoQT/zUFBQsRERERkUY0a9YstAXqCiUiIiIiIvWmYCEiIiIiIvWmYCEiIiIiIvWmYCEiIiIiIvWmYCEiIiIiIvWmYCEiIiIiIvWm4WZFRETCFCcRa6qJxJxOp1lERA6WvkFERETCEANFTk4OsrOzm2ThczV2iCkpKUF8fDzWrVsXcpu33noL/fv3R0xMDA499FB88skn1T7mu+++ixNPPBFpaWlISkrCiBEj8Pnnnx+w3TPPPIMePXqYxz3qqKMwf/78KrfffPPNSElJQdeuXfHKK68csE8TJ06s8+sVaWsULERERMIQD/I9Hg8cDoe/NaGxFj4Hn6u+wWLs2LGYNm1ayNtnzJiB7t27o3fv3kFv/+6773DhhRfiiiuuwOLFi3HmmWeaZdmyZSEf85tvvjHBggFk0aJFOO6440wI4P0tb7zxhgkOd999N3788UcMHjwY48ePx549e8ztH330EV599VV88cUXePjhh3HllVdi37595ra8vDz8v//3/0wwEZHqKViIiIiEsaYKFk3hgw8+wOmnnx7y9ieeeAInn3wy/vjHP+KQQw7BfffdhyOOOAJPP/10yPs8/vjjuPXWWzF8+HD06dMHDz74oPnJsGD5+9//jt///ve47LLLMGDAADz33HOIi4vDf//7X3P7ypUrTSgaNmyYCTZs+di4caO5jY99zTXXoFu3bg36Xoi0RgoWIiIi0ujYGjJ9+nScccYZIbf5/vvvMW7cuCrr2LLA9XV5noKCAtOticrLy01Lhv1xGaZ43XpctmAsXLjQdAfjtuyyxVaVuXPnmhaO66+//iBesUjbo2AhIiIijW7evHnmJ+sbQtm1axcyMjKqrON1rq+tv/3tbygsLMT5559vrrNLE7t5Vfe4DC+/+93vTKvHpEmT8OKLL5paELZUsHXj2WefRb9+/TBq1CgsX768Tq9bpC1RsBAREZGDwm5HCQkJ/mXOnDm4+uqrq6zbsmWLvxvUaaedZloLuM6+DR+nIbBO4t5778Wbb76J9PT0Ot33nnvuMUXlS5cuxVlnnYWpU6eaVo3IyEjcf//9pvWCtReXXHJJg+yrSGuk4WZFRETkoDBEWC0DdNFFF+Gcc87B2Wef7V/XqVMn8/PDDz/EQw895F+3ZMkS/zZWt6XMzEzs3r27ynPwOtfX5PXXXzcH/hzByd7tKTU1FREREXV63FWrVuHll182BeCswxg9erQZdYqv9fLLLzddrRITE2vcJ5G2RsFCREREDgoDgRUKKDY21rQUBI76tHbtWmzevNmM3kQulyvoyFAcKvarr77CjTfeWGUkKa6vzmuvvWYO+BkuJkyYUOW2qKgoDB061DwuR5iy6jB4fcqUKQc8ls/nwx/+8AdT8M3WFHajqqioMLdZP7lORA6kYCEiIiKNit2g2IrAkZiqc8MNN2DMmDF49NFHTUBgUGBR9T//+U//NnfccQe2b9+Ol156yd/96dJLLzUjSrF+w6qbYMhp166ducyhZrkNR3068sgjzUhSRUVFZpSoQP/+979N64Q1bwXrKthNijUin376qRlVKjk5uUHfH5HWQsFCREQkjPEMemNPXMfnaOxgwQP7mowcOdIEhT/96U+48847zbCx77//PgYNGuTfZufOnf66DWLocLvdmDx5slksfD5rTo0LLrgAe/fuxV133WWCx5AhQ/DZZ58dUNDN7lEPPPCAmU/DwiByyy23mKDD1hgWdotIcA5fY3+btFD5+fnmTAcnxuF41k2Nza08m8J9aKrxxUVEpOmVlpaaOROysrLMrNCBM283Vbcb1iG0b9/eFFc3JI7K1LFjR2zbtu2AA3kRCe/voboeE6vFIsxnXOVPftmLiEjbwgN8Hug3dmuF/fkaOlRQdna2qVdQqBBp/RQsWkC4ULAQEWmbGutgvyn17dvXLCLS+rXsb6tWrin61YqIiIiINAQFizAPFhrSTkRERERaAgWLMA8WHOlCRERERCTcKViEObZYaOAuEREREQl3ChZhTsFCRERERFoCBYsWMjKUiIiIiEg4U7BoATQylIiIiIiEOwWLMKeRoURERMJfjx498Pjjj9d6+2nTpiE5OblR90mkqSlYhDmHw6FgISIiYWvXrl247rrr0LNnT0RHR6Nr166YOHEivvrqK7QlCxYswFVXXdXgxwCByzHHHFPt7Vxef/11c/usWbPMdc7gXlpaesD+WtuLtIpgMXXqVAwfPhyJiYlIT0/HmWeeidWrV1d7n+XLl+Occ84xZwb4nyHY2YFnn30Whx12GJKSkswyYsQIfPrpp2ipNOSsiIiEo02bNmHo0KH4+uuv8cgjj2Dp0qX47LPPcNxxx2Hy5MloLSoqKmrcJi0tDXFxcQ3+3C+88AJ27tzpXz788MNqb+fC4yk7Hme99957Vdb95z//Qbdu3Rp8f6Vta9ZgMXv2bPPFM2/ePMyYMcP8xz3ppJNQVFQU8j7FxcXmrMhDDz2EzMzMoNt06dLF3L5o0SIsXLgQxx9/PM444wwTSloahicGC40MJSIi4ebaa681f6fmz59vTvr17dsXAwcOxM0332z+tlu2bNli/g4nJCSYE37nn38+du/e7b/9nnvuwZAhQ/Df//7XHOxyOz42W+wffvhh8/eeJyAfeOCBKs/P537++edx2mmnmYP6Qw45BN9//z3WrVuHsWPHIj4+HiNHjsT69eur3O+DDz7AEUccgZiYGHNMce+991Y5icfH5UnK008/3TyG9bwfffSROSHK+6WmpuKss84K2RXq73//Ow499FBzf7bi8PUUFhbW+T1mdym+fmtJSUmp9nYu3D+7Sy+91Ly3lpKSEtOqwfUirSZY8KzGpEmTzJfQ4MGDTX9DfvkwEITC/9A8K/Kb3/zGNLkGwybYU089FX369DFfcvxC4JeU/UuupXA6naqzEBFpo8rLy0Muga3Z1W0beMY92DZ1lZ2dbf6O8wQhD54DWfUDHICEoYLb84QiTyRu2LABF1xwQZXtefDP3gV8zNdee82cUZ8wYQK2bdtm7vfXv/4Vf/rTn/DDDz9Uud99992HSy65BEuWLEH//v3x29/+Fn/4wx9wxx13mJOL/Bs6ZcoU//Zz5swx299www1YsWKFCSY8/ggMLQw7DA5shbn88svx8ccfm+s8vli8eLHp6nXkkUdW+/f7ySefNCc1X3zxRdOqc+utt6I5XHzxxeZ18xiL3nnnHROEGK5EGpILYSQvL8/8DEzj9cED8rfeesu0grBLVChlZWVmseTn5yMc8KwJv5Q1MpSISNvz2GOPhbyNZ9rPO+88//Wnn346ZJcdnjHnAbflueeeM2et7W677bY67RtbBXjQzoP56vAAnAfnGzduNPtBL730kjmpyH7+PGFI/DvHs+rstjNgwADTnYrdoz/55BNzkN6vXz8TLmbOnImjjjrK//iXXXaZaQGxXgP/1v/5z3/G+PHjzToGCG5jYevE7bff7j9bz/eR4YQH/Xfffbd/O75f9vvxhCYX3t/Ck6Kh3Hjjjf7LPIi///77cfXVV+Mf//gH6uLCCy9ERESE//rLL79cpatT4O3EwGTv5sTWnlNOOcUEqLvuusu8zwxLIq02WPALhf8JR40ahUGDBtX78fglxi8XFiuxtYJ9C/lFVV29h/3LIlxYRVVqsRARkXBS2y66K1euNIHCChXEv8ds0eBtVrDgwTdDhSUjI8McMDNU2Nft2bOnyuOzptJ+O7ELkn0djwV4wpDdsH766Sd8++23VVoo+DeW27C7tVUnMWzYsCrPwxaR3//+96itL7/80hxbrFq1yjw3W5gCn6O24XLcuHH+6x07dqz2durUqdMBj8MgwZD1u9/9znQX40lXtmKItMpgwabUZcuWYe7cuQ3yeDyzwS8BtoK8/fbb5swEm1JDhQs2mbJPqIVfAvYvweamYCEi0vbcdNNNIW+zH3CTvbtPoMCRf3jmvL7Y3ZiPywPnhhAZGVnlOh872LrAFnz7NtbrDLbOuh/rHHgi8eyzzz5gH+y1CYHdu2JjY+tU1M66j2uuucYEGPbE4PHNFVdcYbqd1SVYsGaid+/eB327hS0WHLWK+8Au4x06dKj1Poi0qGDBL8Pp06fjm2++MYXXDSEqKsr/H40jVrC59YknnjB9KYNhvUaomo1wKeAWEZG2hX/LmnvbUHiwzO5GzzzzDK6//voDDsRzc3NNqwQLqrdu3WoW64Qdu+rw9up6EjQW1hWwi1VtDsYDW0bYrcvePSoU1ooyyDz66KP+APjmm2+iOblcLlNbwmL4ljxSpoQ3V3M3o3Lsa3ZT4ljLWVlZjfZc/A9ur6FoqSNDabxpEREJFwwV7MLMIua//OUv5uCbf69YoM1RldjVid102DXpoosuMqMm8XaOkDRmzJgDuhs1BdYYsDWBNQjnnnuuOfBn9yj2mmAdRCisvzjhhBPQq1cvU2vB18H6j2C1KQwtrHd56qmnTOsAu16xrqUxMKBxLhE7dikLVlDPWpI//vGPaq2Q1jkqFLs/sQjp1VdfNf8J+B+Di72gjOma3ZQsbEJkFycuvLx9+3ZzmUVkFm7P1g82RbLWgtcZXPil1hKpgFtERMIRC59//PFHU2h9yy23mBrJE0880ZzZZ7Cw/oZxeFdO0jZ69GgTNHi/N954o1n2ma0s7CXxxRdfmPqOo48+2tQpdO/evdr7cfha1iVwHgkOjcuh7DnMbjAs6uZwsyw253vyyiuvmHqLxsAWFNZd2BcGmlAtVRwmVycppbE4fM04QUKoDzYne+EwtNZ/ZBZ0cSQDYlgI1rLBMx8MD8T+g/xS4yQx7dq1M2dQeEaBX3a1xRoL3pc1Giz2ampsXcnJyTFfAvwV8cwIv5QbovlaRETCBwt6OWIS/7YFzj8gItLc30N1OSZu9q5QNbHCgoUho6b7cezr1oavWS0WIiIiIhKumrUrlNSOhpwVERERkXCnYBGmvD4vCsqqTl6kkaFEREREJFwpWISh79Yvxbgnbsa9n7/kX8dRK9hi0YwlMSIiIiIiISlYhKHUhGSUVpRja95ef5BgdygFCxEREREJVwoWYahbSgYccKCwrAS5JUVVhpxVnYWIiIiIhCMFizAUExmFju1SzOWtuXuqFHBrZCgRERERCUcKFmGqe0qm+bklZ7c/WLAblFosRERERCQcNes8FhJ6crxuFbFwRnTC1uzKFgt7nYWIiIiISLhRi0UYioiIAPYWorMzATv3/hosSEPOioiIhB9O4Pv444/Xevtp06YhOTm5UfdJpKkpWIQhl8uFHof0wUL3bmwp3FelxYLBQiNDiYhIuNi1axeuu+469OzZE9HR0ejatSsmTpyIr776Cm3JggULcNVVVzXoY/LvfuByzDHHVLn9/fffNyEl2Lb2ZdOmTQ26byLBqCtUmBoz6lj8dclHQCFQUlGO2MgoM5eFNTIUw4eIiEhz4sHqqFGjzJn3Rx55BIceeigqKirw+eefY/LkyVi1ahVaA76myMjIardJS0trlOd+4YUXcPLJJ/uvR0VFHbDNBRdcUGWbs88+G4MGDcJf/vKXRt8/ETu1WISp5LgEJMXEmcvbc/dWGXJWI0OJiEg4uPbaa83fpvnz5+Occ85B3759MXDgQNx8882YN2+ef7stW7bgjDPOQEJCApKSknD++edj9+7KwUnonnvuwZAhQ/Df//4X3bp1M9vxsXki7eGHH0ZmZibS09PxwAMPVHl+Pvfzzz+P0047DXFxcTjkkEPw/fffY926dRg7dizi4+MxcuRIrF+/vsr9PvjgAxxxxBGIiYkxLS333ntvla7GfNxnn30Wp59+unkM63k/+ugjDB8+3NwvNTUVZ511VsiuUH//+99N0OL92YrD11NYWFjn95ihja/fWlJSKkeNtIuNja2yDcMH3w/7OtPNWqSRKViEse6JaejsSMC6bZurDDmrAm4RkbahvLzcLPYusPwbwHWBNXf13bausrOz8dlnn5mWCR48B7LqB3gyjKGC28+ePRszZszAhg0bzFl2Ox78f/rpp+YxX3vtNfznP//BhAkTsG3bNnO/v/71r/jTn/6EH374ocr97rvvPlxyySVYsmQJ+vfvj9/+9rf4wx/+gDvuuAMLFy40r3HKlCn+7efMmWO2v+GGG7BixQoTTNiVKDC0MOwwOCxduhSXX345Pv74Y3P91FNPxeLFi01XryOPPDLk+8NeBk8++SSWL1+OF198EV9//TVuvfXWg36/RVoC9acJY708CTjEFY3tm7cAh4/0r1ewEBFpGx577DHzkzUMPANNPLDmwfFhhx2GU045xb/t008/bbrsXH311WjXrp1Z9+OPP5oD2gEDBpi6B8tzzz2HkpISc8B8sF1k2CrAg3YezFeHB+A8ON+4caM5c08vvfSSadlgXQJbAKwAwhaLxMREs7/HHXccVq9ejU8++cQcpPfr18+Ei5kzZ+Koo47yP/5ll11mWkDotttuw4gRI/DnP/8Z48ePN+sYILiNha0Tt99+Oy699FJznS0WDCc86L/77rv92zGg2O/3m9/8xiy8v2Xw4MEhX/eNN95YpTXj/vvvN7+bf/zjH6iLCy+8sEprw8svv4wzzzyzTo8h0lQULMJYuw4p2J2/FagoOaCAW0REpDnVtrVj5cqVJlBYoYIYHNiiwdusYMGDb4YKS0ZGhjmgZqiwr9uzp+poiQxY9tuJXZDs60pLS5Gfn2+6Yf3000/49ttvq7RQ8IQdtykuLvYHuGHDhlV5HraI/P73v0dtffnll5g6daqpM+Fz82934HPUNlyOGzfOf71jx461vq9IU1OwCGM9snrgxbVz0MNTOVle4MhQVtcoERFpnW666Sbz0144zLP1POi1H3CT1d3Hvi3rCHhWPXBbnjkP3Lau+vTpY/4ONVSBduC+8LGDrQusM7RvY/1dDLbOuh/rHNjqwALnQKydsAR272IdQ12K2ln3cc0115gAw7qIuXPn4oorrjBd0OoSLFgf0bt371pvL9KcVGMRxrq1T/cXb3u8ld2fVMAtItJ2sAiXi/1EEs/ic13g6ID13baueLDM7kbPPPMMioqKDrg9NzfX/GRB9datW81iYW0Db2fLRVNj2GIXKx6sBy6BASywZaS2Q+guWrTI/J1+9NFHcfTRR5ui9h07djTgqxAJT2qxCEM8m8IiMRa6RUW4UO5xY8u+XchK72y+9NhiwWZbjfAgIiLNiaGCw82yiJlDm/Lgm3+jWKDNUZXY1YndeNg16aKLLjKjJvF2jpA0ZsyYA7obNYW77rrLtCZw9Klzzz3X/F1l96hly5aZOohQWH9xwgknoFevXqbWgq+D9R+s6wjEkMJ6l6eeesrUtrDrFetaRFo7tViEITbF8swO+2QekpCBU109MPOTGf7+rPypAm4REWluLHxmgTgLrW+55RYzd8KJJ55ozuwzWBBbRTi8a/v27TF69GgTNHi/N954o1n2ma0s06dPxxdffGHqO9iiwDqG7t27V3s/Dl/71ltv4cMPPzRD4x5//PFmmN1g2P2Mw82y2JzvySuvvGLqLURaO4dP0zgHxYN6jqqRl5dnir2aGoem42ymeSkuxO0vR6TTifPPO9/sE8+CMHxYo36IiEjLxYJejpiUlZVVpY+/iEg4fA/V5ZhYLRZhisValOKMxSzPVuT1SPIHCZ79YbhQnYWIiIiIhAsFizAPFq4yL3J8ZdiaVzn7NrG2gl2hGC5ERERERMKBgkWYssapLi8shhMObM3d46+xYIsFLytYiIiIiEi4ULAIU+zDxj5uDBDtHTFILnfh488+xfbt2/3hoqysrNYTFImIiIiINCYFizDF4MBWCy6pcYlId8Ri57bt2LJlS5XuUJqFW0SkddCJIhFp6d8/ChZhjMPycaztdh1SsNlXgIRuGejXr1+VifLUHUpEpGWzZokuLi5u7l0RkTaq+Jfvn8DZ7utKE+S1kBm4529ZhZx4n5np1AoWXMrLyxEXF9fcuygiIgeJLdDJycnYs2ePuc7v9PrMiC0iUpeWCoYKfv/we6i+ky8rWLQAnRM7mALuLTmVf3QsnC2UwUKzcIuItI6RAK1wISLSlBgqrO+h+lCwCHOff/45dm7ZggxHHLZl78HOnTtRVFSE3r17m2DBrlBcFCxERFp+XV16erq6uIpIk2L3p4Y6jlSwCHPW7IcdHDHYWVyE6dOnIzo6Gj179jTBgthqodlaRURaPv5x14kiEWmpVLwdphgaeAYrLS3NXM9wxSPbV4rY+Dh06dLFhAlrO17WaCIiIiIi0pzUYhGmeMaKoSE1NdVcT0a0+Zk5tD+O7zfUvx23sWbhjoqKarb9FREREZG2TS0WYYqtFdZIIS6XCxE+BxIRhW25e6tsx2DB1gqrBUNEREREpDkoWIR5sCCrOxTrLKyRoUpLS/2T41nDzqo7lIiIiIg0FwWLMMaWCnuwSHHGYGvuHnz11Vf43//+h23btvlbLRgy2CVKRERERKQ5KFiEMavFgsXaXbN6YJe3GNty95lRoSg7O9sfLDQLt4iIiIg0JxVvt4CRoTi2ecdOHfH0hplwuz3I6NkVhx9+OOLj4w+YhTs2Nra5d1tERERE2iC1WIR5iwUDA2snnA4nuiRXdonaW1boDxUWa9hZtlyIiIiIiDQ1BYswxrBgjfrEwNAzLtUMO8s6i2DbqjuUiIiIiDQXdYUKY2ytYAF3WVkZli1bhvY7SzEgIsWMDMX6iqVLl5pWjWOOOcbfssFWC6sGQ0RERESkqajFIswxWDAw/DrkbCy2Zu8xI0CtWbMG69at83d/YqsFQ4i6Q4mIiIhIU1OLRZizz2XBVolYuLAnZzdSUlIwePBgdOrUqcq27ArFcKEibhERERFpSgoWLaSAmz/bt29vukDFuh3IKyvCkUceWWVba3SokpISxMTEmMsiIiIiIk1BXaFayJCz7A6VkZFh1qU4YrBp/66g29tbLUREREREmoqCRZhjULBGfEpPTzfrOjhisGjbWnM5JyfHFHFbQYLbElstGEZERERERJqCgkWYs7pBMSRYwaK9IwbzN640l7/88kvMmzcP27dv99+H23N0KA09KyIiIiJNRcGihYwMRe3atcPgw4fgO+9ObM7djZ352ejevTs6d+6MqKgo//bW3BdqtRARERGRpqLi7RbAarFg68WRw4YjbdtC7NqxAT9sXokzjxwV8j7sHuV2uxEZGdnk+ywiIiIibYtaLFrQyFBW68PR3Q8xP3/YtCLkfay6jNLS0ibbTxERERFpuxQsWtBcFgwWXLIi2+MIZzqWbd+AkorKom22TBQVFR1Qm8Fgwcn0RERERERabbCYOnUqhg8fjsTERFOYfOaZZ2L16tXV3mf58uU455xz0KNHD3Pw/PjjjzfI44Yztj5YdRO0bukK9I5IRrIvGj9uXYv169fjpZdewty5cw+4H0MFay1ERERERFptsJg9ezYmT55sRjWaMWOGGcXopJNOqnLmPVBxcTF69uyJhx56CJmZmQ32uOGMAYGtD+zaxDDVpUsXsz7TEWfqLJKTk02AyM3NrVKszW15X7Za8L4iIiIiIo3F4QujYYP27t1rWhgYDEaPHl3j9my1uPHGG83SkI9L+fn5ZhSmvLw8JCUloblxfxiqOPrTxo0bzTCz+b5yzI/KxquX3Im83DwzM3fgbNv89TJY8TXExcU12/6LiIiISMtTl2PisBoVijtMKSkpTf64HEHJPls138RwrLMgDi/LAJGEKJQWF2P9vp3om17ZihGI23Fhd6iYmBj/BHoiIiIiIg0pbI4y2VWHLQ+jRo3CoEGDmvxxWZfBNGYtXbt2RbgWcLPVwposL9MZjx82hx4dypoHg60WGiFKRERERFp9sGBNxLJly/D66683y+PecccdpmXDWrZu3YpwHnL21zqLeMzbtNKsnz9/Pt59990DirWtWgt2peLoUSIiIiIirTJYTJkyBdOnT8fMmTP9B8xN/bjR0dGm35h9CScMBvZgYbWoxDtcWLN3G3JKCkwY2r9/P7Zv3x40mFhD0oZRWY2IiIiItBLNGix4gMuD//feew9ff/01srKywvpxw2FkKCsUpKam4vzzz8eWlMrr8zevwpAhQ3DccccFDVEMJewSxe5Q9loSEREREZGG0KzF2+ym9Oqrr+KDDz4wc07s2rXLrGeNQ2xsrLl8ySWXmGJl1kBQeXk5VqxY4b/Ms/NLlixBQkICevfuXevHbWmsYGB1ZeJ1vp6juvfH6j1bTXeok0+5tNrHsOa1YKsF6zRUyC0iIiIirWK42cChUS0vvPACJk2aZC6PHTvWDCs7bdo0c33Tpk1BWyDGjBmDWbNm1fpxW9pws1RYWGgWhgLLmj3bMOXtJxDtisI7V9yLqIjqs6I1/Gx8fLwJXSIiIiIiLX642dpkGissWBgyarpfa60hsA85a414tfmnlTgzsjc+qdiIn7evx8C0bti2bZsZWjbYyFYMXXwcFnizrsQeUkREREREDlZYzWMhtR8ZyhrpiSkyEk5kmFm4VyE6r9zMOM7uY6GGzOX92GrBLlGRkZEhW3hERERERGpLnexbkMAhZ8kKD5zPYt7mFSZQsLA7IyOjxnoNFnFzCFoRERERkfpSsGhB2NLAhV2gLL/OZxGHXfnZKEAFzjrrLAwdOrRWj6W5LURERESkIShYtCBWfYS9xYItE2x9iHG4kIxo/LB5Za0fj4/FUaJYEN5a61JEREREpGkoWLQwDBH2EMBw0KlTJ3M50xmHWeuWmNu55OTkVPtY9rktNHGeiIiIiNSHgkULHxnK3h2qozMBa/dux+Kta8w8Hm+//XaNNRTWxHsMFpo4T0REREQOloJFKyngZpeo5LQO5vrbP89BXFycaY2oqdXCekwqKCgwo0WJiIiIiNSVhptt4UPOEicrOf3007Ezbz8+ePUnLNiyGhdN+AP6d8kK2sIRjDWrN4evTU5OrvX9RERERERILRYtDLsuBbZYWDq264Bjex5mLk9fu6BO4cCqt2CLBVsuVG8hIiIiInWhYNECgwUDgH3IWUt5eTmOad8TTjgwc90S7CnIrdNj24u5NVKUiIiIiNSFukK1QFFRUabQ2t4dipfff/995OXlYVSHXpizfx3emzsDXbxx6NatGw499NBaPbZVzM2ib4aM2NjYRn41IiIiItIaqMWihQaLwO5QvN6rVy9zuXdEe/Nz+bYN2LFjB7Zs2XJQdRzsEqWRokRERESkNhQsWiC2JATrDtWvXz8TCIpy8tA/uRM2V+QiumsqRo0aVefnsCbiYzE3u1iJiIiIiDRosJg6dar5+dlnn9X1rtJAGB7YahEYLBISEszQs3RMchaK4cbHu5YiLjHhoJ7DCi/sXqVwISIiIiINGiw48Rrdeeeddb2rNHJ3KOrfv7/5WbYvH+lx7ZBdXICv1/x4UM+hcCEiIiIijRYsTj75ZKSnp2Pp0qXmp7WkpaWZn9I0IiMjTaF1YKsFWyzi4+NNbcSpXQ4zv+AvFnyLH3+sf7hQtygRERERabBg8cgjj2DPnj2YOHGi+Wkte/fuNT+laTBUBOsOxfVstWAgyEpIQ2JkLPqVxmPRokVmCNn6hAuPx6NwISIiIiINW2Nx1VVX1fWu0sAYLNgVKrA71IABA/Cb3/wGI48egfGDjsJ2XyFyor1B576oLYULEREREamOaixaYXeomJgYU8hNZx12DBb49mBG4Tqszt1Rr+ezhwvWXHAiPU2iJyIiIiINUmNh1VaoxqLpcUjYULNwW6K9Tpw+YIS5/OQ376G0on4tDYEF3UVFRQoXIiIiIlL/GgurtkI1Fs037GyoA/s5c+bg9ddfx7GpvZGWkIy8/Hz8b95nDfK8VmsJ6zbYNao+3axEREREpA1PkPfuu+/i008/xVNPPWWu7969GytXrmzIfZM6DDsb7MDe6g61fu06nJM+GBMje2LZ8hVYv69+XaICW0xKSkpM64Xb7W6QxxURERGRNhQs/u///s+cDX/mmWcqH8jpxKRJkxpy36QW2HLAA/xgwcKaiZstSd1TM8F2jRhE4PFZb8PTQC0M/L1zHzi8rea6EBEREWm7DjpYfPXVV3jxxRcRGxtrrrPGgsW80rQYHKKjo4MGi7i4OPTo0cNcLi4uxmnnnIFVrnys2rMVHy3/vkH3geGCLRYMF3wu1V2IiIiItC0HHSx4IMmDWR5UUnZ2tjl7LU2Pv4tgs3DbZ+LesGED0hLb48qjTzHX/zvvU+wtzG2wfbCKurkPBQUFpu6Co0eJiIiISNtw0Eng+uuvxwUXXIB9+/bhvvvuw+jRo3Hrrbc27N5JvYadpc6dOyMpKQkVFRX46aefMGHg0Ria0gMp7kg8M+f9Bt0PK1ywaxbrLnJzc00XKbVeiIiIiLR+roO94+9+9zsMGzbMdIniAe2bb75pJmaTpscDeavOgZcDD/aPPPJIfPnll1i/fj26deuGPkUx6BHRETM3rce3G5ZhVM9BDbo/Vt0Fu0YxXLBLVnx8vFq0RERERFqxWgeLBQsW4PbbbzfDyvbu3RtDhgwxC4ed5cGqNP/oUNaEdVb3NAvrLNii1KtXL3Nw37VrV2zO3o287DI8Ped9DOnSG/FRMQ26P1bdBbtDca4LtphwlCqr25aIiIiItC4OXy37qbCvPgPE6aefjo0bN2LJkiVmycnJQfv27bF//360JqwRaNeunSlGZleicMfWAavOpaaWAW5b4fXg6jcfw478/RjffzhuOe68Rjvg50eMz8nHZ7E/WzACW1ZEREREpGUfE9e6xWLr1q34+OOPzVlvu82bN5uAIc3LmlOCLQPVBQt2W+PvLCsrCzcddy5u+/Cf+Hn1CnzY4VucMfiYRtk3+2zdbL1gly12jYqJiVHrhYiIiEgrUetgMWLECGzfvv2AYNG9e3ezSHjMws15JIJ1h7J89tln5vc4cuRIDBk4EL/rMQKl2/ZhyQ8L0CO1IwZ37tVo+8fww9DD1gumXytgsHuUiIiIiLRsta6mvemmm/CXv/zFdLeR8MT5LHjgXl3vNmtei0WLFpmajHFDjjYT5+V7y3H/5//DnoKGG4K2utoLhgw+P7vScXhaDU0rIiIi0kaCBYu0Z86cib59++KKK67Av//9b3NwqpmWwwe7G7HVorqDdNbKpKSkmNYC/v46duyIs84+C0UpUcgtLcI9n01Dmbui0ffVGjmKQYPdoxgw+DPYkLkiIiIi0oqCxbp16/D2229jypQpptXiwQcfxPDhw5GYmIjDDjuscfdSasUqjqZQB+g8oGe3Nlq5cqUpuk/rkIp7T5mEdjHxWLt3O5784o0mmXvC6h5lTbbIlgt+thQwRERERFrxqFDBsJ88J137+eefMXnyZLQmLW1UKAt/nZw7gi1J1dUucF4Lju7FFosJEyaYg/zFW9finU8+QhdHPJIP6Y7fHHtyk+876y/4k/vO0aNU4C0iIiLSMo6J6zVjGR/82GOPbXWhorW0WlSXGY866ijTWrBz504TMIiF231SOsIBB75avgA/bl2DpmTVX1iT6/EDzBaM4uJitWCIiIiIhDlNhdxKi7hZb8GD81DYhW3w4MGm3iItLc3fTerCM85BcecEbPLm4/4vXsa6fdvR1AIDBpMyA0ZhYaGKvEVERERaY1eo1qyldoWy8Cw/X0N1M13zIJ0tAYFdpsrdFfjjh89jxa7NaBcVhwdPuxJ9M7uiufAjau0rW1nYPYqtMrysblIiIiIiraArlIQvHnyz1aK6M/xW4bRl9+7d5iA+yhWJBydcgYFp3TDU0wFvfPQe1u1t+paLwAn2uK/cPxZ3swWDH3CObqVsLCIiItL8FCxaKXZrYrjgWf7aHHizCP/DDz80Q9BSfHQsbjz6DKQ4Y9DeF4V7P/wvNuzbgeZkDxi8zFDBQnVrJCl1kxIRERFpPgoWrZjVXag2B9xWwffixYv9xdw9unbDmLFjsbFdBXaV5ePWD/+Jjft3ornZh6nlT9ZhcKhaDp1rzeitVgwRERGRpqVg0YpZ9Qi1abXgxIeDBg0yl2fNmuWfYb1/n76498wr0TetC/JKi/DH95/Hxv27EC6sifasVgzWllitGCz2rqioUMgQERERaQIKFq0cWyJ48F2b4Vo5BG2nTp1MC8AXX3yB0tJSsz4hOhYPTfw9Dk3pihGeVDzwwX+xvpm7RYVqxeDM41YrDYMFZ/Rm0CgpKVFXKREREZFGpGDRyrEmgcPP1uagmgHkhBNOQEJCgula9PXXX/uHrE2MicPJqYcgyRGNXhUJuPHdZ/DdxuUIR3wdVi0GL3OyQBZ6s6uUQoaIiIhI41CwaCOtFjyjX5tWC3adOumkk8yB+fbt27Ft2zb/bceNGYveffsgNz0ape5y3PPpi3hj8cyw7WrE1xzYVYqtMAoZIiIiIg1P81i00nks7Pgr5uvhQXR181rY7dixA/v27cNhhx12wG1ujwfPzH0f05fPM9dP6j8MN4w5B1ERLrSU94Mhy6o9scIHW3b4k6FK82OIiIiIoE7HxC3jSFDqhQfJ8fHxpksQz87zwLkmrLXgYuGZfh6Ix8XFwRURgetHn42OzgT8tGIZZqxaiB15+3H3yZcgOTYB4c6qx+BihQy+NxxNyupGxVoNLrUNYiIiIiJtnbpCtRE8WGYosM7U1wUPuj/77DMzzwVTqxU0itbtQA9nEvpHpmLZzo247u0nw2rEqLoOXWvVZHAkKavw2xrClq09rDdRA5+IiIhIcAoWbQiDBbv71PUAmWfyGSRY0P3RRx+ZA27WbRx77LHo06cPbj77UnRK6oBdBTm4/p0nMX359y3yANxek2GNLsUQxlDB5j8OYcvXztDB96SuAU1ERESkNWvWYDF16lQMHz4ciYmJSE9Px5lnnonVq1dXe5/ly5fjnHPOQY8ePcyB4OOPP37ANt988w0mTpxouvJwm/fff78RX0XLwfeCIz7VdvhZC38/fD+Tk5PNPBEMF7t27ULv3r0xduxY9OiQiafOvQ5DO/dBvCcCT8x+F3d9Og05xYVoyeyjS1ldogJbM/iT7wlbdRQ0REREpC1r1mAxe/ZsTJ48GfPmzcOMGTPMQRtHJCoqKgp5Hx7E9ezZEw899BAyMzODbsP7Dx48GM8880wj7n3LxANktlyw1qIurQqs0WC4SEtLM2frp0+fjiVLlvgfIykmHmd3GoITXN0wJCIN8zatwFVvPIofNq9Ea2DvMmXVXhADBbtKWUGDI00paIiIiEhbFFajQu3du9e0XDBwjB49usbt2Wpx4403mqW6A8L33nvPtIa01VGhAvGAlwfC7BJlHSDXFsPf3LlzsW7dOnOdo0ZxYj367rvvTIvSoUcNxX9WfI1N2bvN+omDRuCqEachJjIKrZVVBG4NXWt1q7K3ePAy16kYXERERFqKFjsqFHeYUlJSmntXWjUe3LJLFM+u80CYZ+JriwfI7P7EbmaLFi3CgAED/LeNHDkShxxyiOkydcSAQ/HveZ9gztKF+H75YizZth43H3cuBnXMQmtkH2mKAkeb4u1c7EGD22poWxEREWktwiZY8CCMLQ+jRo3CoEGDmvz5efDHxWKNftRasTsPC7DZbaeuZ9G5bb9+/dCrV68qQ9du3boVnTt3NrdHuSLxhxGnIWFzAcqKSzAvbydueu8fGN9/OK4ccWqLGJa2MYIGW3wYNuzbBAYNtWqIiIhISxQ2o0Kx1mLZsmV4/fXXm62QnM081tK1a1e0hbkteCDLLlEHwx4qtmzZYoakZWE3aw2IrSFZ3bqbADOgdz+z7vNVC3D5qw/jo2Xfw9OGahCC1WjYR51ikGULEt87jj7F61atRl3rYURERETabIvFlClTTDEwR3Pq0qVLs+zDHXfcgZtvvtl/nQd2rT1c8MCW4YKvta5dogLx/jxY3rNnj6lpGThwIIYOHWqGpGUNBg+mTx50FJ785l3E5JThlTmf4LOV83H9mLPRL711v8/BWF2j2DoRrE7DGhLY2s4KJQxzatkQERGRcNSswYIHTtddd505EJ01axayspqv/z3nd+DS1sTExJiDWI6kFXigWxf83XHEKI7wtXHjRtP6tH79ehx99NGmyxQN7NgDdx17AT75+BMMhA8f7+Wkek/h5EOG4+LhJyItIRltmRUg7IKFDeLvyZpzwwob1nKwv0MRERGRFhss2P3p1VdfxQcffGDmSuDcCMSuSOw+Q5dcconpt8+uSsSuIStWrPBf3r59uxn2lMXInFeBOM+ANWoR8UCX27AovFu3bs3wSsO/SxQPXDkJnjVfw8Hg72DcuHHYtm0bvv32W9MSMnPmTFN7cdxxx5ltOqR0MPUZ5V43RrgT8dWaH/Hpyvn4cs2POH3QSFx4xPFoFxvfwK+ydYYNqxuVfVtrJKrAsMFFrRsiIiLSaoebDXWg88ILL2DSpEnmspmArUcPTJs2zVzftGlT0JaNMWPGmFYP4k/rQNbu0ksv9T9OWx5uNhgGC/bx51nxhhipiI/3008/mUDH3yHnHrGzuvks27kRL373KdrtK8cy734Uu3w4d/BonDNkNOKjYur5qtoOvp/WwsBh/29ttW7Yu1FZLRsKHCIiItJQx8RhNY9FOGlrwYI4YhHDBQ9M6zq/RSjsYsUJ+ayDV7Y28T3lBIZcb5//otjpwfSy9WZdUkwcfnP4cTht0AjERra9LmoNJTBsWP/d7TUe9rBh706lLlUiIiKSr2BRf20xWJA1QpF1wNmQ2BrCUb/4HHxsFngzYPAjOH/+fPTp2wdri/bixfmfY2vuXjCKJETH4vRDR+GMQaPQPq51D1EbToHDPlxuYAuHisZFRETajnwFi/prq8GCHwe2MrBOxRp5qCEfmzUxCxcuNLOsE1tGOMkeQwZrPcjj9eD9OV9iy9oNWFyxGzt9RYiKcJk5MM4dMhqd2qU22D5J6C5VVvAgK0jYWznso1MpdIiIiLROChYNoK0GC+JHgq+7vsXc1T0+573gzN3WnBd8Dk6OyJm7efvbb79tumVl9O2BL/auxOo9W812TocDx/Y8DGcPPhaHZHTTQWwTsb4mAms4gnWtstdz2AOHFTr0OxMREWk5FCwaQFsOFlbxNV87R95qjHBhDxg///yzGRHs7LPPRocOHfzvP4erZWsG58D4eccGfPj9TMRll2GtNwfrvXnoldoJEweOwPF9D1cdRpiFjsCvFStU2Fs77IFDwUNERCQ8KVg0gLYeLJoqXFjYOpGc/Os8FrNnzzZhg0PT9u3b1xR6f/311yZslCZF4rPc1Sj3VM4YHh8ZjRP6DcXEQSPQIyWz0fZRGqZ7lf0rxz4JoIKHiIhI+FGwaAAKFk0fLuzP+dprr/nnaOBzcv4RTrTHkasyMzPhjInCF6sW4Mul8zGwJAGbvPn42bsPgzpm4aR+wzC616GIj66cC0VaRmtHYPCwd7OyftrrOYIFD4UPERGRhqVg0QAULJo3XDBAbNiwAatXr8bu3bv969lywZGkBg0aZK4vXLQQi39cjNIYB6YXroXXV1lsHB3hwoisQTix31AM7doHEc6GHeFKmrfFI/Bry97qYY1oFVjfYQ8eKjIXERGpHQWLBqBg0fzhwpKTk2MCxtq1a01B+fDhwzFkyBBzG/eHM6uzG1VEfIyZwfurVQtxWGECdvuKscizG0lxCTi+z+E4rs/h6JPWWQeUbaTVI1j4sH6GCh/2VhEFEBEREShYNAQFi/AKF9bzs9g7IyPDP7neunXrMHPmTLOud+/eZlb2HTt2mHoMX1QEvnBvQV5Zkdk2Ag6kJ7XHsb0Ow5hegxUy2nj4sF8nfhasmo9gAaS6lg91wRIRkdZKwaIBKFjUHC4aep6Lg7FgwQIsWbLEf50Hdunp6UhLS0NKSgp69e6NBVtX48tVCxG/pQBFvgrM9+xCKTzITErB6F6HYXTPw9AnvTOcDs003dbVJoAEhpDA4nN7HUiw8KEQIiIiLYmCRQNQsKg+XBQUFJhuSeEQLjiZH+sxOGLUvn37qtz2u9/9DrGxsWa+jHfffRcOpxM7O0di/pZVKHVXIM0RCxeccMe4cGRWfxzdYwAO79IH0a7IZns90jKECh7BumGRPUwEhpCaWkGs/2MKIyIi0tQULBqAgkX1OF8BD+g5chMPdhgwwgEDz+bNm7Fp0yazj6effrr/ts8//9y0tHBujA4Z6fh590b8/N0CRJd6scSzB2u8uWY7hoojOvfG0VkDcWS3/khNaNeMr0hag9oEkFAF6fbL1Y2CZb+sMCIiIg1FwaIBKFjUjB+d4uJiFBUVmcsMF+F0EMNgYR1cMVC89NJLVfrTs8sUrxcUFqL7kP5Ysn8L5m1aAUdROY6O6IjN3nws8e41c2MM69oXw7v1M8PZRqk1Q5o5iIT62q4pjIRqEQkMJ9b9RURE8hUs6k/Bonb48SkrKzMtBTyQD7dwYeG+7dy5E1u3bjULJ+Sz69mzJ0444QTzer6Y/TW2rN2A7Cg3viraCB8q/4sc4kxBkdONjI4dMaxbPwzp3As9OmSqNkOaXWDgqGsYCfwZbHSsYEEkWECxP5aIiLR8ChYNQMGi7vNO8D3jz3Cou6gJu3Ft27bNhAyOIjV06FD/3BgMHR988IEpAM/olIl93lIs27sZSVsKzcHZB+71KEflfBkp0fEY2LknDu/SG4M790bX5DQdVEmrCCTWT/tIWfbbgoUR63J1gSRUQFEwEREJTwoWDUDBon5F3fZRccIdWzOs1hZatWoV5syZU2Ub3hYdHQ04HXB274Cf92/B0h0bMdiXgkxHPH707MZWXyFS4pJwaMcsHNopy/xUi4a05VBi/xlMbYJJbQOJwomISONQsGgAChb1r7vgwXpzzHfREAFp7969piVj165d2LNnj2mJsZx44ono0aMHKtxuvPraaygvLcXORA/m5W1GhceNDo4YDI3IwE5vITZGFmNgZg9TmzGoYw/0SeuiEaekzQtWtF7Tz2DfI4Hzjlg/Q7WQVBdOgt1XIUVEBAoWDUHBon54IM7WCxZNs+WCS0vFgJSdnW1CBpdjjjkGMTExB8yjwRaNmKQEFJQWwVtQij0owayKrf7HGR6RATd8qGgfg94du+GQzG4YkNEdGYntdeAi0ojhJPCy9f8tVLeuwJ/VjbxVXSgJdpv9sUVEWgIFiwagYNEwB+RsuWALBoVrYXd9rFy5EmvWrDHzZLClI9Dw44/FhsK9WLp9PTpuL4cDDnxUsQElcJvbMxxx6BrVDnEpycjq2hX90iuXpJj4Zng1Im1PdQGlpnX8Pgs1Z0ng5epaVChUEXxt1wU+r4hIQ1GwaAAKFg2DHy+2WrBYmq0YbLmwn+VrLRgq2KrBblOcpI8LX/PFF19sXq/b7cbHH39sbndEOOGJisB+bwl8peVId8RhjSfHDG1rGRbbGe07tEePLt3QJ70reqd2QmJMXLO+RhGpWW1DSajbaxNYQgWX6hb7vCbBgkptbhORtim/DsfE4TGrmbRa/GPELkJsrWDLBSfUaykjR9UFAxNHkeJiDxvWa+TrjYuLM9e9Hi+cJV6k8b+fo/K/YN+I9kjp2Rmr925Dfl4eerrj4d5Vin9v++SXwW6BgXEZ6JSQgo4dO6Fvl+7o1aETOsQn6Q++SBgJdtBfXzUFE6uFuLrbA/cxWJipbv8Dw4m9pcX+s6bQEvhcanURaV3UYhGCWiwaB1sv2D2KP1tr96jqMGxwOFt2nbIWtnQwdJx77rlmm227duCLTz+Hx82KDKDY4Ua2pwTtHdFIcERhmXsfVviyzbZp0QkYHNMRye2TkdWzJ3qmdkS39hmIitA5AxFpmNBSm8vBQkvgZfs2oS7bw0ZNNSz29fbHqkuwEZGaqcVCwlZUVJQZKYpD0jJgtObuUcHwtXbo0MEsFv7htY861SWzE9LT0szIVOxCFe9zId6Z6L/9kOhUFMVGYmvuXrjKfUjxOlBctA8vbl6GAl8FyhxeHBnXGSkxCUjplImenboiq0MmOiZ1QEQraiUSkfBsYQlU22BitbqE2i5US0uo1pfAy/UJMvb71iXMVPdTpDVSi0UIarFomrP3Vvcoax6J1tQ9qr74X5N1Gjk5OaaVgwsv8/N43HHHodxdgaUb1uDHb77nxv77uX1eUyQe4XBgnScXP3r3mPXpEfEYHJUOV1IcMrp3MS0b3VMy0CmpA1wteNQuEREKPJypLsTUZVsrvFQXbGoKMIG3VVfbEqpbWX2DTFOESGmdVLzdABQsmgY/fjwrz4DBVgxeV8CoPQay+fPnm88pF35u7f+lK2KcWBZTiC05u9HNG4/DI9JR4fNin68ERb4KFKICvZ3t4HRGoDA1GpmZmWb28I4J7ZGZ0B6p7TQUrohIKHUJLAdzPTDU1FTYX5vrdSncr2toOZhwo78x4U/BogEoWDQtqzsQA0ZZWZlZZ3WRkroFDc4fws8vP7us3ejZsyc8Xi9WbVyL777+JuR9d3uLMduzzVxm2DgiIgP5KEdeohPt2yUjs0MqktwudOyQjn7deyI+JrYJX5mIiASqa1A52PuECjg1dUWr7XV7iAm2rqZ6GfvlxritrctXsKg/BYvmHZ6WAcMq8FbAaNiuVVbw4M/cPBaSZ5t6l8iUBOS2d5najch9xcjyJgR9DH7RrvXkYFtsOTq1S0XXyCQklTiQmpGGfn36omuHDMRGRjfLaxQRkaZ3MAGmPvezq6k1x9qmpnWhtgkVeuz3CRV86tJaU1Ow4XFQcx0LqXhbWixreFoWebPlgvUXDBjsLtWWirwbA9+3xMREs3Tq1Cloa4f1pcVuaT8sWIB9uZWho6ykBHB7f+0DDAf2FuWZxelMQ1xEe+zKKcSuVRtR5vOg3OFFAlzwORxwdUxG55490DEpBXHeCKDMjY6ZmUhIODC4iIhIy1ObA/fmcLBhxlpX13DkqGGAgbq09ASu58A37du3R7hTi0UIarEIvy5SDBg8+FXAaB4MdwwZbOlwO30odnqxI28ftm7YhPId2fB5fYjAgb+T9Z5cLPqlgPxwZxr6RLSHDz64nYAj0oWo6Cg4yjyIiYnGwMMOxaC+/RHhjDDBkr9nfpmKiIi0Rr5aBB0OdsP6U/uIkk1JXaEagIJFeBZ5swWDZ9Ots+sMGQoY4YPhb1/Ofmzduws7du8yk/3lR/uw3VuInfnZyCh0oo8zOeT9OYrVEt8+pCW0w6HogJRSpg/AFRuD2NhYxMfFwVvhRlJCIvr27YvOnTtXObOkLnMiItLauH/ptdESgoW6QkmLwPDAM9dcWJDMcMGF/9nIChgKGc2LXdg6ZXQ0CwYdeLvX58Xeglxs27cbO/fvxf68bOTl5cNTWAJfhQfZjjITGncX5KBPRCzgTABnCXQXl6KAy/4c8zh7sBvfblqB4rRopCUko12eF549eXBGRCAuPg7xcfGIi401nwd2uWIA6dKli7kvAwhbQ9jlTp8XERGRhqMWixDUYhH+eADKM+QMGFY3KbVitGwMHtnFBdhTkIOdednYtXcPcgrzkFdcaLpheUvLkeaNhcvhwFLPPmz2FZj7HRvRGR2d8SEftzTRhbgemUiNT4IzpwS7Vm8062NiYvwLQxFbRRhCevXqVbk/Xi/27dtnbrdqf/TZEhGRpuRuQS0WChYhKFi0vG5SDBg8E82+iPYRFHQg2LqUVJRhT0Eu9hbmYh8LyAtzsX/ffhTnFyK7vBA5pcWA24N2jij0cbKeA1jo2Y2t/hDSCR3ZEhKCIyUe6f16oENcEkp352DDslW/3uZwmHDBkMGwkZWVhcMOO8wfQlatWuW/zQoivMyWNn0ORUSktQcLdYWSVtVNKj4+vkorBgu/ebu6SrUeHMqWM4ZzqS587CvMw76ifOwtyEH74gITQvYX5aMipxDbSoqwuTwPhb5yRDtcSEE0Do1IhRtefL9nDXbtXmwe55iITujkTIDH50WEw+nvRsWFX7TZ3lLsjCpD+9hEFO7Yi/W2EBKof//+OPbYY81lht/Zs2f7Q4oVQqyf7L7FL3EREZGWRC0WIajFonUkfCtkMGBYQ7wpZIjV7SqvpMgEjuyiyuCRXZCLnLJiZBfnmxASUVCOyHIvtngLkOsrQzQi0AExONrVERXw4Bv3duSgrEoIKfCWA04Hoh0RcPkcZmheikhNREbfHmgXG4+iHfuwZeW6kPvGrljHH3+8P4T873//qxI87Et6ejr69Onjv++2bdtMyLZvw9FE9HkXEWmZ3GqxEGl+PJjiwn7z/E9pnWnmZYUMcTqcaB+XaBakhd6On5WCshLkFBeY+g/+ZAjJK8zHsPL2/nV7iyqQV5GNbd4C5Hgqw0YyonG8qyvK4cGMnUtQtnORWT8qohM6OxOw01uEIlQg3hWNeEckkjwu03VrTc4O7Fg4A+1iElCxK9cEYy6sMwnEz7QVLBhCPv3005Ctej169MCYMWP862fOnGn+jzB82MMIL7PVJC3t1zeGIZ3bauQtEREJRcFC2lxXKR6g8SBJIUNqg5+HpJg4s1TX/Yo8Xi/yS4uRW1LoXxg6cooKcFxZGvJ+WZdXWI7C8lxs9uQhly0ensoQMtbVBRXw4uPda4DdlY85MqIjujgTsdKzH7t9JYiCEymuOPRHMrzwYV72Riz86nWzf9F7irnD5rPMhhKv2+Mfipefeav+iDg3zLp1oVtNunXrhvHjx/uvv/zyy/6x1K0QYv2/ysjIwPDhw/3b/vTTT/56FGt7a2HNCSdpFBGR1kfBQtoU62CHC0OG1ZJhze7NAlzSJHxyMCKcbAVJMEttlLsrkFtShLxSBo6iyuBRXIjflGWZy3mlRXDnFWNjWTH2u93YW1ZiJhfMqyhDuisGXp8PM/ds5fi7VULI/LLtWO/NM+uSEIWTI3vA7fPi5S3z8MYbS5AYHYeuxZGI4wbxUYhKiIMLTjg9PpTmF8IBByLjYkytSowryoyMZYUS/j+x5pSxMDzYLVq0qEqIscvMzMTEiRP9119//XXzeFYAsQcRzjI7bNgw/7Ysjuf/Uft29qCjwCIi0rwULKTNsrdk8IwuD4TYmsGgwZ9Wa4Z9dCkFDWlIUa5IpCcmm6U22CJSWFZiAgeDB1tHhpQWm+sFXAoKsbu4GAnu9ujmjja3V5SWmdnP2cVqa3EOUFz5WDERmejuTMKi/K1Yn1sZQhIQiVMjs1Dh82Lq0unA0ukmLB3l6oguiMeemAqUJUYhwRWNOEckoncVwhHhREmSC3M3LEVCdCyK9+UhISkRMdExiI6KqtJCyP9jbLGwY0Cx5qMJZA8vtHDhwgPWWVJSUnDOOef4r7/zzjum65jVJdIKIVzYR3jUqFH+bVesWGH20b6NtTCw2LuE8fVoxDkRkeAULER+CRn2mgwrZFhBg9etLlM6qJDmwoN8Fn9zQfv0Wt2Hn9vi8lLkl5Ug3wSQYlMzwsv5JYUYXJqOrPJiE1gKS4qxrqgYFe5yuLwRcHs9JszkuUuR4HRhY2E2NhcUVAkh5Z4K/GPJ5/7nGxaRgZ7Odpjr2YdV3hzER8egXWQsRpWlmtvnVmzD4q9eR3xULGJzyuBzAomd05CSmYYopwsunxN7t+6AK8JVpSi9oKDAdLni/0W2Wljhnwsv8/+tnVVTxSUQW0Lsli1bZooSg2GtyYUXXui//vHHH2Pv3r1VvjPYwsmfnLxzwoQJ/m0XL15s9jtwOyvocPZ4S3Z2tnlt9m2sy/q+EZGWQsFCJAj+QefCs6s8sLAOXqwhbO21GQoaEs74uYyPjjVLx6SUWt+Pn+9SdzkKSktQWFYZRkaWlZifvJ5fUozigkKUlpXiSEf/ymBSXoKiEi82uPOQ7Ss13ba43lNWgSJXOzM+1neb1hwQQr7bshIrN31r1rGG5MzI3ubyU5tnwTXThfioGAxEe3R2xyI7zoeSlGjEJ8YgPjIakevy4HRFwNslGbPWLjFBpiynEMnpqeiV0heZGZmIgANej8d06aLAUU169uyJwsJCf1DhYp1cYFiws1pX+P5YJx8s9su0ZcsW7NnzSz+1AGwJsQeLefPmYfv27SF/h1dccYX/O+bbb7/Frl27/MHD+r6yLnNYY6vIfvPmzSY02bezb8uuada2HEGPoc26Td9rIlJXChYidegyxYMM62ypFTSsAxEFDWlN+PnlnCFcattVK7B+pKi89JfA8cvPshL0Kj8chWWl5rbiQtaWlKK9Jw0DvUlmXVlpKdZV5MHnYWk6UOFxVxbCO6PR3hmJrQU5WJ2XUyWEsEj9ybnvme3pMGcq+kek4Ot1i/GTtzJMxLqiMNHRw1xemlSM6OUxiIuKRkqRA7H5FXC0j0d8t3TERSUiLjIaOWu3IjI+Gl0G9cXm7N3mfSgvKsagQYPMsIsMJwwf/L/PUML//4G1JgMGDDBF8IGBxRo60o4nMVj3Zd1utZKSNbCExcyhkp0d8r0fPXq0//LatWuxcWPlTPPBTJo0yR8sfvjhB6xZ82vws57bWtjVzGoZ+vnnn01wst9uX4444gh/t7edO3ea/Q21LbuaWe+dNaiG/XaNRCbScihYiNQR/8hZk5rxDz+Dhr1FQ0FDpLJ+hIsZzvcgsAsWu3AV2RZeH2RdZzgpK0FJYRHKSstwlGuAf3t3iQebKgqx/5c5RqjC7UaBqxyRcGLV/m0HhJDVu7fgp50/mnX8n3peZGVrwn82zkU5Kgd1OMSZYiZS3OwrwOrIAhNAYqOicXhevJmvZG+nKESvjjXrogvdwP4ixKYkITWrC2IjExAbGYV9G7chMiYSWX37msDEwMI6mEMOOcQUqlutKVbdl7XYHXXUUTj00EOrhBDrJ7+P7N81bJHgwbm1jTVIRbCAYw1eUeX3YHt++7a5ubkmMIRizUhPmzZtMt3NQjnvvPOQnFwZXpcuXWq6kNlZo/ZxYVczayz/1atXm/qYwBBiXR4yZIj/cXfv3m3meAm2LX927NjR3zrFEdNYn2O/3f5Twy6LhKZgIVIP9j94wYKGvR+4dfZRxeAitasnSYyJM0t9lHvcJnBULmUorijDcP/lUhQWFaGkuBhZ3g7IRJa5vaSsFDsK3PC43UiJbocirqsoQ7GvAru9Rcj2lfiHE6bDXX1MGvlu80qUcexgWwhZWrgOCzdWdvOis1y9Eelw4umfP0chKrtO9XEm4/CIdOx2lGJdbPEvLUVR6JMTCacXKOwcj+iEODNCV2RJBXz7ChGTlIj0nl0RwyF8o6KQs22/CTc9snogp7gQMZFR8JZXmIPwLl26+A+wyQofgd8/xx13HMaOHVsleNjDjb1FhkGoc+fOVW63L/w+tBfWZ2VlhdzW/rjWCRn73L28bH2P2veZLUVW97ZguI8WBosff6wMjsGceuqp/mDB7mNz584Nue2JJ55o5oSh9evXm65p9vBhv8ywyPff2gcGrMBtrJ98TGugAL62rVu3hnxctpqxmy5ZrWahttXfGmlKChYijRg0yAoa9rBh7+agVg2RxhMV4UJUbAKSY2s3BHBNIaXkl3BSUl6Kkopyc9mElrJSXOHujxJ3udmmtLgEhcUlSEQUhjuSK7ctL8XuYs5b4gVcEYC7MlhwFK58XzlyPcXYnvfrgXIvVy+4HBGYu3GZP4T0crbD0IgMbMjZjf9smOPf9jRXlhmp69nFn/lng+/uSDQjeu1DKZbHFJhgwsDRPz8GUR4HstOjEJEYU7m+3AfHnkJExscipVdnRP+ybcH2PYDHh87duqLQ4Ua0KxI+txdFhQVITExARvqvc7uwxdY6oLV/l/Xr188stcH5ULhY4ca+cJ19SOHevXsjNTX1gG2t6/ZtGW769+/vv81+H162j1bG/edBe+B29q5pFmvI8pCfmfJy/2Ue/G/YsCHktgwLVrBg17Hqws3IkSMxcOBAc5mDCUyfPj3ktkceeSQGDx5sLu/fv99MohkYQqyF75H1u+L+svYncBvrvmzlYVc/63WyBSlYqLGCEH8HxPeUgTDY43KxRmMj+99JaRkULEQaGb8orbkz7Gff7CNPBXafshaFDZFwCymuylG5GoDX50WZu6KyNYRhxF2O0opyc50/CwqLUFxWgvOdvVDmrUAp1xeVoKCoFNG+dhgSEe+/z/6SCuR6KuBzOYFfRu/1wGcCS76vDLsLKutSqLcrC9GOSPy4Yy1yfJUHxd0ciTja1RFbC7Lx8vb5/m3Hu7qjnSMar66agz2+yqF+OzricayrM/Z7SzAHO00AYRAZVpGCRK8LWxIrUB7nMuvj3U4k7a+AI8YFZ/dUxLgizbbu3blAmQftu2SgXUp7sx5uL/J370dsXKxp4Yj6ZdvS4iJ4PZWhwjqo53clr/OAtTbYamC1HNSERfX2wnqL1T3N3g2K+2kfrSzwJ4OPhZdHjBhh1tu3sS7bRytjLQtbMAK3sS7bgxD3i39frNsCu7TZ9zdwDppAXbt29V9mYKquPod/m6xgwcdkCAmF9UbWEM8cJOCDDz4IuS3f+zFjxpjL/Pv44osvVjkBZ1/4HjFkWe8DHzdUYGFoswIWff/99/73xx6CuPCz1atXL/+2nEw0cPh56zJPItqHpM7Jyamyrf0+/PxaxwKtlYKFSDMWg/OPgzUzsr3A02rVsEaZUdgQaX2cDqe/QD6lfj2+ggQWtwkcVvC45JefvF5cUoySsjJciH4o8/6yXUkJSgtKEYMYHBPZ3qxj6Cks9KHEXYboqDgkeRxmncfjNaN+5aPctOJw4QQpHlc7wOHCxpzd2J1dXCWEZJfl48vFv9ZZHBfRFWnOWHyxYxm2+yq7lKU6YnG8q6sJQ3+a+5p/22MjOqOjMx4/OfZjr6vChJBkRzQGFSegwunDtgwnoiIYQiKRmOtGZKkHzvRERLdPMuEk0utA6Y79iIyKRMc+PSrrfyIiUZKTB3dZBdLSUtEhpYNZzxHECnPzzcGfVctBVjeswNYY+0mjmjAE1TYI8UCVXa5qo1OnTrj00kv9160uudZib2Fhq8HZZ59d5XZ7aLF3mWPXMB60B25rLazfsfDvGQ/EQ20bOBIbW4QC99PaB3sQskKSvebIzt5axG3ZehNKYOBavnx5lS53duzmZw8W7O5mb32yY7A8/fTT/dc/+eQTU6dTm/l23nzzTTMkdbDgxPfstNNOQ0ujYCHSzKzAENiqYXWhsvo6W2HDXq9hDxrqRysivwaWKLM0Bntw4TwmVmAxXcDKS9GPYcPrqWyNKS1FaUER0nxunBPVw38f5JUip9yNTpEZSESKWe8q92J3RQmKvW5ERrjMiGBUDg+KfBUocJciu7zIrCtzxGCQKwGlngos2LLJv2/HRHRCJ2cCFmxYiY2+fLOuHaIwPrIHSnxu/MvWfezoiEx0cybhdc8erPXmmnXxiMSEyCwzU/2njq2IZreciEgc4mmHDHc0dsVWIC/BiSiXCzFwIX2vm1/EKM9KNq0rDCfOnBL4CksRm5qMpHQGFhciHRHI3brLzM/StXeWqYvh45YWFqOCI6Mlt0dqhw6IZHCBwxxsMhDw4L6u3+v2LrmBGADsgak6bDWxulvVhPt5/PHH13pb+9wwgewH+2wNuOiiiw4IKtbfSHuo4+s+6aSTQm5r1aRYDj/88JBBKHCuG4Y3/g22P16o0BQdHe0PSda21gnEwKJ/azvrcuDvqiVy+ELFtTaOw/nxzALH/w780Ig0B+sLyjprYw8b1hdXsNYNa52ISEvCkcHYGlLmLjfDF5fZFnYLY11LObuSOn3+20vyi1BeVoaKSAfKHV6UeSrgLi1HZH45Knwe7Inh41WY7dNKXUj0RGATCrDNU2CCDIPFGFdn043sc/dm/74c9ctM9Us8e7DmlxASBxdOi+wJj8+Ld9zr/NsOdaajV0Qylnn2YYU3+4D5Wd6qWOMflWywMxX9IlKwypONn38ZGjnKGYEzIyrPls+N2gtnJMONC13KY5BW5kJ+LFDQnq0uLhPAkneUwOF0wNEtBZHR0Wa9o6AU7txixCYnIrlTun/bnC07zcAInbp3Q1xcLCKdLjMqGeekaZeYiPS0DEQxlDgjzPGPmQcnPr5KFzTS35S68wUJF+xCZtXvBAYhbmfVpVgjuNU2FDbnMbFaLERaiFBnoQLDhtWFymrxCBU49IdBRMIZD4BjnY3X8hKsJabcXdm1i8HjQg8DSOVlBhl2HzvE54bb5zOBpay8zAQZDmX8u7geZjve113AiSLLkRnZCUkRGZXrKtzYW1gBn9eHjrEdfulCVoEKN0y3suJfivPNfnCuJKfXdMvaVZSLyhldgHhnKjIjUrC3IAdL8n7t8nP+L0Mjf7Ds+wNGJVuxZzMWrfx1ksazXb3hcjjx7NIZKP6lGMcalWyzNx8/eHaZdU6HAxMjeiLaEYHvXXtR4YIJJh09seheGo2CKB/2tHeYdWxlSd3rRoQXKMtMgCsupjKclFQOueyKj0Vi13SzHbcv3L7PDGCQ1qUTEhLjzTpPaTny9+eaENOpc6dfHteFvJwcOHxAWodUf10J/66xToNF3vZak3DnCNKrwJoXpjVp1mAxdepUvPvuu1i1apV5c9mX769//Wu1o0ewT9xdd92FRYsWmSHhHnvsMdx4440HbPfMM8/gkUceMbOTsljnqaeeMiMjiLQ2Vn9Me7NpYOtG4Bj2oQKHulSJSFvuQsaCcy5NzfNL17EKj8cEDivcjOWoUwwgnsqDaXYt6wYvjotwmHVl7IaWUwi3pwJnxGWi3Mf7u+EpLEVBSQWSIlIwNDLZbMv1OYUewOtGSkw7xPzyuF4PsN9XgkKfLdxwZnl44fQ5kFNS6B+VLNrZDj0iMpBXWogft/06j8lEV09EOVyYu34pcn8ZlayHIwlHujKxLWcv5myuLJSmU1w9kOiIwtvr52Gfr9Ss6+JIwEhXJ+z1FmOmZ5t/2xNd3dDeEYNv3Nuwz1FWGW6ccRjmTUOBowLL4grhclYGlt6FMYhzO7C3vQMV8ZFmfYzbgcR9ZZxYB96uyZWBxRkB7CmAr6wCcZkdEJecCJfTBUeFB4U79yEyKgqZvbr5t83flwN3aRk6pKeZLlJc5/N6kbN3P6KjotG1cxcTgvl3s7Cw0Px95TGtNTKkvei/Lcx/0qzBYvbs2Zg8ebIZWo6/iDvvvNP0j+OEN0ytwbAgpmfPnmZCnZtuuinoNm+88QZuvvlmPPfcc2Yioccffxzjx483Q6Glp6c38qsSCd/WjZoCh71LlfU4quEQEWlc7HoUF3VgTURTh5tytnh73P4gwp8nmQBSud600pSVoZfXgzG/hBsuxbkFpuVmYkw3uOE169wlZSgtLEOCMwZjolNR4a3ctjTfgzKPGxmRaUhABdxeD2IrgL0Vpch3uhHjiESF12O6wrG2JgJOE3K4HZcShwueCK8ZeGBr7q8tN10juiLBGYs1e7dj557KWpx0RyzGuroit6wAX/z86+ABYyK6IMMZh8/3rsNWX4FZl+KIwThXNxT6yvH0qi/9246K6ITOrNtx7/LX7SQhCidH9kCpz40P3ZVDCDNwHBmRic6Ix2pnHnZGlpmamgS4MKQ4ybQ8rUp1/xKEIpCaD8SV+lDSLgru9jHm/i44EbUtv3KQl94ZJty4IiLgLSw1xfLndDgB4S6saixYzc8DfwaO0aNH17g9hxlja0VgiwXDBMPK008/ba7zYIlDqF133XW4/fbba7UvqrGQtsTevzMwdFj9P63FmrwqMHAodIiISENhsGCQsMKLCTe/hBO3p7KFxwobXFdSwpqbcvgiHKZGpjIIlaO8oBgeeOGJjfQ/li+/BL5yN0pjHCh1es3j+So8SCj0mhCzM7q88nm8HnQsi0Scx4XNjgLs8Rab0BPtceCoiI6ogAffeLb793lYRAY6OxLws2evP4QkIhKnRGaZ1qT33ev92x4ZkYEeznb4ybMXq72Vw0HHwoWJpm7Hh3fca/3bskUnLjUZr/3hXjSHFltjwR0mq1jlYHA4MHaTuuOOO/zreAA0btw4/5jFIhK6hSNwJAqrGTfYhFX2wvHAlo7AWg4FDxERqS12L+LCYYTDjfm7yFoYrxs3eqxww5+VAeiUX8KOFXrKyivg9rhxmHOkPyCVl5SirKwcYyJ64ZgIh7kvByPw5JdwiCicnjDSf39vSTnSU3+dKyOchU2w4MEJWx44gcqgQYMO+nE4myMPdjiusB2vs5YjFI6FbB8PmelMRCoDAYvkggk2BrnVylFT6Ahs6VDwEBGRFvN3MSLCLGiC3OP+ZVSoliBsggVrLZYtW1btFPaNXUh+773N08Qk0lJVV4xmr+cI1s3KXtNh72Kl4CEiItIyhUWwmDJlCqZPn45vvvkGXbp0qddjpaammlS3e/fuKut53T5LZCB2nWLBt73Fwj61vYigwSZpssJEsNlfQwWPwMdW+BAREQkvzRoseLDAgur33nsPs2bNQlZWVr0fk7MwDh06FF999RXOPPNMs44HJ7zOABMKhwWzhgYTkcYVOIFfMFZtR2AAsUawst+m8CEiItLGgwW7P7366qv44IMPkJiYaOacIFaeW5OGXHLJJejcubPpqmQVZ3M4Wuvy9u3bsWTJEjNVe+/elbNasuXh0ksvxbBhw8zcFRxutqioCJdddlmzvVYRabjajupaPezdrwLDh3U/6/Htz6UAIiIi0oKHmw31h/uFF17ApEmTzOWxY8eaYWWnTZtmrm/atCloy8aYMWNMq4eFQ81aE+QNGTIETz75pBmGtrY03KxIy2cPHzWFEPuQutZ9LfawESx8KISIiEhjF2936NABzaEux8RhNY9FOFGwEGk7Als/QtV/BAaQYF+fgSEk2GUREZHWGCzConhbRCTcaz7IHigCA0jgJIP2EMLL1v1DPXewy/afIiIi4U7BQkSkluwH/jWNKR7YshE4ylWwblnV1YME1oZUF0IURkREpDkoWIiINAL7gX9tBGsNCXY5sCidgoWRYIEkWAAJLGIXERE5WAoWIiItrDXEUlMQCWwZCXZ7qKF6rcdXC4mIiNSWgoWISCuvDbELFiqqCySBl6trIQm2f3X5KSIiLZuChYhIG1LXLlp2NYWR6grbQ7WS1CaY1DaUKKCIiDQvBQsREWnyUFLTEqzrln10rdqEE6vGpLoQooAiItJwFCxERCSsQ4mlpjASuE2w2pPAblyBNSY1Te1UXTipaZ2ISGunYCEiIm0mnFBNgaS2rSn2VpTAx7Cuh3odgaN32X8ezGURkXCgYCEiIm1KQwUUqi6YBFtvX1ddjYr98WvbqhIqsNT3sohIbSlYiIiIHKTGGNkqVFet6kJK4O2Bc5yECii1CS2BgSXwtR/M5WDXRaTlU7AQEREJI405DG9NocR+Odht9sBi/bRvF6y1xbpsXx/4eqtbV9twUpfbRKRxKFiIiIi0EQ3ZDSyYwCBRlyBjvxws3IQaFSzYYwTuT3WhJVioCXW9plYXtcpIW6dgISIiIg2iKSc9DBVMgq0Ldrv9tuq6nQW7HupxA/ctcNjjUPUx9m0b87pIY1OwEBERkRanqWdury6cBP6s67YHE2qC/Qy8PZiaAk5twsnBbBNqnbQuChYiIiIiYRZkAtUlrNT1Z23CjX194H5V1/0sVIipbUtOfUKLAk/TU7AQERERCXPNHWwsdQ0uB3Of6kJNbcNOqH0Idd2uPt3Xqvsd1XfblkDBQkRERERaVMCxq0uYqettobYPVXPjq2FdbZ4n2HYuV8s4ZG8ZeykiIiIi0kLCjl2o8FCXYON0OtESKFiIiIiIiDQSRxuaU6VlxB8REREREQlrChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiIlJvChYiIiIiItKyg8XUqVMxfPhwJCYmIj09HWeeeSZWr15d4/3eeust9O/fHzExMTj00EPxySefVLl99+7dmDRpEjp16oS4uDicfPLJWLt2bSO+EhERERGRtq1Zg8Xs2bMxefJkzJs3DzNmzEBFRQVOOukkFBUVhbzPd999hwsvvBBXXHEFFi9ebMIIl2XLlpnbfT6fub5hwwZ88MEHZpvu3btj3Lhx1T6uiIiIiIgcPIePR+JhYu/evablgoFj9OjRQbe54IILTECYPn26f93RRx+NIUOG4LnnnsOaNWvQr18/EzQGDhxobvd6vcjMzMSDDz6IK6+8slb7kp+fj3bt2iEvLw9JSUkN9ApFRERERFqOuhwTh1WNBXeYUlJSQm7z/fffm9YHu/Hjx5v1VFZWZn6ym5TF6XQiOjoac+fObaQ9FxERERFp21wIE2xVuPHGGzFq1CgMGjQo5Ha7du1CRkZGlXW8zvXE2otu3brhjjvuwPPPP4/4+Hg89thj2LZtG3bu3BnycRlIrFBiDzlMaSIiIiIibVH+L8fCtenkFDbBgrUW7L5U31aFyMhIvPvuu6YGgy0fERERpoXjlFNOqfYNYSH5vffee8D6rl271mt/RERERERauoKCAtMlKuyDxZQpU0zNxDfffIMuXbpUuy1rJTjqkx2vc71l6NChWLJkiWl1KC8vR1paGo466igMGzYs5OOyhePmm2+u0oKSnZ2NDh06wOFwoCFTH8PK1q1bVbvRhPS+Nz29581D73vT03vePPS+Nz29523zfff5fCZUcLTVmjRrsOCOXnfddXjvvfcwa9YsZGVl1XifESNG4KuvvjLdpiwcUYrrA1mpikPNLly4EPfdd1/Ix2UNBhe75ORkNBZ+MPSfsunpfW96es+bh973pqf3vHnofW96es/b3vveroaWirAIFuz+9Oqrr5phYTmXhVUnwZ2PjY01ly+55BJ07tzZdFWiG264AWPGjMGjjz6KCRMm4PXXXzeh4Z///GeVeS7YSsFai6VLl5r7cAhaDmUrIiIiIiINr1mDxbPPPmt+jh07tsr6F154wUxwR1u2bDGjOllGjhxpwsif/vQn3HnnnejTpw/ef//9KgXfLNJmtyZ2kerYsaMJJ3/+85+b7HWJiIiIiLQ1zd4VqibsIhXovPPOM0so119/vVnCEbtb3X333Qd0u5LGpfe96ek9bx5635ue3vPmofe96ek9bx7RLeh9D6sJ8kREREREpGUKqwnyRERERESkZVKwEBERERGRelOwEBERERGRelOwaGLPPPMMevTogZiYGDNp3/z585t7l1oVTrI4ceJEM4kLJzbkiGF2LCm66667zGhhHNKYs7JznhM5eBwKevjw4WbI6PT0dDO08+rVq6tsU1paaoaX5oSTCQkJOOeccw6Y6FLqNqLeYYcd5h/TnPP4fPrpp/7b9X43voceesh8x9jnVNL73vDuuece8z7bl/79+/tv13veOLZv347f/e535n3l38pDDz3UDO1v0d/ShtejR48DPutc+PluSZ91BYsm9MYbb5hhcFnZ/+OPP2Lw4MEYP3489uzZ09y71moUFRWZ95UBLpiHH34YTz75JJ577jn88MMPiI+PN78D/oeVgzN79mzzZTdv3jwzWWVFRYWZM4a/C8tNN92Ejz76yMwxw+137NiBs88+u1n3uyXr0qWLObBdtGiR+WN//PHH44wzzsDy5cvN7Xq/G9eCBQvw/PPPm3Bnp/e9cQwcONAMI28tc+fO9d+m97zh5eTkYNSoUYiMjDQnLFasWGHmDmvfvr1/G/0tbZzvlZ22zzn/npI1CmqL+axzVChpGkceeaRv8uTJ/usej8fXqVMn39SpU5t1v1orfrzfe+89/3Wv1+vLzMz0PfLII/51ubm5vujoaN9rr73WTHvZ+uzZs8e897Nnz/a/x5GRkb633nrLv83KlSvNNt9//30z7mnr0r59e9+///1vvd+NrKCgwNenTx/fjBkzfGPGjPHdcMMNZr3e98Zx9913+wYPHhz0Nr3njeO2227zHXPMMSFv19/SpnHDDTf4evXqZd7vlvRZV4tFEykvLzdnF9lcaOHEf7z+/fffN+u+tRUbN240s7vbfwec5Z1d0vQ7aDh5eXnmZ0pKivnJzz1bMezvO7sydOvWTe97A/B4PHj99ddNCxG7ROn9blxsnZswYUKV95f0vjcedrFh99aePXvioosuMhPnkt7zxvHhhx9i2LBh5kw5u7cefvjh+Ne//uW/XX9Lm+aY8eWXX8bll19uukO1pM+6gkUT2bdvnzkAyMjIqLKe1/kfVBqf9T7rd9B4vF6v6XPOZvRBgwaZdXxvo6KikJycXGVbve/1s3TpUtPPlhMmXX311XjvvfcwYMAAvd+NiAGO3VhZVxRI73vj4MHqtGnT8Nlnn5naIh7UHnvssSgoKNB73kg2bNhg3us+ffrg888/xzXXXGMmHX7xxRfN7fpb2vjef/995ObmYtKkSeZ6S/qsN+vM2yLS+s7mLlu2rEofaGkc/fr1w5IlS0wL0dtvv41LL73U9LuVxrF161bccMMNpt8zB9+QpnHKKaf4L7OmhUGje/fuePPNN03RsDTOCSK2WDz44IPmOlss+L3Oegp+z0jj+89//mM++2ypa2nUYtFEUlNTERERcUAFP69nZmY22361Jdb7rN9B45gyZQqmT5+OmTNnmuJiC99bNuvy7Iud3vf64dmr3r17Y+jQoeYMOgcteOKJJ/R+NxJ2ReBAG0cccQRcLpdZGORYwMrLPHOo973x8Yxt3759sW7dOn3WGwlHemLrp90hhxzi74Kmv6WNa/Pmzfjyyy9x5ZVX+te1pM+6gkUTHgTwAOCrr76qclaA19kvWhpfVlaW+Q9o/x3k5+ebES30Ozh4rJNnqGBXnK+//tq8z3b83HN0Efv7zuFo+UdK73vD4fdJWVmZ3u9GcsIJJ5juZ2wlshae1WWff+uy3vfGV1hYiPXr15uDX33WGwe7sgYOGb5mzRrTUkT6W9q4XnjhBVPbwlouS4v6rDd39Xhb8vrrr5tRE6ZNm+ZbsWKF76qrrvIlJyf7du3a1dy71qpGbFm8eLFZ+PH++9//bi5v3rzZ3P7QQw+Z9/yDDz7w/fzzz74zzjjDl5WV5SspKWnuXW+xrrnmGl+7du18s2bN8u3cudO/FBcX+7e5+uqrfd26dfN9/fXXvoULF/pGjBhhFjk4t99+uxl1a+PGjeZzzOsOh8P3xRdfmNv1fjcN+6hQpPe94d1yyy3mu4Wf9W+//dY3btw4X2pqqhl9jvSeN7z58+f7XC6X74EHHvCtXbvW98orr/ji4uJ8L7/8sn8b/S1tHB6Px3yeOTJXoJbyWVewaGJPPfWU+WBERUWZ4WfnzZvX3LvUqsycOdMEisDl0ksvNbdz2LY///nPvoyMDBPyTjjhBN/q1aube7dbtGDvN5cXXnjBvw3/2Fx77bVmSFT+gTrrrLNM+JCDc/nll/u6d+9uvkfS0tLM59gKFaT3u3mChd73hnfBBRf4OnbsaD7rnTt3NtfXrVvnv13veeP46KOPfIMGDTJ/J/v37+/75z//WeV2/S1tHJ9//rn5+xnsvWwpn3UH/2nuVhMREREREWnZVGMhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiIiIiL1pmAhIiL1wnlWr7rqKqSkpMDhcGDJkiUht7344ovx4IMPorl89tlnGDJkCLxeb7Ptg4hIa6VgISIi9T5YnzZtGqZPn46dO3di0KBBQbf76aef8Mknn+D666+vsn7dunW4/PLL0a1bN0RHR6Nz58444YQT8Morr8Dtdvu3Y2h5//33D3jcSZMm4cwzz6zVvp588smIjIw0jy0iIg1LwUJEROpl/fr16NixI0aOHInMzEy4XK6g2z311FM477zzkJCQ4F83f/58HHHEEVi5ciWeeeYZLFu2DLNmzcKVV16JZ599FsuXL2/w/WUQefLJJxv8cUVE2rrg3/4iIiK1PEh/8cUX/S0K3bt3x6ZNmw7YzuPx4O23367SUsAuVLx/37598e2338Lp/PVcV58+fXDhhReabeqCz52VlXXA+jFjxpjAQhMnTsSUKVNMIOrVq1edHl9EREJTsBARkYP2xBNPmIPzf/7zn1iwYAEiIiKCbvfzzz8jLy8Pw4YN869jLQZbKl577bUqocKOYaUuunbtarpjWXbt2oVx48Zh9OjR/nXscpWRkYE5c+YoWIiINCAFCxEROWjt2rVDYmKiCRTsBhXK5s2bzTbp6en+dWvWrDE/+/Xr51+3Z88e9OzZ03/94YcfxrXXXuu/zlaMwPBSVlaGCRMmmMv2/SgtLTW1FyNGjMA999xT5T6dOnUy+yQiIg1HwUJERBpdSUmJKcyuqQWiQ4cO/lGlxo4di/Ly8iq3P/bYY6YFwu62224zXa0CsSC8oKAAM2bMOKBFJDY2FsXFxfV4RSIiEkjBQkREGl1qaqo5kGdQiIqK8tdR0OrVq3H44Yf7Wxx69+5tLgcrAmdrhHW7hS0mubm5Vdbdf//9+Pzzz01xOG8PlJ2djbS0tAZ8hSIiolGhRESk0XHuCFqxYoV/HcNE//798be//a1B55V455138Je//AVvvvlm0BoKdpFi4bYVZkREpGEoWIiISKNj6wCHlZ07d65/HbtFvfDCC6bFYtSoUfjwww+xdu1aEz6ee+457N27N2QxeCgcrvaSSy4x3aMGDhxoire5sIXCMm/ePNMti7UXIiLScBQsRESkSXBuisCJ6Y4++mgsWrTIFHBPnjwZAwYMMPNhcKQo1lNcc801dXqOhQsXmi5X7ArFuTWs5eyzz/Zvw8e+6KKLEBcX12CvTUREAIevroOEi4iIHGQBNwPEG2+80WytBfv27TP7wAASbL4LERE5eGqxEBGRJsGRmF566SVzcN9cOIHeP/7xD4UKEZFGoBYLERERERGpN7VYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIhIvSlYiIiIiIgI6uv/A858PITPdApRAAAAAElFTkSuQmCC", "text/plain": [ "
" ] @@ -1006,7 +896,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1051,7 +941,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAxYAAAGGCAYAAADmRxfNAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjMsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvZiW1igAAAAlwSFlzAAAPYQAAD2EBqD+naQAAoUlJREFUeJzs3Xd4FNX6B/DvzNZk00mHVEIJLfSqJEAkVAGxUK5wASs2RMXLvUoREUUpFgT8XSUqReUqqCBNegmdSAslkBASSELK7mZ7m98fyY472U1IJQm8n+eZJ7tnzpw5MxvCvHsaw3EcB0IIIYQQQgipBbahK0AIIYQQQghp+iiwIIQQQgghhNQaBRaEEEIIIYSQWqPAghBCCCGEEFJrFFgQQgghhBBCao0CC0IIIYQQQkitUWBBCCGEEEIIqTUKLAghhBBCCCG1RoEFIYQQQgghpNYosCCEkHts3rx5YBhGkGaxWDBr1iyEhYWBZVmMHj0aAKDRaPDMM88gODgYDMNgxowZDVTrmsvMzATDMEhOTq72scnJyWAYBpmZmZXmc3VPmxKGYTBv3ryGrgYhhNSKuKErQAghTVlycjKmTJnCv5fJZPDz80PHjh0xfPhwTJkyBZ6ennct55tvvsHHH3+MGTNmoGvXrggPDwcAfPDBB0hOTsa7776Lli1bIjY2tl6vpzbWr1+P/Pz8Jhn8EEIIqT2G4ziuoStBCCFNlT2weO+99xAVFQWz2Yzc3Fzs27cPu3btQnh4OH777Td06tSJP8ZiscBisUAul/Np48aNw6FDh5CdnS0ov3fv3hCLxTh06NA9va6aGDFiBM6fP+/UusBxHIxGIyQSCUQiUbXKtN/fjIwMREZGVpjP1T1tShiGwdy5c6nVghDSpFGLBSGE1IGhQ4eie/fu/PvZs2djz549GDFiBB599FGkpaXBzc0NACAWiyEWC//85ufnw8fHx6nc/Px8tGvXrs7qabPZYDKZ7ukDOMMw9X4+V/eUEELIvUVjLAghpJ4MHDgQ7777Lm7cuIG1a9fy6Y7jAezjD/bu3YsLFy6AYRgwDIN9+/aBYRhkZGRg69atfLq9NcBoNGLu3LmIiYmBTCZDWFgYZs2aBaPRKKgDwzB4+eWXsW7dOrRv3x4ymQzbt28HAOTk5GDq1KkICgqCTCZD+/bt8c033wiOt9fjp59+wsKFC9GiRQvI5XIMGjQI6enpfL6EhARs3boVN27c4Otqb2FwNcbi7Nmz+Oc//4no6GjI5XIEBwdj6tSpKCwsrNG9djXGwn7tGzduRLt27eDm5oY+ffrg3LlzAIDVq1cjJiYGcrkcCQkJTi0tCQkJ6NChA06dOoW+ffvCzc0NUVFRWLVqldP5q/p5GI1GvP766wgICICnpyceffRRp1YqALhx4wamT5+ONm3awM3NDc2aNcMTTzzhVEf7GJTDhw9j5syZCAgIgEKhwJgxY3Dnzh2ncrdt24b4+Hh4enrCy8sLPXr0wPr16wV5jh07hiFDhsDb2xvu7u6Ij4/H4cOHq/Q5EEIebPT1DiGE1KOnn34a//73v7Fz5048++yzTvsDAgLw/fffY+HChdBoNFi0aBEAIDY2Ft9//z1ef/11tGjRAm+88Qaf32az4dFHH8WhQ4fw3HPPITY2FufOncOyZctw5coVbN68WXCOPXv24KeffsLLL78Mf39/REZGIi8vD7179+YfvgMCArBt2zZMmzYNarXaaZzEhx9+CJZl8eabb0KlUmHx4sWYOHEijh07BgD4z3/+A5VKhezsbCxbtgwA4OHhUeF92bVrF65fv44pU6YgODgYFy5cwFdffYULFy7g6NGjdTYQ++DBg/jtt9/w0ksvAQAWLVqEESNGYNasWfjyyy8xffp0FBcXY/HixZg6dSr27NkjOL64uBjDhg3Dk08+ifHjx+Onn37Ciy++CKlUiqlTpwJlrUBV/TyeeeYZrF27FhMmTEDfvn2xZ88eDB8+3KneJ06cwJEjRzBu3Di0aNECmZmZWLlyJRISEnDx4kW4u7sL8r/yyivw9fXF3LlzkZmZieXLl+Pll1/Gjz/+yOdJTk7G1KlT0b59e8yePRs+Pj44c+YMtm/fjgkTJgBlvytDhw5Ft27dMHfuXLAsizVr1mDgwIE4ePAgevbsWSefCyHkPsURQgipsTVr1nAAuBMnTlSYx9vbm+vSpQv/fu7cuVz5P7/x8fFc+/btnY6NiIjghg8fLkj7/vvvOZZluYMHDwrSV61axQHgDh8+zKcB4FiW5S5cuCDIO23aNC4kJIQrKCgQpI8bN47z9vbmdDodx3Ect3fvXg4AFxsbyxmNRj7fp59+ygHgzp07x6cNHz6ci4iIcLqGjIwMDgC3Zs0aPs1evqMNGzZwALgDBw7wafb7m5GR4ZTfkat7CoCTyWSCY1evXs0B4IKDgzm1Ws2nz5492+k88fHxHABuyZIlfJrRaOQ6d+7MBQYGciaTieOq8XmkpqZyALjp06cL8k2YMIEDwM2dO7fS+5OSksIB4L777jun+5OYmMjZbDY+/fXXX+dEIhGnVCo5juM4pVLJeXp6cr169eL0er2gXPtxNpuNa9WqFZeUlCQoS6fTcVFRUdwjjzzi8t4TQogddYUihJB65uHhgZKSkjorb+PGjYiNjUXbtm1RUFDAbwMHDgQA7N27V5A/Pj5eME6D4zj8/PPPGDlyJDiOE5SRlJQElUqF06dPC8qYMmUKpFIp//7hhx8GAFy/fr1G12AfbwIABoMBBQUF6N27NwA4nbs2Bg0aJBj03atXLwDA2LFjBbN12dPLX49YLMbzzz/Pv5dKpXj++eeRn5+PU6dOAdX4PP744w8AwKuvvio4h6tZtBzvj9lsRmFhIWJiYuDj4+Py/jz33HOCVp6HH34YVqsVN27cAMpaiEpKSvCvf/3LabyL/bjU1FRcvXoVEyZMQGFhIX8dWq0WgwYNwoEDB2Cz2Sq524SQBx11hSKEkHqm0WgQGBhYZ+VdvXoVaWlpCAgIcLk/Pz9f8D4qKkrw/s6dO1Aqlfjqq6/w1VdfVakM+/S3dr6+vkBZV6GaKCoqwvz58/HDDz84nUulUtWoTFfK19vb2xsAEBYW5jK9/PWEhoZCoVAI0lq3bg2UjR3p3bt3lT+PGzdugGVZtGzZUrC/TZs2Tsfo9XosWrQIa9asQU5ODhwncHR1f+72+Vy7dg0A0KFDB5d1RNnvFQBMnjy5wjwqlYovmxBCyqPAghBC6lF2djZUKhViYmLqrEybzYaOHTti6dKlLveXf2h2/PbbfjwA/OMf/6jwIdJxelwAFU4TW9MZy5988kkcOXIEb731Fjp37gwPDw/YbDYMGTKkTr8Vr6jedXk91f08quKVV17BmjVrMGPGDPTp0wfe3t5gGAbjxo1zeX/q4nrs5X788cfo3LmzyzyVjZshhBAKLAghpB59//33AICkpKQ6K7Nly5b466+/MGjQoBoNcrbPSGS1WpGYmFhn9apqXYqLi7F7927Mnz8fc+bM4dPt35g3Jrdu3YJWqxW0Wly5cgUA+C5WVf08IiIiYLPZcO3aNUErxeXLl53y/u9//8PkyZOxZMkSPs1gMECpVNboOuytJOfPn68wyLXn8fLyqtPfC0LIg4PGWBBCSD3Zs2cPFixYgKioKEycOLHOyn3yySeRk5OD//u//3Pap9frodVqKz1eJBJh7Nix+Pnnn3H+/Hmn/a6mKa0KhUJRpW5M9m/Xy3+bvnz58hqdtz5ZLBasXr2af28ymbB69WoEBASgW7duQDU+j6FDhwIAPvvsM0EeV9ctEomc7s/nn38Oq9Vao+sYPHgwPD09sWjRIhgMBsE++3m6deuGli1b4pNPPoFGo3Eqo6a/F4SQBwe1WBBCSB3Ytm0bLl26BIvFgry8POzZswe7du1CREQEfvvttzpdIO7pp5/GTz/9hBdeeAF79+5Fv379YLVacenSJfz000/YsWOHYLE+Vz788EPs3bsXvXr1wrPPPot27dqhqKgIp0+fxp9//omioqJq16tbt2748ccfMXPmTPTo0QMeHh4YOXKkUz4vLy/0798fixcvhtlsRvPmzbFz505kZGRU+5z1LTQ0FB999BEyMzPRunVr/Pjjj0hNTcVXX30FiUQCVOPz6Ny5M8aPH48vv/wSKpUKffv2xe7duwXrgdiNGDEC33//Pby9vdGuXTukpKTgzz//RLNmzWp0HV5eXli2bBmeeeYZ9OjRAxMmTICvry/++usv6HQ6fPvtt2BZFv/9738xdOhQtG/fHlOmTEHz5s2Rk5ODvXv3wsvLC7///nut7ykh5P5FgQUhhNQBe5ceqVQKPz8/dOzYEcuXL8eUKVMEsw/VBZZlsXnzZixbtgzfffcdNm3aBHd3d0RHR+O1117jBxdXJigoCMePH8d7772HX375BV9++SWaNWuG9u3b46OPPqpRvaZPn47U1FSsWbMGy5YtQ0REhMvAAgDWr1+PV155BStWrADHcRg8eDC2bduG0NDQGp27vvj6+uLbb7/FK6+8gv/7v/9DUFAQvvjiC8GaJNX5PL755hsEBARg3bp12Lx5MwYOHIitW7c6jcP49NNPIRKJsG7dOhgMBvTr1w9//vlnrbrUTZs2DYGBgfjwww+xYMECSCQStG3bFq+//jqfJyEhASkpKViwYAG++OILaDQaBAcHo1evXoLZsQghxBWGq+nIO0IIIeQ+lpCQgIKCApfdxQghhDijMRaEEEIIIYSQWqPAghBCCCGEEFJrFFgQQgghhBBCaq1RBRYHDhzAyJEjERoaCoZhsHnzZsF+juMwZ84chISEwM3NDYmJiYJ5zzMzMzFt2jRERUXBzc0NLVu2xNy5c2EymSo9r8FgwEsvvYRmzZrBw8MDY8eORV5eXr1dJyGEkMZv3759NL6CEEKqoVEFFlqtFnFxcVixYoXL/YsXL8Znn32GVatW4dixY1AoFEhKSuLn5L506RJsNhtWr16NCxcuYNmyZVi1ahX+/e9/V3re119/Hb///js2btyI/fv349atW3jsscfq5RoJIYQQQgi5HzXaWaEYhsGmTZswevRooKy1IjQ0FG+88QbefPNNAIBKpUJQUBCSk5Mxbtw4l+V8/PHHWLlyJa5fv+5yv0qlQkBAANavX4/HH38cKAtQYmNjkZKSgt69e9fbNRJCCCGEEHK/aDLrWGRkZCA3NxeJiYl8mre3N3r16oWUlJQKAwuVSgU/P78Kyz116hTMZrOg3LZt2yI8PLzCwMJoNMJoNPLvbTYbioqK0KxZMzAMU4urJIQQQgghpPHgOA4lJSUIDQ0Fy1be2anJBBa5ublA2aJOjoKCgvh95aWnp+Pzzz/HJ598Umm5UqkUPj4+VS530aJFmD9/fg2ughBCCCGEkKbn5s2baNGiRaV5mkxgUV05OTkYMmQInnjiCcEKqXVh9uzZmDlzJv9epVIhPDwcN2/ehJeXV52eixBCCCGEkIaiVqsRFhYGT0/Pu+ZtMoFFcHAwACAvLw8hISF8el5eHjp37izIe+vWLQwYMAB9+/bFV199dddyTSYTlEqloNUiLy+PP2d5MpkMMpnMKd3Ly4sCC0IIIYQQct+pSnf/RjUrVGWioqIQHByM3bt382lqtRrHjh1Dnz59+LScnBwkJCSgW7duWLNmzV37gnXr1g0SiURQ7uXLl5GVlSUolxBCCCGEEFKxRtViodFokJ6ezr/PyMhAamoq/Pz8EB4ejhkzZuD9999Hq1atEBUVhXfffRehoaH8zFH2oCIiIgKffPIJ7ty5w5dlb33IycnBoEGD8N1336Fnz57w9vbGtGnTMHPmTPj5+cHLywuvvPIK+vTpQzNCEUIIIYQQUkWNKrA4efIkBgwYwL+3j2OYPHkykpOTMWvWLGi1Wjz33HNQKpV46KGHsH37dsjlcgDArl27kJ6ejvT0dKfBJfZZdc1mMy5fvgydTsfvW7ZsGViWxdixY2E0GpGUlIQvv/zyHl01IYQQQgghTV+jXceiKVGr1fD29oZKpaIxFoQQQmrMarXCbDY3dDUIIQ8QiUQCkUhU4f7qPOc2qhYLQggh5EHEcRxyc3OhVCobuiqEkAeQj48PgoODa70eGwUWhBBCSAOzBxWBgYFwd3enxVYJIfcEx3HQ6XTIz88HAMHMqzVBgQUhhBDSgKxWKx9UNGvWrKGrQwh5wLi5uQEA8vPzERgYWGm3qLtpMtPNEkIIIfcj+5gKd3f3hq4KIeQBZf/7U9sxXhRYEEIIIY0AdX8ihDSUuvr7Q4EFIYQQQgghpNYosCCEEEJIk5GcnAwfH5+GrkaFGnP9EhISMGPGjAY7/+7duxEbGwur1Vql/PPmzUPnzp3rvV73s4KCAgQGBiI7O/uenI8CC0IIIYRU2z//+U8wDAOGYSCRSBAVFYVZs2bBYDAI8tnzOG4PPfRQlcqVSqWIiYnBe++9B4vFUmd1z8zMBMMwSE1NrbMy7Z566ilcuXKlzsu9H8yaNQvvvPMOPzg4OTnZ5e/Hf//734auaqNT099Zf39/TJo0CXPnzq23ujmiWaEIIYQQUiNDhgzBmjVrYDabcerUKUyePBkMw+Cjjz4S5FuzZg2GDBnCv5dKpVUq12g04o8//sBLL70EiUSC2bNn19u11BU3Nzd+lp0HkdlshkQicUo/dOgQrl27hrFjxwrSvby8cPnyZUGat7d3vdfzQTJlyhR069YNH3/8Mfz8/Or1XNRiQQghhJAakclkCA4ORlhYGEaPHo3ExETs2rXLKZ998S37dreHG3u5ERERePHFF5GYmIjffvtNkGfHjh2IjY2Fh4cHhgwZgtu3b/P7bDYb3nvvPbRo0QIymQydO3fG9u3b+f1RUVEAgC5duoBhGCQkJFTpOPu3xr/88gsGDBgAd3d3xMXFISUlhc/jqivU77//jh49ekAul8Pf3x9jxozh93355Zdo1aoV5HI5goKC8Pjjj1d4X27cuIGRI0fC19cXCoUC7du3xx9//MHv379/P3r27AmZTIaQkBD861//qrCl59///jd69erllB4XF4f33nuPf//f//4XsbGxkMvlaNu2Lb788kun+/Hjjz8iPj4ecrkc69atc3m+H374AY888gjkcrkgnWEYwe9GcHBwpYFZZfWZOnUqOnXqBKPRCAAwmUzo0qULJk2axOc5fPgwEhIS4O7uDl9fXyQlJaG4uBgAYDQa8eqrryIwMBByuRwPPfQQTpw4wR+7b98+MAyDHTt2oEuXLnBzc8PAgQORn5+Pbdu2ITY2Fl5eXpgwYQJ0Oh1/XEJCAl5++WW8/PLL8Pb2hr+/P959911wHCe4D5s3bxZcq4+PD5KTk4FKfmfvdk8AoH379ggNDcWmTZsqvK91hQILQgghpJHhOA56k/Geb44POtV1/vx5HDly5K6tETXh5uYGk8nEv9fpdPjkk0/w/fff48CBA8jKysKbb77J7//000+xZMkSfPLJJzh79iySkpLw6KOP4urVqwCA48ePAwD+/PNP3L59G7/88kuVjrP7z3/+gzfffBOpqalo3bo1xo8fX+ED/NatWzFmzBgMGzYMZ86cwe7du9GzZ08AwMmTJ/Hqq6/ivffew+XLl7F9+3b079+/wvvw0ksvwWg04sCBAzh37hw++ugjeHh4AABycnIwbNgw9OjRA3/99RdWrlyJr7/+Gu+//77LsiZOnIjjx4/j2rVrfNqFCxdw9uxZTJgwAQCwbt06zJkzBwsXLkRaWho++OADvPvuu/j2228FZf3rX//Ca6+9hrS0NCQlJbk838GDB9G9e/cKr60q7lafzz77DFqtFv/617+Ass9JqVTiiy++AACkpqZi0KBBaNeuHVJSUnDo0CGMHDmSH/Mxa9Ys/Pzzz/j2229x+vRpxMTEICkpCUVFRYJ6zJs3D1988QWOHDmCmzdv4sknn8Ty5cuxfv16bN26FTt37sTnn38uOObbb7+FWCzG8ePH8emnn2Lp0qXV6vJV0e9sVT+jnj174uDBgzW469VDXaEIIYSQRsZgNuGhxdPv+XkPzfoSblJZlfNv2bIFHh4esFgsMBqNYFmWf4hzNH78eMGiW2vXrsXo0aPvWj7Hcdi9ezd27NiBV155hU83m81YtWoVWrZsCQB4+eWXBd+yf/LJJ3j77bcxbtw4AMBHH32EvXv3Yvny5VixYgUCAgIAAM2aNUNwcHCVj7N78803MXz4cADA/Pnz0b59e6Snp6Nt27ZO17Bw4UKMGzcO8+fP59Pi4uIAAFlZWVAoFBgxYgQ8PT0RERGBLl26VHg/srKyMHbsWHTs2BEAEB0dze/78ssvERYWhi+++AIMw6Bt27a4desW3n77bcyZMwcsK/wuuX379oiLi8P69evx7rvvAmUPqb169UJMTAwAYO7cuViyZAkee+wxoOxb84sXL2L16tWYPHkyX9aMGTP4PBW5ceMGQkNDndJVKhUfHAGAh4cHcnNzXZZxt/p4eHhg7dq1iI+Ph6enJ5YvX469e/fCy8sLALB48WJ0795d8I1++/btAQBarRYrV65EcnIyhg4dCgD4v//7P+zatQtff/013nrrLf6Y999/H/369QMATJs2DbNnz8a1a9f4z+Pxxx/H3r178fbbb/PHhIWFYdmyZWAYBm3atMG5c+ewbNkyPPvss5XeN7uKfmer+hmFhobizJkzVTpXbVBgQQghhJAaGTBgAFauXAmtVotly5ZBLBY79aEHgGXLliExMZF/HxISUmm59oDFbDbDZrNhwoQJmDdvHr/f3d2dDyrs5eXn5wMA1Go1bt26xT/42fXr1w9//fVXheesznGdOnVyupb8/HyXgUVqamqFD4+PPPIIIiIiEB0djSFDhmDIkCEYM2ZMhYslvvrqq3jxxRexc+dOJCYmYuzYsXxd0tLS0KdPH8F6BP369YNGo0F2djbCw8Odyps4cSK++eYbvlvOhg0bMHPmTKDsQfvatWuYNm2aoP4Wi8VpDERVWiL0er1TNygA8PT0xOnTp/n35QMgu6rWp0+fPnjzzTexYMECvP3224KJAlJTU/HEE0+4LP/atWswm82Cz18ikaBnz55IS0sT5HX8/IOCguDu7i4I8oKCgvgWBrvevXsLPps+ffpgyZIlsFqtNV7pujqfkZubm6B7Vn2hwIIQQghpZOQSKQ7N+rIKOev+vNWhUCj4b7e/+eYbxMXF4euvv8a0adME+YKDg/l8VWEPWKRSKUJDQyEWCx9Xyg8OZhimVt24qsvx/PaHRZvN5jJvZeMF7A/V+/btw86dOzFnzhzMmzcPJ06ccDll7TPPPIOkpCS+u82iRYuwZMkSQWtOdYwfPx5vv/02Tp8+Db1ej5s3b+Kpp54CAGg0GqDsW/vyYzHKPwgrFIq7nsvf358fy+CIZdkq/W5UtT42mw2HDx+GSCRCenq6IF9dDaov//m7+n2s6PehIq5+h++2CnZ1PqOioiK+1aM+0RgLQgghpJFhGAZuUtk932qz+i7Lsvj3v/+Nd955B3q9vlbXbw9YwsPDnYKKu/Hy8kJoaCgOHz4sSD98+DDatWsHOMxK5bieQlWOq4lOnTph9+7dFe4Xi8VITEzE4sWLcfbsWWRmZmLPnj0V5g8LC8MLL7yAX375BW+88Qb+7//+DwAQGxuLlJQUwcPp4cOH4enpiRYtWrgsq0WLFoiPj8e6deuwbt06PPLIIwgMDATKvnUPDQ3F9evXERMTI9jsA4mro0uXLrh48WK1j7Oran0+/vhjXLp0Cfv378f27duxZs0afl9ln0XLli0hlUoFn7/ZbMaJEydq9fnbHTt2TPD+6NGjaNWqFR8ABAQECCYguHr1qqCFwdXvbHU+o/Pnz1faza6uUIsFIYQQQurEE088gbfeegsrVqwQDKa+19566y3MnTsXLVu2ROfOnbFmzRqkpqbyMxYFBgbCzc0N27dvR4sWLSCXy+Ht7X3X42pi7ty5GDRoEFq2bIlx48bBYrHgjz/+wNtvv40tW7bg+vXr6N+/P3x9ffHHH3/AZrOhTZs2LsuaMWMGhg4ditatW6O4uBh79+5FbGwsAGD69OlYvnw5XnnlFbz88su4fPky5s6di5kzZ1bYvQhl3aHmzp0Lk8mEZcuWCfbNnz8fr776Kry9vTFkyBAYjUacPHkSxcXFfJepqkpKSnIaUFxdd6vPmTNnMGfOHPzvf/9Dv379sHTpUrz22muIj49HdHQ0Zs+ejY4dO2L69Ol44YUXIJVKsXfvXjzxxBPw9/fHiy++iLfeegt+fn4IDw/H4sWLodPpnFrgaiIrKwszZ87E888/j9OnT+Pzzz/HkiVL+P0DBw7EF198gT59+sBqteLtt98WtIRU9Dtblc9Ip9Ph1KlT+OCDD2p9HXfFkVpTqVQcAE6lUjV0VQghhDQxer2eu3jxIqfX6xu6KtUyefJkbtSoUU7pixYt4gICAjiNRsNxpV+fc5s2bap1uXZr1qzhvL29BWmbNm3iHB9prFYrN2/ePK558+acRCLh4uLiuG3btgmO+b//+z8uLCyMY1mWi4+Pr9JxGRkZHADuzJkzfFpxcTEHgNu7d2+F9fv555+5zp07c1KplPP39+cee+wxjuM47uDBg1x8fDzn6+vLubm5cZ06deJ+/PHHCq/95Zdf5lq2bMnJZDIuICCAe/rpp7mCggJ+/759+7gePXpwUqmUCw4O5t5++23ObDbz++Pj47nXXntNUGZxcTEnk8k4d3d3rqSkxOmc69at4+vu6+vL9e/fn/vll18qvB8VKSws5ORyOXfp0iU+zdW9cjR37lwuLi6uSvXR6/Vcu3btuOeee06Q/9FHH+X69u3LWSwW/h717duXk8lknI+PD5eUlMQVFxdzXNm/xVdeeYXz9/fnZDIZ169fP+748eN8WXv37uUA8Pkruoby9Y6Pj+emT5/OvfDCC5yXlxfn6+vL/fvf/+ZsNhufJycnhxs8eDCnUCi4Vq1acX/88Qfn7e3NrVmzhs/j6ne2sntit379eq5NmzaVfDqV/x2qznMuw93LTon3KbVaDW9vb6hUKn7mAUIIIaQqDAYDMjIyEBUV5XJwKyH3i7feegtqtRqrV69u6KrcUwkJCejcuTOWL1/eIOfv3bs3Xn31VX4aYVcq+ztUnedcGmNBCCGEEELq3X/+8x9ERERUe2AzqbmCggI89thjGD9+/D05H42xIIQQQggh9c7Hxwf//ve/G7oaDxR/f3/MmjXrnp2PAgtCCCGEEELqyb59+xq6CvcMdYUihBBCCCGE1BoFFoQQQgghhJBao8CCEEIIIYQQUmsUWBBCCCGEEEJqjQILQgghhBBCSK1RYEEIIYQQQgiptUYVWBw4cAAjR45EaGgoGIbB5s2bBfs5jsOcOXMQEhICNzc3JCYm4urVq4I8CxcuRN++feHu7g4fH58qnfef//wnGIYRbEOGDKnTayOEEEKqy2azwWKx3JONFi0jhNRWowostFot4uLisGLFCpf7Fy9ejM8++wyrVq3CsWPHoFAokJSUBIPBwOcxmUx44okn8OKLL1br3EOGDMHt27f5bcOGDbW+HkIIIaSmbDYbiouLUVRUdE+24uLieg8u9Ho9FAoF0tPTK8yzceNGtG3bFnK5HB07dsQff/xRaZn79u1z+nKQYRjk5uYK8q1YsQKRkZGQy+Xo1asXjh8/Ltg/c+ZM+Pn5ISwsDOvWrXOq08iRI2t0zYQ8SBrVAnlDhw7F0KFDXe7jOA7Lly/HO++8g1GjRgEAvvvuOwQFBWHz5s0YN24cAGD+/PkAgOTk5GqdWyaTITg4uNbXQAghhNQFm80Gq9XKPyjXJ47jYLVaYbPZwLI1/84xISEB//znP/HPf/7T5f5du3YhIiICMTExLvcfOXIE48ePx6JFizBixAisX78eo0ePxunTp9GhQ4dKz3358mV4eXnx7wMDA/nXP/74I2bOnIlVq1ahV69eWL58OZKSknD58mUEBgbi999/x/r167Fz505cvXoVU6dORVJSEvz9/aFSqfCf//wHf/75Z43vCyEPikbVYlGZjIwM5ObmIjExkU/z9vZGr169kJKSUuvy9+3bh8DAQLRp0wYvvvgiCgsLK8xrNBqhVqsFGyGEEFIfGIYBy7L1utV34GL366+/4tFHH61w/6effoohQ4bgrbfeQmxsLBYsWICuXbviiy++uGvZgYGBCA4O5jfHAGnp0qV49tlnMWXKFLRr1w6rVq2Cu7s7vvnmGwBAWloaEhIS0L17d4wfPx5eXl7IyMgAAMyaNQsvvvgiwsPD6+QeEHI/azKBhb1JMygoSJAeFBTk1NxZXUOGDMF3332H3bt346OPPsL+/fsxdOhQWK1Wl/kXLVoEb29vfgsLC6vV+QkhhJD7nc1mw5YtW/heB66kpKQIvkAEgKSkpCp9gdi5c2eEhITgkUceweHDh/l0k8mEU6dOCcplWRaJiYl8uXFxcTh58iSKi4tx6tQp6PV6xMTE4NChQzh9+jReffXVGl41IQ+WJhNY1Kdx48bh0UcfRceOHTF69Ghs2bIFJ06cwL59+1zmnz17NlQqFb/dvHnznteZEEIIaUqOHj0KAOjVq1eFeXJzc6v9BWJISAhWrVqFn3/+GT///DPCwsKQkJCA06dPAwAKCgpgtVorLTcpKQn/+Mc/0KNHD/zzn//Et99+C4VCgRdffBGrVq3CypUr0aZNG/Tr1w8XLlyo1X0g5H7WZAIL+/iHvLw8QXpeXl6dj42Ijo6Gv79/hYPLZDIZvLy8BBshhBDyoPnggw/g4eHBbwcPHsQLL7wgSMvKygLKukGNGDECLMsiKytLkOeDDz6ocR3atGmD559/Ht26dUPfvn3xzTffoG/fvli2bFm1ypk3bx7S09Nx7tw5jBkzBosWLUJiYiIkEgnef/99HDp0CM888wwmTZpU47oScr9rVIO3KxMVFYXg4GDs3r0bnTt3BgCo1WocO3as2jNA3U12djYKCwsREhJSp+USQggh95MXXngBTz75JP9+4sSJGDt2LB577DE+LTQ0FADw22+/4cMPP+TTUlNT+Tx+fn5A2ZeIdfEFYs+ePXHo0CEAgL+/P0QiUbXKvXTpEtauXYszZ87gm2++Qf/+/REQEIAnn3wSU6dORUlJCTw9PatVJ0IeBI2qxUKj0SA1NZX/Y5ORkYHU1FRkZWWBYRjMmDED77//Pn777TecO3cOkyZNQmhoKEaPHs2XkZWVxR9jtVr58jQaDZ+nbdu22LRpE3/Ot956C0ePHkVmZiZ2796NUaNGISYmBklJSQ1wFwghhJCmwc/PDzExMfzm5uaGwMBAQZpYLMbVq1dx48YNPPLIIwAAsVgsyGMPLPr06YPdu3cLzrFr1y706dOnWvVKTU3lvxyUSqXo1q2boFybzYbdu3e7LJfjODz//PNYunQpPDw8YLVaYTabAYD/WdEYTEIedI2qxeLkyZMYMGAA/37mzJkAgMmTJyM5ORmzZs2CVqvFc889B6VSiYceegjbt2+HXC7nj5kzZw6+/fZb/n2XLl0AAHv37kVCQgJQNiWdSqUCAIhEIpw9exbffvstlEolQkNDMXjwYCxYsAAymeyeXTshhBByv/r111+RmJgId3f3SvO99tpriI+Px5IlSzB8+HD88MMPOHnyJL766is+z+zZs5GTk4PvvvsOALB8+XJERUWhffv2MBgM+O9//4s9e/Zg586d/DEzZ87E5MmT0b17d/Ts2RPLly+HVqvFlClTnOrw3//+FwEBAfy6Ff369cO8efNw9OhRbNu2De3atavyAryEPGgaVWCRkJAAjuMq3M8wDN577z289957FeZJTk6+6xoWjudwc3PDjh07alhjQgghpH5xHFfvC9dV9n9vXfj1118xefLku+br27cv1q9fj3feeQf//ve/0apVK2zevFmwhsXt27f5cRsom/XpjTfeQE5ODtzd3dGpUyf8+eefgi8qn3rqKdy5cwdz5sxBbm4uOnfujO3btzsN6M7Ly8PChQtx5MgRPq1nz5544403MHz4cAQGBgq+vCSECDFcff81eQCo1Wp4e3tDpVLRQG5CCCHVYjAYkJGRgaioKEELvH3l7XvV7UYkEsHX17dWC+S5UlBQgJCQEGRnZzs9yBNCGoeK/g6hms+5jarFghBCCCGlWJaFr69vvbdWOJ6vroMKACgqKsLSpUspqCDkAUCBBSGEENJI1dfD/r3UunVrtG7duqGrQQi5B5r2XytCCCGEEEJIo0CBBSGEEEIIIaTWKLAghBBCCCGE1BoFFoQQQgghhJBao8CCEEIIIYQQUmsUWBBCCCGEEEJqjQILQgghhBBCSK1RYEEIIYQQUguRkZFYvnx5lfMnJyfDx8enXutESEOgwIIQQgghNZKbm4tXXnkF0dHRkMlkCAsLw8iRI7F79+6Grto9deLECTz33HN1WibDME7bQw89VOl+hmHwww8/AAD27dsHhmHg6+sLg8HgVF97fkLqEq28TQghhJBqy8zMRL9+/eDj44OPP/4YHTt2hNlsxo4dO/DSSy/h0qVLDV3FOmE2myGRSCrNExAQUC/nXrNmDYYMGcK/l0qlle4H4NQS4unpiU2bNmH8+PF82tdff43w8HBkZWXVS73Jg4taLAghhBBSbdOnTwfDMDh+/DjGjh2L1q1bo3379pg5cyaOHj3K58vKysKoUaPg4eEBLy8vPPnkk8jLy+P3z5s3D507d8Y333yD8PBweHh4YPr06bBarVi8eDGCg4MRGBiIhQsXCs7PMAxWr16NESNGwN3dHbGxsUhJSUF6ejoSEhKgUCjQt29fXLt2TXDcr7/+iq5du0IulyM6Ohrz58+HxWIRlLty5Uo8+uijUCgU/Hl///139OjRA3K5HP7+/hgzZgx/TPmuUEuXLkXHjh2hUCgQFhaG6dOnQ6PRVPse+/j4IDg4mN/8/Pwq3R8cHAy5XC7IM3nyZHzzzTf8e71ejx9++AGTJ0+udn0IuRsKLAghhJBGymQyVbg5PgzfLa/ZbL5r3uooKirC9u3b8dJLL0GhUDjtt39rbrPZMGrUKBQVFWH//v3YtWsXrl+/jqeeekqQ/9q1a9i2bRu2b9+ODRs24Ouvv8bw4cORnZ2N/fv346OPPsI777yDY8eOCY5bsGABJk2ahNTUVLRt2xYTJkzA888/j9mzZ+PkyZPgOA4vv/wyn//gwYOYNGkSXnvtNVy8eBGrV69GcnKyU9Ayb948jBkzBufOncPUqVOxdetWjBkzBsOGDcOZM2ewe/du9OzZs8L7w7IsPvvsM1y4cAHffvst9uzZg1mzZlXrHteVp59+GgcPHuRbJ37++WdERkaia9euDVIfcn+jrlCEEEJII7Vs2bIK90VHR+OJJ57g33/xxRdOAYRdWFgYJkyYwL9ftWoV9Hq9IM/bb79d5Xqlp6eD4zi0bdu20ny7d+/GuXPnkJGRgbCwMADAd999h/bt2+PEiRPo0aMHUBaAfPPNN/D09ES7du0wYMAAXL58GX/88QdYlkWbNm3w0UcfYe/evejVqxdf/pQpU/Dkk0/y9e/Tpw/effddJCUlAQBee+01TJkyhc8/f/58/Otf/+K/rY+OjsaCBQswa9YszJ07l883YcIEwXHjxo3DuHHjMH/+fD4tLi6uwuueMWMG/zoyMhLvv/8+XnjhBXz55ZdVur9248ePh0gk4t+vXbsWo0ePrnA/AFy8eBHh4eH8+8DAQAwdOhTJycmYM2cOvvnmG0ydOrVa9SCkqiiwIIQQQki1cBxXpXxpaWkICwvjgwoAaNeuHXx8fJCWlsYHFpGRkfD09OTzBAUFQSQSgWVZQVp+fr6g/E6dOgn2A0DHjh0FaQaDAWq1Gl5eXvjrr79w+PBhQQuF1WqFwWCATqeDu7s7AKB79+6C86SmpuLZZ5+t0jUDwJ9//olFixbh0qVLUKvVsFgsTueoimXLliExMZF/HxISUul+AAgNDXUqZ+rUqXjttdfwj3/8AykpKdi4cSMOHjxY5XoQUlUUWBBCCCGN1Ouvv17hPseHbgCCLj/llZ/954UXXqhVvVq1agWGYepsgHb5wdEMw7hMs9lsFR5nv0ZXafbjNBoN5s+fj8cee8ypDo5jE8p373Jzc6vytWRmZmLEiBF48cUXsXDhQvj5+eHQoUOYNm0aTCZTtQKL4OBgxMTE1Hi/3dChQ/Hcc89h2rRpGDlyJJo1a1blOhBSHRRYEEIIIY1U+VmAGiKvK35+fkhKSsKKFSvw6quvOj2IK5VK+Pj4IDY2Fjdv3sTNmzf5VouLFy9CqVSiXbt2tapDTXTt2hWXL1+u0sO4o06dOmH37t2C7lEVOXXqFGw2G5YsWcIHfz/99FON61wXxGIxJk2ahMWLF2Pbtm0NWhdyf6PAghBCCCHVtmLFCvTr1w89e/bEe++9h06dOsFisWDXrl1YuXIl0tLSkJiYiI4dO2LixIlYvnw5LBYLpk+fjvj4eKfuRvfCnDlzMGLECISHh+Pxxx8Hy7L466+/cP78ebz//vsVHjd37lwMGjQILVu2xLhx42CxWPDHH3+4HJcSExMDs9mMzz//HCNHjsThw4exatWqerkepVKJ3NxcQZqnp6fLAfULFizAW2+9Ra0VpF7RrFCEEEIIqbbo6GicPn0aAwYMwBtvvIEOHTrgkUcewe7du7Fy5UqgrCvSr7/+Cl9fX/Tv3x+JiYmIjo7Gjz/+2CB1TkpKwpYtW7Bz50706NEDvXv3xrJlyxAREVHpcQkJCdi4cSN+++03dO7cGQMHDsTx48dd5o2Li8PSpUvx0UcfoUOHDli3bh0WLVpUL9czZcoUhISECLbPP//cZV6pVAp/f39aFI/UK4ar6ggsUiG1Wg1vb2+oVCp4eXk1dHUIIYQ0IQaDARkZGYiKinJag4AQQu6Fyv4OVec5l1osCCGEEEIIIbVGgQUhhBBCCCGk1iiwIIQQQgghhNQaBRaEEEIIIYSQWqPAghBCCCGEEFJrjSqwOHDgAEaOHInQ0FAwDIPNmzcL9nMchzlz5iAkJARubm5ITEzE1atXBXkWLlyIvn37wt3dHT4+PlU6b1XKJYQQQgghhFSsUQUWWq0WcXFxWLFihcv9ixcvxmeffYZVq1bh2LFjUCgUSEpKgsFg4POYTCY88cQTePHFF6t83qqUSwghhBBCCKlYo1p5e+jQoRg6dKjLfRzHYfny5XjnnXcwatQoAMB3332HoKAgbN68GePGjQMAzJ8/HwCQnJxcpXNWtVxCCCGEEEJIxRpVi0VlMjIykJubi8TERD7N29sbvXr1QkpKyj0t12g0Qq1WCzZCCCGEEEIeZE0msMjNzQUABAUFCdKDgoL4ffeq3EWLFsHb25vfwsLCanx+QgghhDRtkZGRWL58eZXzJycnV3kcKCFNSZMJLBqT2bNnQ6VS8dvNmzcbukqEEELIPZebm4tXXnkF0dHRkMlkCAsLw8iRI7F79+6Grto9deLECTz33HN1WibDME7bQw89JNi/efNmJCcnu8zruGVmZtZp3QipSKMaY1GZ4OBgAEBeXh5CQkL49Ly8PHTu3PmeliuTySCTyWp8TkIIIaSpy8zMRL9+/eDj44OPP/4YHTt2hNlsxo4dO/DSSy/h0qVLDV3FOmE2myGRSCrNExAQUC/nXrNmDYYMGcK/l0qlTnmeeuopQZ7HHnsMHTp0wHvvvVfv9SOkvCbTYhEVFYXg4GDBtyBqtRrHjh1Dnz59Gl25hBBCyP1s+vTpYBgGx48fx9ixY9G6dWu0b98eM2fOxNGjR/l8WVlZGDVqFDw8PODl5YUnn3wSeXl5/P558+ahc+fO+OabbxAeHg4PDw9Mnz4dVqsVixcvRnBwMAIDA7Fw4ULB+RmGwerVqzFixAi4u7sjNjYWKSkpSE9PR0JCAhQKBfr27Ytr164Jjvv111/RtWtXyOVyREdHY/78+bBYLIJyV65ciUcffRQKhYI/7++//44ePXpALpfD398fY8aM4Y8p3xVq6dKl6NixIxQKBcLCwjB9+nRoNJpq32MfHx8EBwfzm5+fn1MeNzc3QR6pVAp3d3dBmkgkqva5CamJRhVYaDQapKamIjU1FSgbWJ2amoqsrCwwDIMZM2bg/fffx2+//YZz585h0qRJCA0NxejRo/kysrKy+GOsVitfnuM/6LZt22LTpk1A2R+QqpRLCCGE3Gsmkwkmkwkcx/FpVqsVJpNJ8DBcF3mro6ioCNu3b8dLL70EhULhtN8+fsBms2HUqFEoKirC/v37sWvXLly/fh1PPfWUIP+1a9ewbds2bN++HRs2bMDXX3+N4cOHIzs7G/v378dHH32Ed955B8eOHRMct2DBAkyaNAmpqalo27YtJkyYgOeffx6zZ8/GyZMnwXEcXn75ZT7/wYMHMWnSJLz22mu4ePEiVq9ejeTkZKegZd68eRgzZgzOnTuHqVOnYuvWrRgzZgyGDRuGM2fOYPfu3ejZs2eF94dlWXz22We4cOECvv32W+zZswezZs2q0b0mpEnhGpG9e/dyAJy2yZMncxzHcTabjXv33Xe5oKAgTiaTcYMGDeIuX74sKGPy5Mkuy9i7dy+fBwC3Zs0a/n1Vyq2MSqXiAHAqlapO7gMhhJAHh16v5y5evMjp9XqnfR9++CH34Ycfclqtlk87fPgw9+GHH3J//PGHIO+SJUu4Dz/8kFMqlXza8ePHuQ8//JD77bffBHk//fRT7sMPP+Ty8/NrVOdjx45xALhffvml0nw7d+7kRCIRl5WVxadduHCBA8AdP36c4ziOmzt3Lufu7s6p1Wo+T1JSEhcZGclZrVY+rU2bNtyiRYv49wC4d955h3+fkpLCAeC+/vprPm3Dhg2cXC7n3w8aNIj74IMPBHX8/vvvuZCQEEG5M2bMEOTp06cPN3HixAqvMyIiglu2bFmF+zdu3Mg1a9aMf79mzRrO29u7wvz2esjlck6hUPDbpk2bBPsd39vFx8dzr732WqVlE1JeZX+HqvOc26jGWCQkJFT67QnDMHjvvfcE/QbLS05OvusaFuXPUZVyCSGEEFKqqi0daWlpCAsLE8ye2K5dO/j4+CAtLQ09evQAyroSeXp68nmCgoIgEonAsqwgLT8/X1B+p06dBPsBoGPHjoI0g8EAtVoNLy8v/PXXXzh8+LCghcJqtcJgMECn08Hd3R0A0L17d8F5UlNT8eyzz1bpmgHgzz//xKJFi3Dp0iWo1WpYLBanc1TFsmXLBNPhO44FJaQxalSBBSGEEEL+9vrrrwOAYPBwr1690L17d8FDNwC+y49j3q5duyIuLs4p7wsvvOCUtzpatWoFhmHqbIB2+XowDOMyzWazVXgcwzAVptmP02g0mD9/Ph577DGnOsjlcv51+e5dbm5uVb6WzMxMjBgxAi+++CIWLlwIPz8/HDp0CNOmTYPJZKpWYBEcHIyYmJgq5yekoTWqMRaEEEII+ZtUKoVUKuUfkAFAJBJBKpVCLBbXad7q8PPzQ1JSElasWAGtVuu0X6lUAgBiY2Nx8+ZNwbTsFy9ehFKpRLt27Wp07tro2rUrLl++jJiYGKetfPDlqFOnTlWeQvfUqVOw2WxYsmQJevfujdatW+PWrVt1eBWENF4UWBBCCCGk2lasWAGr1YqePXvi559/xtWrV5GWlobPPvuMn1UxMTERHTt2xMSJE3H69GkcP34ckyZNQnx8vFN3o3thzpw5+O677zB//nxcuHABaWlp+OGHH/DOO+9UetzcuXOxYcMGzJ07F2lpaTh37hw++ugjl3ljYmJgNpvx+eef4/r16/j++++xatWqeroiQhoXCiwIIYQQUm3R0dE4ffo0BgwYgDfeeAMdOnTAI488gt27d2PlypVAWVekX3/9Fb6+vujfvz8SExMRHR2NH3/8sUHqnJSUhC1btmDnzp3o0aMHevfujWXLliEiIqLS4xISErBx40b89ttv6Ny5MwYOHIjjx4+7zBsXF4elS5fio48+QocOHbBu3TosWrSonq6IkMaF4Wo61xzhqdVqeHt7Q6VSwcvLq6GrQwghpAkxGAzIyMhAVFSUoJ8/IYTcK5X9HarOcy61WBBCCCGEEEJqjQILQgghhBBCSK1RYEEIIYQQQgipNQosCCGEEEIIIbVGgcV9wGazQa1WOy0cRAghpOmguVQIIQ2lrv7+UGBxH7DZbDCZTDCZTA1dFUIIIdVkXylap9M1dFUIIQ8o+9+f8iveV5e4CnlIE2APLmiqQkIIaVpEIhF8fHyQn58PAHB3d6/xitiEEFIdHMdBp9MhPz8fPj4+EIlEtSqPAov7iMlkgs1mA8tSQxQhhDQlwcHBAMAHF4QQci/5+Pjwf4dqgwKL+4jVaoXFYoFUKm3oqhBCCKkGhmEQEhKCwMBAmM3mhq4OIeQBIpFIat1SYUeBxX3E3h2KAgtCCGmaRCJRnf0HTwgh9xr1mbmPMAwDo9FIM4sQQgghhJB7jgKL+wjLsnx3KEIIIYQQQu4lCizuIyzLwmazUf9cQgghhBByz1FgcZ+xd4cihBBCCCHkXqLA4j7DsizMZjOsVmtDV4UQQgghhDxAKLC4z1B3KEIIIYQQ0hAosLjPMAwDhmFgMpkauiqEEEIIIeQBQoHFfcgeWNhstoauCiGEEEIIeUBQYHEfEolENO0sIYQQQgi5pyiwuA8xDAOO46g7FCGEEEIIuWcosLhP0SrchBBCCCHkXqLA4j4lEolgsVioOxQhhBBCCLknGlVgceDAAYwcORKhoaFgGAabN28W7Oc4DnPmzEFISAjc3NyQmJiIq1evCvIUFRVh4sSJ8PLygo+PD6ZNmwaNRlPpeRMSEvjZlOzbCy+8UC/XeK/Yu0PRtLOEEEIIIeReaFSBhVarRVxcHFasWOFy/+LFi/HZZ59h1apVOHbsGBQKBZKSkmAwGPg8EydOxIULF7Br1y5s2bIFBw4cwHPPPXfXcz/77LO4ffs2vy1evLhOr+1eswdI1B2KEEIIIYTcC+KGroCjoUOHYujQoS73cRyH5cuX45133sGoUaMAAN999x2CgoKwefNmjBs3Dmlpadi+fTtOnDiB7t27AwA+//xzDBs2DJ988glCQ0MrPLe7uzuCg4Pr6coahn0VbpvNBpFI1NDVIYQQQggh97FG1WJRmYyMDOTm5iIxMZFP8/b2Rq9evZCSkgIASElJgY+PDx9UAEBiYiJYlsWxY8cqLX/dunXw9/dHhw4dMHv2bOh0ugrzGo1GqNVqwdYY0SrchBBCCCHkXmlULRaVyc3NBQAEBQUJ0oOCgvh9ubm5CAwMFOwXi8Xw8/Pj87gyYcIEREREIDQ0FGfPnsXbb7+Ny5cv45dffnGZf9GiRZg/f34dXFX9sneH0ul0kEqlYNkmE0cSQgghhJAmpskEFvXJcQxGx44dERISgkGDBuHatWto2bKlU/7Zs2dj5syZ/Hu1Wo2wsLB7Vt/qEIvFMJlMMBgMcHd3b+jqEEIIIYSQ+1ST+QrbPv4hLy9PkJ6Xl8fvCw4ORn5+vmC/xWJBUVFRtcZP9OrVCwCQnp7ucr9MJoOXl5dga6wcWy2sVmtDV4cQQgghhNynmkxgERUVheDgYOzevZtPU6vVOHbsGPr06QMA6NOnD5RKJU6dOsXn2bNnD2w2Gx8sVEVqaioAICQkpE6voaGIxWJYLBbo9fqGrgohhBBCCLlPNarAQqPRIDU1lX+wz8jIQGpqKrKyssAwDGbMmIH3338fv/32G86dO4dJkyYhNDQUo0ePBgDExsZiyJAhePbZZ3H8+HEcPnwYL7/8MsaNG8fPCJWTk4O2bdvi+PHjAIBr165hwYIFOHXqFDIzM/Hbb79h0qRJ6N+/Pzp16tSAd6PuMAwDlmWh0+lowTxCCCGEEFIvGtUYi5MnT2LAgAH8e/s4hsmTJyM5ORmzZs2CVqvFc889B6VSiYceegjbt2+HXC7nj1m3bh1efvllDBo0CCzLYuzYsfjss8/4/WazGZcvX+ZnfZJKpfjzzz+xfPlyaLVahIWFYezYsXjnnXfu6bXXN5FIBLPZDJ1OB09PTzAM09BVIoQQQggh9xGGo9XTak2tVsPb2xsqlapBxlvYx5GwLFvpzE9WqxU2mw2+vr6QSqX3tI6EEEIIIaTpqc5zbqPqCkXql0gkAsdx0Gq1tBo3IYQQQgipUxRY3AdOnz6N3bt3Q6vV3jWvffpZo9F4T+pGCCGEEEIeDBRY3AcuXLiArKwsp6l4XbF3ldLpdLDZbPegdoQQQggh5EFAgcV9oEWLFoDD6uR3Y2+1oOlnCSGEEEJIXaHA4j5Q3cDCcfpZk8lUz7UjhBBCCCEPAgos7gPNmzcHABQXF8NgMFTpGJFIBJvNBrVaTWtbEEIIIYSQWqPA4j7g7u4Ob29vAKjSOAuUtVrYV+RWq9WwWq31XEtCCCGEEHI/o8DiPhEUFARUozsUyoILiUQCk8kEtVpNg7kJIYQQQkiNUWDRxKn0Gnx3dDvOFGeBZdlqj5mwBxdGoxElJSW0vgUhhBBCCKkRcUNXgNSOjeOwcv9mMBzw9VNvonmzwGqXYe8WpdfrwbIsPDw8wDBMvdSXEEIIIYTcn6jFoonzdfdEx+YtYQWHkzlXa1wOy7IQiUTQ6XS0MjchhBBCCKk2CizuAw/HdAIAHL1xEQBqPFZCJBKBZVlotVpoNBoKLgghhBBCSJXVOLAwm824efMmLl++jKKiorqtFamWh8oCi5s52fjfz//Dtm3balyWY3ChUqlotihCCCGEEFIl1QosSkpKsHLlSsTHx8PLywuRkZGIjY1FQEAAIiIi8Oyzz+LEiRP1V1viUmSzYIR4+UFns6C4qBh5eXm1CghEIhHEYjEMBgOUSiXMZnOd1pcQQgghhNx/qhxYLF26FJGRkVizZg0SExOxefNmpKam4sqVK0hJScHcuXNhsVgwePBgDBkyBFev1ry/P6kehmHQOyIWJTDBxjKwWq0oKCioVZksy0IikcBisUCpVEKv11PXKEIIIYQQUqEqzwp14sQJHDhwAO3bt3e5v2fPnpg6dSpWrlyJ5ORkHDx4EK1atarLupJK9IqIxaZzh3GH0yEIbrh9+za/tkVNlV9Ez2Kx0IxRhBBCCCHEpSoHFhs2bLhrHo7jkJ+fjxdeeKG29SLV1D44EgqpHLcsJQgSuVVrobzK2Ne5sFqt0Gq1sFgsUCgUkEgkFGAQQgghhBBejQZvr1mzBkOGDEFsbCx69eqFN998Ezk5OcjPz0dUVFTd15LclZgVoUd4GxTY9ACAvLy8Ol1J2z7uwmQyobi4GCUlJTSwmxBCCCGE8KoVWFitVowaNQovvPAC3N3d8eijjyIuLg4bN25EbGwstm/fXn81JXfVO6IdlDDCCo4PAOqSfdwFy7LQ6XQoLi6GTqejsReEEEIIIaR6K28vW7YMJ06cwNmzZ9GmTRs+3WazYenSpXjuuefqo46kirqHtwbDsMiyqfFQZId666pkn5LWarVCrVbDaDTC3d0dUqmUukcRQgghhDygqtVikZycjMWLFwuCCpR9k/3mm2/i/fffp2+vG5CnzB0dQ6JwwpoHQ7ACfn5+9XYu+8Bue/copVIJlUoFo9FIvwOEEEIIIQ+gagUW165dQ69evSrc/9Zbb9Vpv35Sfb0jYwGHVbjrm717lEgkgtFohFKphFKphMFgoACDEEIIIeQBUq3AQqFQ4M6dOxXuT01NxdSpU+uiXqSGeke2AwCcvXUdObm3YTAY7sl5HQMMk8kElUqFoqIi6PV6CjYJIYQQQh4A1Qos4uPjsWrVKpf7cnNzMW7cOHz77bd1VTdSAy18AhDmE4g+TDD++H0LMjMz7+n57QGGff0LlUqFwsJCqNVqmEwmasUghBBCCLlPVSuwmDt3Ln7++WdMnjwZ58+fh8FgwK1bt7B69Wr06NED/v7+9VdTUmV9ImOh5IxAWcDXEOzrX0gkEnAcx88iVVRUxK+HQUEGIYQQQsj9o1qBRadOnbBt2zYcPnwYcXFxUCgUCAsLw6uvvorx48djw4YN9LDYCPSObIc7XOl6FrdzbzdoXeyDvKVSKUQiESwWC0pKSlBUVASlUgmdTkdBBiGEEELIfaBa080CQP/+/XHlyhUcP34cGRkZ8PLyQp8+feDn5wetVou5c+fWT01JpRwfzNsFR8AkZWCzctCUaKDRaODh4dGg9UNZNymWZcFxHGw2G0wmE4xGI1iW5YMPqVRKq3oTQgghhDRBNVp5m2VZ9O7dG+PHj8fw4cP5aU0VCkWtAosDBw5g5MiRCA0NBcMw2Lx5s2A/x3GYM2cOQkJC4ObmhsTERFy9elWQp6ioCBMnToSXlxd8fHwwbdo0aDSaSs9rMBjw0ksvoVmzZvDw8MDYsWORl5dX4+u41zIyMvDbb7/xdRaxInSLaMN3h8rKymrgGgoxDAORSMR3lWJZFmazGRqNBsXFxfyYDIPBQK0ZhBBCCCFNRJUDi+o+nObk5FS7MlqtFnFxcVixYoXL/YsXL8Znn32GVatW4dixY1AoFEhKShLMfDRx4kRcuHABu3btwpYtW3DgwIG7Ltz3+uuv4/fff8fGjRuxf/9+3Lp1C4899li1699Qrly5gqKiIvz11198Wu/Idsji1ACAkydP3rPZoaqLYRh+wLe9u5TNZoNOp4NSqURRURGKi4tRUlJCgQYhhBBCSCPGcFV8SgsKCsLo0aPxzDPPoEePHi7zqFQq/PTTT/j000/x3HPP4dVXX615xRgGmzZtwujRo4Gy1orQ0FC88cYbePPNN/nzBQUFITk5GePGjUNaWhratWuHEydOoHv37gCA7du3Y9iwYcjOzkZoaKjLOgcEBGD9+vV4/PHHAQCXLl1CbGwsUlJS0Lt377vWVa1Ww9vbGyqVCl5eXjW+5poqKipCSkoK4uLi4O7uDgDQmgx44pt5GMC2gA8jQ3x8PFq3bn3P61YbHMeB4zhYrVZwHAeGYZxaO8RiMb8SOCGEEEIIqVvVec6t8hiLixcvYuHChXjkkUcgl8vRrVs3hIaGQi6Xo7i4GBcvXsSFCxfQtWtXLF68GMOGDauLa+FlZGQgNzcXiYmJfJq3tzd69eqFlJQUjBs3DikpKfDx8eGDCgBITEwEy7I4duwYxowZ41TuqVOnYDabBeW2bdsW4eHhVQ4sGpqXlxd69OgheLhWSOXo1LwlTmTfwICWcU0uqEBZcGlv0UBZoGGz2WC1WmGxWKDT6fj9YrFYEGhQsEEIIYQQcm9V+cmrWbNmWLp0KW7fvo0vvvgCrVq1QkFBAT/GYeLEiTh16hRSUlLqPKiAw7SpQUFBgvSgoCB+X25uLgIDAwX7xWIx/Pz8Kpx2NTc3F1KpFD4+PhWWW57RaIRarRZsjYnVagUAPB7XH8WcEb9eP4lsZcULGzYV9tYKexBhX5APAEwmEzQaDd99qrCwkO9CpdfrYTKZ+JYPQgghhBBS96o9K5Sbmxsef/xxvtvQg2jRokWYP39+Q1fDiU6nw4kTJ1BcXIwxY8age3gb9AhvixNZl/DflK2Y2e8xFBYWIioqqqGrWifsLRoA+ADD3n2K4zh+1imUa/0Qi8VOLRsikYhmoiKEEEIIqYUm01ckODgYAJxma8rLy+P3BQcHIz8/X7DfYrGgqKiIz+OqXJPJBKVSWWG55c2ePRsqlYrfbt68Watrqyssy+LGjRsoLCzkW1ue7zsCLMPiXMZVbNy4EXv37m10LSx1yR482Mdh2KewFYvFYBgGNpsNRqMRGo0GKpWKX7SvoKAARUVFUKvV0Ol0MBgM1MpBCCGEEFINTSawiIqKQnBwMHbv3s2nqdVqHDt2DH369AEA9OnTB0qlEqdOneLz7NmzBzabDb169XJZbrdu3SCRSATlXr58GVlZWXy55clkMnh5eQm2xkAul+Phhx/G6NGjERISAgCI8AvC8Ha9oIYJKtYMq9WKI0eOPHAPy+W7UTkGHPa1NcxmM/R6PdRqNZRKJT/1rWPQodVqodfrYTQaYTabKfAghBBCCClT7a5Q9Umj0SA9PZ1/n5GRgdTUVPj5+SE8PBwzZszA+++/j1atWiEqKgrvvvsuQkND+ZmjYmNjMWTIEDz77LNYtWoVzGYzXn75ZYwbN46fESonJweDBg3Cd999h549e8Lb2xvTpk3DzJkz4efnBy8vL7zyyivo06dPkxi4XV7Lli2d0p7uMRi7r5zGIX0WhkqjcfPmTWRmZt43XaJqo/wAcTt7sGAfMG42m2EymcAwDL/P8Vh7K4m9a1X5zbHbFiGEEELI/ahRBRYnT57EgAED+PczZ84EAEyePBnJycmYNWsWtFotnnvuOSiVSjz00EPYvn075HI5f8y6devw8ssvY9CgQWBZFmPHjsVnn33G7zebzbh8+TJ0Oh2ftmzZMj6v0WhEUlISvvzyy3t23fXFZDJBJBLB190D47sNwtdH/0AGU4IozgMpKSlo3rw5pFJpQ1ezUbIHAa6CDpQby2GfqcpkMjmV4bjZgw/Hn/Z0x58UgBBCCCGkKaryOhakYg29joV9HIn923GUrcVx4sQJdO3aFe3bt4fJYsbUDR+joESJxxWx4EwWtGrVCvHx8fQgWw8cWzzKbygLOsq3fLgKQByDDsfAg4IQQgghhNwL9bKORUWKi4uxc+dOfqXt0NBQJCUlwdfXt7ZFk1qw2WwwGAzIyMhA+/btIRVL8EzvYVi4ax2OGLPRhwnG1atXERYW5rL7FKkdxxaPyjgGG46tH2az2eXYDccABGWzYTkGHuUDkPJBCAUjhBBCCKkvtQosvv76a3z88ccYNmwYP4bh2LFjmD9/Pt58801MmzatrupJqqlNmzaQSqWIjo7m0+Jj4vDL2YNIy8tCXItodG8WKdhP7r2qPug7Bh9wCEgsFosgOHEs13G1cpQbE1K+FcRV8FE+P6oQKBFCCCHkwVWrrlBt2rTB6dOnoVAoBOkajQZdu3bFlStX6qKOjV5j7ApVkYu5mXjtlxVgwGDlkzPQ0r80ILTPbiQWN6phN6QWygch5dMcf8JF96zyPysaD1JZUFK+lYRaTAghhJCm5Z51hWIYBiUlJU6BRUlJCT08NCIcx/G/FO2CI5EQE4d96X9hyd6fsGT0i5CJSqfbtQ9cpwHd94eatjJUFHzYbDbYbDan9PLl21tKKqpHdYMSuOgC5uo9IYQQQhpWrQKLTz75BPHx8ejQoQOaN28OAMjOzsaFCxewZMmSuqojqQW9Xo8dO3ZAqVRi3LhxkMvleLbPcJzJTsfVOzlYsGMtZvYZjdu3b8NkMmHr1q0YOnSoYKYt8mCp7cO6YyuIqyDFarW63OeqDhW1oJRPq+qGcq0mVX1dm/tBCCGEPCiq1RVq/Pjx+M9//oMOHTrwaVarFcePH8etW7eAssHbPXv2hEgkqp8aN0KNuSsUx3HYtGkTVCoVEhMTERYWBgBIy8vCW7+ugtFiRlLbHpjccQC2b98Og8EAHx8fDBw4EM2aNbvn10KII1dBSk1el+fY7QsVBCyuXjsGHOXHnlTUilJRt7K75SlfBwpsCCGENITqPOdWK7BgWRYBAQHYvXu3ILiws9ls0Ol08PDwqFnNm6jGHFgAQFFREeRyOdzd3QXpKZkXMW9bMmwch4ndEzGqVU9s27YNWq0WLMuiW7du6NSp013HbRDSVFUWiNR0n+PAecef5ZUPFKryvqKg5G5dxO4WqNRFmqs6E0IIafrqNbB45JFHcObMGezZs8cpuMjLy0Pz5s1hsVhqXvsmqLEHFpXZeuEolu//GQAwI34sBkbH4eDBg7hx4wZQNkC/f//+9VJvQh5ElQUprt7XNA9cDMivLNBxPKa2aeUH6dc0vbav62pfRddLCCEPgnobvM0wDJKTk/H6669j4MCB2L17Nzp27CjIY7PZalZrck+oVCqIxWJ+wP3w9r1RoFVh7ck/8dmBX+Cn8MIjjzyCq1ev4tixY2jfvn1DV5mQ+0pjfGCtKNCoagBjT69u/oq4CojKv65MZfe0tvsq6ppWm/01ab26W93ro4zqpBFCHkzVCiw4joNIJML69esxceJEDBw4EHv27BEEF/QHpvE6f/48jh49yq+4bTepx2AUaFTYfukEFu5ci49HvYDY1q0RHR0tmH722rVrCAgIaJBWGUJI/ano73ZT+nteWcBR0324yyQD1Tm+Mvb7XNH0z1V5X1m59Z2nOmOCqhtYVVZeVYP06gRD96LMuiyDkMamRrNCsSyLdevW8cHF7t270alTp7qvHakS+yDSu/0nExgYCI7jYDQaYbPZ+G5TDMPgtfixKNSV4ETWJfxny3/xn8H/QLew1vyxBQUF2Lt3LxiGQfv27dGlSxfIZLJ6vzZCCKmKmrZE3C+q2qu5KvmqW1ZdBFc1yesqIEMFQVdV0+52robKW50WrrsdUxf56yKIqiy9qR5zt30PgmqNsRCJRLh9+zYCAwOBsm5P//jHP7Br1y7s3r0bQUFBCA0N5aeTfFA09BgLlHVxMhgMkEgkleYrLi6Gr6+vy316sxH/+u3/cDHvBliGwZReQ/FUlwQwDAOlUokjR44gJycHACCTydC5c2e0b9/+gZoBjBBCyP2tvgKhxpi/KsdUFIBVFti5Krui/JWd4271qq6GOKY63V/v1nrn7u5+1+e8+lCvg7dzc3P5wALlgou1a9di2LBhFFg0AL1eD5VKBYlEUqto2WQx4/MDm7D90gkAwMMtO+HNAU/AXSoHx3HIzs7GsWPHUFxcDADw9PREjx49EB0d/cBH6YQQQgipOzUJlJrScdU5luM4eHt7w83Nrcbnqql6Cyy2bduGgQMHOnWBsdlsePrpp/Hrr79Cr9dTYNEA7DNDMQxTpRYEi8WCrKwsREdHO+3jOA5bLx7FioO/wmKzIsI3CPOGTkYLnwCg7PO+cuUKTp06BZ1OB5lMhvHjxzdIFE0IIYQQcr8zmUz3X2BRGXtw8cMPP1Bg0QA4joNSqYTJZLrrA77FYsFPP/0ErVaLRx99FEFBQS7zXczNxHs7vkehVg13qRxvDxqHvlF/zxJlNptx7tw5sCyLzp078/W4evUqoqKiKNAghBBCCKkDTSWwqLOVz1iWxdq1a5GSklJXRZJqYBgGUqm0wikfHYnFYjRv3hweHh4wm80V5msXHIkvn3gNHUKioDMZMHdbMpbs3QilXgMAkEgk6Nq1Kx9UAEB2djb279+PDRs24OTJk9Dr9XV4lYQQQgghpLGqsxaLB1ljaLFAWQtCUVERRCLRXRfKMxqNEIvFVeo2ZbZa8NWRrdh87hAAwFPmhn/2GoLh7XpDVO48N27cwNGjR6FWq4GygDMyMhJt27ZFaGgojcMghBBCCKmmptJiQYFFHWgsgQXHcSgqKoLVahWsP1FXzt/OwOcHNuF64W0AQEv/ULzy8Bi0D4kU5LPZbMjMzMTZs2dx584dPt3DwwOjRo2Cu7t7ndeNEEIIIeR+RYHFA6SxBBYAUFJSAq1WC6lUWqX89pmexGIxQkJC7prfarNiy4WjSD6+AxpjaTenR9p0w9TeQ+Gv8HbKX1BQgMuXLyM9PR0eHh547LHH+FaL3Nxc+Pn5VbmuhBBCCCEPIgosHiCNKbAwGo1QKpUQi8VV6nZ08eJFHD58GH5+foKH/rtR6jX4+ug2bE87DgCQsCIMbtsdj3eO52ePcmSxWKDRaODj4wOUddtau3YtOI5DWFgYoqOjER4eTgO+CSGEEELKocDiAdKYAgubzYaioiLYbLYqdYcyGAz43//+h5iYGHTv3r3aXajS8rKw+vDvuJCbCQBgwODhlh3xVJcBaB3YosLjioqKsGvXLn4sBsoWYAwPD0dkZCRatGgBuVxerboQQgghhNyPKLB4gDSmwALVWIXbzmKx1HpMxvnbGfjh9F4cu5HGp3Vt0QqPd45Ht7BWYBnnweT2MSHXr1/H9evXBUFG79690bFjR6AsWGIYhgZ+E0IIIeSBRIHFA6SxBRYGgwFKpbLWq3DXREbhbfx4Zh/2Xk2FjbMBAAI8fDC4TTc80rY7mnv7uzyO4zgUFhYiIyMDWVlZGDRoEN9t6vLlyzhx4gTCwsIQEhKC0NBQeHh43NPrIoQQQghpKBRYPEAaW2BhtVpRWFhY5VW47UpKSnDhwgX06NGjWse5kqsuws9/HcSfV07xg7wBoGNIFAa37Y7+LTvBXVq1rk579uzBtWvXBGleXl58kBEREUFjMwghhBBy36LA4gHS2AKL6qzCbWez2fDjjz9Co9GgX79+aNeuXZ3UxWQx40jGBey8fBKnbl6BrezXTS6WoHt4G/SNbI9ekbHwkisqLMNqteL27dvIycnB7du3UVBQIFgEcNKkSZDJZEDZTFMikQjNmjW761oehBBCCCFNQVMJLOp+sQPS4BiGgUwmg9FoBMdxVeoOxbIs4uLikJGRgYAA51mdakoqliChVWcktOqMAo0Kf145hZ2XTuKm8g4OXT+PQ9fPg2VYdAyJQt+o9ugb1R7BXn6CMkQiEVq0aIEWLUoHg5tMJuTm5uLWrVvQ6/V8UAEAx48fR15eHkQiEQICAhAYGIhmzZrB398f3t7eNE6DEEIIIaSeUItFHWhsLRYom861uLgYLMtW+Zv7qgYhtcVxHNILcnAk4wKOZFzgF9yzC/cNROfmMejSIgZxoS3hKa/agnocx2HXrl24ffs2TCaT034fHx888cQT/Hu1Wg2FQlHrbl+EEEIIIfWpqbRYNLnAoqSkBO+++y42bdqE/Px8dOnSBZ9++il69OgBAMjLy8Pbb7+NnTt3QqlUon///vj888/RqlWrCstMTk7GlClTBGkymQwGg6FKdWqMgQXHcSguLobZbG704w9uq4uQUhZknLt9ne8uBQAswyDGvzm6tGiFuObRiA2KgIes8n9U9q5g+fn5KCgoQEFBAQoLC9GiRQsMHjyYz7N27VoYjUZ4e3vDz8+P33x8fODp6UldqQghhBDSKDSVwKLJdYV65plncP78eXz//fcIDQ3F2rVrkZiYiIsXLyI0NBSjR4+GRCLBr7/+Ci8vLyxdupTfr1BU3I/fy8sLly9f5t839S4zDMNAKpW6/Ob+biwWCy5dugQA6NChQz3UTijEyw+PxT2Mx+Iehtqgw9lb13AmOx1nstNxU5mPK3eyceVONn48sxcMGIT7BqJdcATaBUcgNigCYb4BgulsGYaBr68vfH190aZNG6BsDInjvTCZTOA4jg9ClEolrl+/zu8PCwvDkCFD+PcZGRnw9PSEl5cXrRROCCGEEOJCk2qx0Ov18PT0xK+//orhw4fz6d26dcPQoUMxadIktGnTBufPn0f79u2BsgfK4OBgfPDBB3jmmWdclpucnIwZM2ZAqVTWqF6NscUCZQ/PxcXFVV6F2y4jIwN//vknPDw8MG7cuAYNsgo0KqTmpONMTjrO38rALXWhUx4PmRti/JujVUBzxPg3R0xAczT39ofoLi0OHMdBq9WiuLgYRUVF/KZSqdC2bVv07dsXKFvN/LvvvuOPc3Nzg5eXF7y9veHl5YXg4GCEhITUw9UTQgghhFCLRb2wWCywWq1OKzK7ubnh0KFDeOqppwBAsJ9lWchkMhw6dKjCwAIANBoNIiIiYLPZ0LVrV3zwwQd8cNJUicViiEQiWK3Wai2AFxkZicDAQMTExAiCCpvNds+7B/l7eCOxTTcktukGACjWaZCWdwMXc2/gYt4NXMm/CY1Rj9ScdKTmpPPHycUSRPuHomWzUET4BSHSLxhRzYIFs08xDAMPDw94eHggLCyMT+c4DhaLhX9vNBoRFBQEtVoNvV7Pb3l5eQCAdu3a8YGFyWTCli1b4OnpCU9PT3h4ePA/PTw8IJVKm3xrGCGEEEKIK02qxQIA+vbtC6lUivXr1yMoKAgbNmzA5MmTERMTg/PnzyMmJga9evXC6tWroVAosGzZMvzrX//C4MGDsWPHDpdlpqSk4OrVq+jUqRNUKhU++eQTHDhwABcuXOBnInJkNBphNBr592q1GmFhYY2uxQJlY1K0Wm21F8szm81gWZYf2Hz79m0cOHAAXbt2rXS8yr1msVqRUXQb6XduIb0gB+l3cnCt8BaMFrPL/H7unoj0C0a4bxDCfAPQwicAYT4B8FdUbcYok8kElUoFtVrN/wwLC0PLli0BAAUFBdi0aVOFx3fo0AF9+vThyzp//jw8PDygUCj4rbGPiSGEEELIvdVUWiyaXGBx7do1TJ06FQcOHIBIJELXrl3RunVrnDp1CmlpaTh16hSmTZuGv/76CyKRCImJiWBZFhzHYdu2bVU6h9lsRmxsLMaPH48FCxY47Z83bx7mz5/vlN4YAwur1Yri4mLYbLZqtVqU9+effyIjIwNt27bFww8/XKd1rGtWmw3ZyjtIL8hBZmEuMotKt9yS4gqPkYulaOFTGmg0926GEO9mCPUq/dnM3avKQZl9KtySkhKo1WpoNBp+MxgM6NmzJ+Li4gAAhYWF+OWXX5zKkEgkUCgUaNeuHd9qZjKZkJ2dDTc3N7i7u8Pd3Z0CEEIIIeQBQYFFPdNqtVCr1QgJCcFTTz0FjUaDrVu38vtVKhVMJhMCAgLQq1cvdO/eHStWrKhy+U888QTEYjE2bNjgtK8ptVig7F6VlJRUu9XCkdlsxsWLFxEdHQ1PT08AgE6nQ3Z2NmJiYprEDEo6kwE3ivORWZiLrOI8ZCvv4KbyDm6ri2DjbBUeJxNLEOLlh2CvZgj29EWQpy+CPP0Q7FX601PmVqX7arFYwHEcHxAolUqcPXsWGo0GWq0WWq0WZvPfLS2OQYirlhCJRAI3Nze4ubmhbdu2aN26NVD2xycnJwdubm6Qy+Vwc3OjLliEEEJIE9ZUAosmNcbCkb3bSHFxMXbs2IHFixcL9nt7ewMArl69ipMnT7pseaiI1WrFuXPnMGzYMJf7ZTKZYFG2xs7NzQ1Go7FWU89KJBL+Idfur7/+wvnz55GdnY2BAwfWUW3rj7tUjtigcMQGhQvSzVYLbquLkK3MR7ayALdUhbitLsQtVQHyNUoYLWZkFuUhsyjPZblysRSBnj4I8CjdAj28EeDhi0APbzRTeMPfwxsKqdypxcjHxwf9+/cXpJlMJuh0Omi1Wj6AswsKCoJer4dOp4PFYoHZbIbZbIZarUZERASfT6VS4c8//xQcyzAMH2S0a9cOsbGxQFmQnJ6eDrlczm8ymQxyuRwikYiCEUIIIYRUWZMLLHbs2AGO49CmTRukp6fjrbfeQtu2bfl1KDZu3IiAgACEh4fj3LlzeO211zB69Gh+/QIAmDRpEpo3b45FixYBAN577z307t0bMTExUCqV+Pjjj3Hjxo1KB3s3JSzLwt3dHSqVqk4HYHt6ekIul/NTuqJsgLf9nE2FRCRGuG8gwn0DnfaZrRbklRTjtroQuepi5JUUI6+kCLklxchTF6FYr4HBYkJWcT6yivMrPIebRAZ/hVdpoFH208/ds3RTeMHP3RPNFF5wk8oglUrh4+MjON7f3x+PPvro3/Uym6HT6aDT6aDX6+Hn9/dq5QzDIDAwEAaDAXq9HmazGRzH8YPOHafdVavVOHLkiMs6i0QidOnSBV26dAHKWqhOnjzJB9aOm1QqhYeHR4N8k0IIIYSQxqHJBRYqlQqzZ89GdnY2/Pz8MHbsWCxcuJD/Jv727duYOXMm8vLyEBISgkmTJuHdd98VlJGVlSV48C0uLsazzz6L3Nxc+Pr6olu3bjhy5AjatWt3z6+vvtgfAA0GQ626RDnq0KED2rZtK1i5+sqVK/jrr7/Qs2dPREVF1focDU0iEvNjL1wxWszILynGHY0S+RpV2U8l7pRtBVo1dCYD9GYjbpZ1vaqMXCyFr7sHfN094evmWfq67KePW+nmXfbT08uTb5lz5O/vj1GjRvHvrVYr9Ho9H2g4HiMWixEVFcXvMxqNMBgM4DgOVqtV8O9Eq9UK1nopr3PnzvxClWq1Glu3boVUKuUDD8fXwcHBaN68OV+/oqIiSCQSPh+1lhBCCCFNT5MdY9GYNNZ1LMozmUxQKpVgGEYQDNSlzZs3486dO+jduzc6duxYL+doavRmIwq1ahRoVSjQqFCgVaNIp0aRtgRFOjUKdSUo0qphsFRvMUOWYeEtd4e3mwe85Qp4uyngLVfAy/5T7l66yRTwlLvBS66AQiq/6wM7x3Ewm80wGo2QSCT89M0ajQZXr17lxxjZgxCTyQSTyYROnTrxCyreuXMHmzdvrvAcjkGISqXCTz/9JNhvX+BRKpWiTZs2fKuJyWTCsWPHIJVKIZFIBJtUKoWnpyff2mMPjihIIYQQ0tTRGAvS6NgfEnU6HViWrZeHreHDhyMtLY3vw4+yh0ylUomWLVs2qS5SdcVNIqu01cPOHoAo9RoU60pQbP+p00CpL32v0muhMmihMeph42ylefSaKteFZVh4yd3gKXOHh6z0p6fcHZ6yv9McN0+ZGxRmN3jI5FAoFPwD/t34+Phg1KhRMBqNfOBh34xGIwID/+52ZrPZoFAoYDKZ+MHrHMfxwYvjgHaDwcCvCu9KbGwsHnroIT7v2rVrwTCMIAARi8WQSCQIDw9Hp06dgLJWkzNnzkAsFvP77T8lEgnc3d0FLT0Wi4UCFkIIIaQcCiweIAzDwN3dHUajsdqL5lWVRCLhH9bsTp48iezsbBQXF6Nnz551fs77RVUDEJSN/VAZtFDqNVDrtVAZdFAZtFDrtVAaNFDry94btCgx6lFi0MJgMcPG2aDUa6HUa6tdP5ZhoZDKoZDJ4SF1g0Iqh4dMDnepvDRd+vdrd6kc7lJZ6Ws3N/hKfeEulcFdIoe4XGuZr68vJkyYADi0ltiDDJPJJPh2RiKRoFu3boI8jq8dv0lxDFLsQY0jx3EsZrMZZ86cqfDaY2JiMGDAAKAsCFmzZg0YhuEDEcetefPmfGsMABw+fBgikchlXg8PDwQHB/N5lUolWJYV5HkQg3FCCCFNEwUWDxixWAw3NzdoNBpwHFfv37hyHIeQkBAUFhaibdu2fHpeXh5u3LiBiIgIBAUF1Wsd7kcSkRj+Cm/4K5zHWFTEZDFDbdDxwYbGqEeJUYcSg640+DDqoClL15gMf7826mGxWWHjbKX5jbpa191dIoO7VAY3iQzuUnnpT4kMblIZ3CRSuElKf8rLfrrdkUEulsJNIoVXWBDkEinkEincxKU/Raxz1z5PT09MnjyZDz7sm31GLcdZtxiGQbt27WCxWPj9jjNvKRR/r9huX5XdHgQ5tqgAEAQ3NpsNFy9erPBehIeHCwKLX375BVarVZDHHsCEhoYKJqHYvn0739VLLBZDJBLxr728vPhuaQCQnp4Om83G53E8pvxkAfbFMeurVZMQQsj9iwKLB5C91cJisdT7ImsMw6Bz587o1KmT4JvXjIwMnDt3DgaDQRBYFBQUwM/Pj76lrQdSsQT+HqXT31YHx3EwWszQlgUbWpO+7HXpe53ZAK3RAJ3ZCK2xdJ+2bMC61mTkB6/bV0M3Wy1QlbW41BUJKyoNNsoCDftrmVgCmT2t7DWfppFAduda6WuxBNIWfvAQSyEVSyATiSGTSCETSUrfiyV8IC6VSjF58mSnIMS+ObawcByHrl27CvbbN6vVimbNmgny2idWsAcvcAhgygcct2/fFuRzFBQUJAgsjh49Cr1e7zJvs2bN8Nhjj/Hvf/nlF6jVaqBsZjDHzdvbWzAN98GDB6HVap3yiUQiyOVyQde5jIwMGI1GsCwLkUjE/7QHOY5/B3Q6HTiO4/dToEMIIU0DBRYPIJZloVAooFKp+G8878U5HYWGhsJgMCAyMpJP02q12LRpE2QyGSZMmFAvXbVI9TEMwz+sN1PUfHICi9UKnfnvQENvNkJnKvtpNkJvMkJvNvH7yr82lL03WEz8e/vChmabFWajHiVG1w/PdUUqEkMmlkAikkAmdnwtgVQkhtT+0/G1/adcAqlYDInIrbQckRh6kRhHMy9CKhJDIhKj5+AESEQiiFkRRAwLERiwHMBwgFQiFdRlwIABfIBitVoFAYuHh4cgb/PmzfkvE+z57ce4u7sL8joGMPZ8duW/iLh9+zZUKpXLe+Xh4SEILFJTU1FQUOAyr1wux9NPP82/37NnD27fvu2Uj2VZSKVSQd6DBw8iLy9PEKzYAxGRSITExEQ+ILl8+TKKiopc5mNZFrGxsfzfqjt37vBBkz2fY34fHx8+r9ls5qfypiCIEPIgoye3B5RMJoNCoYBWW/qt8b0ILhyFh4cjPFy4UJ1KpYJUKoWXl5cgqDhy5AiMRiM6deok+IaXNC1ikQheotKZquoCx3EwWy0wWOxBh4l/bbCYYLSYYTSbYLCYy96bYDCbS9Pt+y2l+x3TTBYzDA4/HVdlN1ktMFktAOo3gKkIyzCQlAUhElb092tR2WtWDLH99fUUSEQO7+WlP8WsCGKRFBJWBLFIhBJWhE1nD5WmsyL4d2mFIDClgQ3HgEXpxpR1Y7tWcKusDBHadGwPi9kMcABsNnAcB87GgbPZIJUKA6GQkBC4u7vDZrPxAYv9dfm8KAtoy09aaLPZ+LVy7EpKSlBcXOzyfjEMI3jAv3HjBm7cuFHh/XXsrnn+/Hmkp6dXmPfpp5/mZ0w7evSo06QCDMPwQcbjjz/OB3upqam4evWqU7Bi3x5++GE+b0ZGBj89uqstNjaWDwzv3LmDO3fuVJg3MDCQr699EU57AFQ+r0wm4/9PsH8GFCgRQqqCAosHFMMwUCgUsNls0Ol0/H8uDSk0NBRPP/20oMsGx3G4du0aDAaDYKYptVqNoqIihISENKlV0EndYRimtDVALIGXXFGFI2rGYrXCaDXDZLHAZDXzwYfRYi4LNErfm6wWmCyWsrxmmMuCEH5f2Wt7uuBnWR6zfbNZy9It4PD3w7WtrFuavVtZY8WAgZhlITq+EeKyFpjSjYWo7LWIZfl0kU2EQ7+tLksXQSxmIQ6XQwSm9D3DQsSwEDMsbGDwdcofpd2kGBaMJwNF6+aCAIgFA4YrDcT2p//Fn4vzkiM4Kqy0khwHcABn4/jXt9SFf9dNLoVfs2bgOA42mw0cZ4PNauPfO/69LB/swGG6Y1frwSiVygrvnWML0Z07d3DlypUK80ZGRvKBxc2bN3Hq1KkK8z766KN8YHHt2jUcPXq0wrxDhw5FixYtAACXLl3CoUOHgLIWo/LBSHx8PMLCSu/pjRs3cPLkScF+x9dxcXEIDQ3lr+38+fP8/vIBTlRUFD97nFqtxvXr1wX5HPMHBATA19cXKJsNLi8vz2VehmHg4eHB3zOLxcL//+cqr70rHiGk6iiweIAxDANPT0/YbLY6XTivNuzdtBwNHDgQt27dQkDA37MlXbt2DSdPnkRkZCQeeeQRPv327dvw8fGhFaBJnRGLSr+dVzh/qV7vOI6D1WaD2eYYiFj5AMRitZbtc0izWWFy2Gexlr0vy2exWQX7LGVBzN/pwv2l+2yw2kr3WW3Wv8spy+sY/AAAB640OLJZAdfDQO6dC9XIm7mvStlYhsH6b85DxLJgWRFEYCEWsRCzLMRMaQAlBst3aTv1+2qIWBYiloWcE0HmzULEMGAZFiKwYBmUdnsDiy+P/g5WVBpgSQxWiP3dwHAMWAZgudLAiSkLoLZePg6RVAoRy8JarIHU1wP8R8FxYDiUvedw5vZ1uJXkQsSyUCrzIZHLwJW1MoEr/V3jOBs4G4ccdQEMBaWtVgWav7u6uWox0pkM0JkMYBkWOr0ORUVFFd631q1b869LSkoqbRHy8fHhAwuVSoUTJ05UmLdv3758YFFcXIydO3dWmLdHjx7o3Lkzn7ey9Xa6dOmC7t27A2Uztv3vf/+rMGCJjY1F165dS++JToetW7c65bG/joiI4Nd5MplM2LdvnyCP4zFBQUFo06YNUHb/T5w44ZTHvvn4+Ai6F6elpbks0z5DpOPEETk5OYBDK59jXqlUKphu2z4Gy1Vee3dFO5vN5tRySO5vFFg84BiGgZeXF79uQGMILhwxDIPmzZvzqzTb2f/Q2b/9AgCj0YgtW7YAACZNmsS3ZBQWFsJsNsPPz89llwtCGiuGYfjAxk3SeFvmrA6Bhz1YsdoDD5sNlrLg5O90myCPtey9/bUwj02Qz9U+K1fuvX0/Z/v7tc0myF8+r43j/s5Xtt+xG5wjG8fBxpUFTqjj1qO8auTNrUbeI6lVzvrTvr9bSVgwEPOtQQzYssDG3jr0y47/woLS+ySHCF6MFGxZVzr7TxHDgmUY7Nu7Fsb9HFiGgQcjQYBIDrZsn73Fyf76q9M7YDy3AyzDwM0qgr9cVHpuruxBmSvrbgZgy5Xj2JR9pvQh2GSDh4wtDarK6gqOg/1/tWPZl3FCnwOWYcHozQDL8MFXufgYl/NvIuecESzDwqY38a1QrmQW3IYx8yJYhoFZb6y0VYqRS+Ae0qw0r9FUafc8g9mEkIgwsAwDm8WCs2fPVpg3KiqKDyw4juNbmlwJCwvDkCFD+Pc7duyo8NpCQkIwYsQI/v2vv/4Kg8HgMq+/vz/GjBnDv//xxx+h0ZSutVQ+yPH19cWoUaP4vFu2bIFarRb0oLAHLQqFAkOHDuXz7t+/HyqVSlCmfZPJZBg4cCCf99SpUxXmFYlE6Nu3L5/30qVLFea1T0Zjf0bKysri6+tqi4mJEYzZ0mg0FeYNDg7mW8fUajX0er3L62oKaOXtOtBUVt6ujNVqhUqlgslkanTBRWUcp8xVKpXYsWMHbDYbxo8fz+fZt28frl69im7duvHfKFksFly/fh2+vr7w9/dvMtdLCLl3OI6DzR6E8MGIjZ9+uXy6lbPCai1NK7/fVi5g+XtfaVBTGvBwgnx83vLHlb228ekcHzDZbBx/jNM5Hcq3ORxns18nx8FWVg/Hc9gc39uPv48fHezd6ThwsDmkySDiAyzHliMWDIywQl/WPCcCAz9Gzgdf5fNrOTOKYeTzRrBe/H62XH4VZ8QtrnQsJAsGHdlmQLl8LFP6SgkTspgSMGVBXTf4l9aBYZzK1oisuCHV84Fde50HRPZArOx67Xn1Eg63vTiwbGlKZAED1gY+eLPnBwCzlIU61I0PCLxulIC1uP5d4WRiMDEBpUEew8B6JRcwum7iZGUS+Ma1LL1WhkHRueuwaF0HNyKJGC0f6spfd9bpC9ApS1yXKxKh55CE0mCGYXHx6CkU3yms8Hdj6BOjS7tgMgxOHj6K29k5FeYd948JkIglYBkGhw4cxLVr1yrM6zhm69ChQ0hLS3PKM2jQILRv377Rr7xNgUUduB8CC5Q9bKtUKlgsFojF4ib7sF1+pqsjR44gMzMTffr0QVRUFFD27cHmzZshl8vxj3/8g7/WzMxMWCwWhISEOHXJIoQQUqo06OL4wMMmCH64ckGJ1SE4cdhn4/ggjE+3lQU49jSbQzkOAZFjwMOB+ztQciibD744jh8bwwdQXGk3MKsgb7mfFaWX228tK8vmVG9OcL2l5/v7Ou37HetfPl9TYn9icHyolIAVBFhwCFo4cNA59JX0ghQixjFw+zu4sYJDIfd3IBHAuEEKUbnArfS1FRxucn8HEi0YD7gxYpdBng0cLtn+nvwhivGCFyPl98OhbDDAKWs+n7c16wM/Ri4o0/H6Dllz+HsRy/ohmHH/Oy8jrMdh3IaVKW2xa8N5IxQKpzIvStR4NmksBrX/ewHWe6U6z7nUFYrwxGIxPD09oVarYTabm1TLhaPyg+369u2Lvn37CmaY4TgOoaGhkMlkgms8e/Ys8vLyMGDAAMTExAAAioqKcOrUKTRr1oxv8UBZICYSiZrkPSKEkNpgGAYihkFpByVSXziH1iSuCoGLMPgpd4wgvfzxnFPQxrkoq3wQVdnrvwM4/L0f9skQHM4DDlZbWYBVFrCVvq64XE7w2rnOvlyAoL58Gfg7wEXZOcK4AL5MPcdBw1kAx/PwdeHgLpLz45EyOQ2ucyX8NZYfa+YozVaENFQ8/sjRaRhw2tUOc+lCt40dBRZEwD52oaSkBCaTCWKxuMFni6orjgFAYGAghg8f7pTHPljQcVpbpVKJzMxM6PV6QWDxxx9/oKioCIMGDeJnRdFqtcjNzYW3tzf8/f3r+YoIIYTcz0oDOBFobqrGj+Nbn0oDGM4pMOJcBmL2wIVzCgjL0srKMplMaN08sgo1aVgUWBAnEokEPj4+KCkpgV6vB8uyD8xidb1793ZKa9asGfr27es0cEqj0cBsNgvS8/LysGfPHgQGBgoGpR05cgRmsxlxcXHw8fEBygab6/V6uLu706ByQgghpAnjx7LUUxRoMpng5db4u2g/GE+LpNpYluUXqtNqtTCbzU163EVteHt7C6bas3vyySeh0WgEqxyLxWIEBwc7tVZkZmZCq9UK1uLIzs7Gnj17EBwcjJEjR/LpKSkpMJvN6NSpEwUhhBBCCGkyKLAgFbJP8SYWi1FSUtKkx13UB7FYzD/427laURxlc6eXlJQIBj1ZrVZIJBKnGR4yMjKcgpCbN29i7969TtP+paSkwGQyCVpCdDodiouL4e7uzs/tTgghhBBS3yiwIHclk8kgEolQUlICo9EIlmVp0HI1tWrVyimtdevWaN26tdOCU926dYNWq4WnpyefZrPZKg1C2rVrx6fdunULe/fuRWhoqGAcyZYtW6DT6ZCQkMCPJSkuLsb169fh4+ODli1b8nk1Gg1YloVcLr9vxtgQQgghpH5RYEGqRCwWw9vbG3q9Hnq9HmazGSKRyGkGJlJ95R/c7ausOqosCNHr9YIgRCQSwc/Pz2lKOLVaDa1WKwgICwsLcfr0aYSGhgoCi+3bt6O4uBjDhg3jFyfMycnB0aNHERAQgP79+/N5L168CIPBgJiYGP6cRqMRarUaMpmsSU/BTAghhJCqo8CCVBnLslAoFJDL5dBqtTAYDHyAQd9q3xtVCUKioqL49TocDR06FAaDQdB9y9PTE23btnU5hgRls4TZ6fV6FBUV8Yv42KWlpaGoqAhBQUF8EJGXl4cdO3Y4rcJqn0krPj6en0mrsLAQJ0+ehLe3t2DwfHp6OnQ6HcLDw/k6m0wmFBYWQiqVCmbuslqt/IJMhBBCCGkYFFiQahOJRPD09OQDDKPRCIZhHtjB3U2Fq/EWQUFBCAoKckp//PHHBet+AEBoaCiGDh0KiUQiSI+OjkZAQIBgEDsAKBQKp0UG7S1ejr8nWq0WWVlZTgPeL168iLy8PHh6evKBRWFhIbZs2QIvLy889dRTfN6dO3ciOzsbCQkJfLczpVKJvXv3wtPTE4mJiXzeS5cuQalUIjo6mu8SZjKZcPPmTUilUj7gsdfNYrHAzc2ND7Ls94V+1wkhhBAhCixIjTAMA6lUColEIugexTAMtWDcJ8o/OLu7u8Pd3d0pX5cuXZzSwsPDMWHCBKf0wYMHw2QyCbpu+fn54eGHH3aa7SosLAyenp6CvCzLwsfHxymIsVhKV2917JpnNBpRUFAAk8kkyHvjxg1kZWXBx8eHDyw0Gg327NkDuVyOp59+ms979OhRXL9+HX369EGHDh0AACUlJfjxxx+d8p44cQJZWVno0KED35JkNBpx4MABiEQiDBgwgL+nN27cQEFBAUJDQxESEgKUjaO5fv06WJZFZGQk/29Io9HAaDTCzc2Nv/8cx/GtNPRvjRBCSGNBgQWpFYZh4O7uDrlczk+JajabYbVaKcAgThyDBDsPDw+0bdvWKd1VwBIUFIQnnnjCKX3IkCEwm82C4MTb2xuDBw92+h2MioqCj4+PoCsVy7IICQlxCm5YloVEIhGs42K1WgGHlgs7jUaDoqIiGI1GPs1sNiMzMxMsy2LgwIF8elZWFi5dugQAfGBhNpuxd+9eAMC0adP4vOfPn8e5c+fQqVMn9OrVCygLQtasWQMAmDx5Ml/vv/76C+fOnUObNm3Qo0cPvoxff/0VAJCUlMR3ZcvIyMC1a9fQvHlzwQxkJ06cAMdx6NSpE5+3sLCQX/ixRYsWfN6bN2+C4zgEBwfzdTAYDNBqtZDJZIIA0GAwgGEYSCQS+rtACCH3KQosSJ1gWRZubm6CAMNkMsFisfABBnUdIfVFIpE4ddGSy+WIiIhwytu6dWunNB8fH8E0vnYDBgxwSvP29sbEiROdBtJ37twZrVu3FgxWl0ql6Nevn1MQEhISAoZhEBAQIEhv3rw53xLheG2OXbHgENyg3Lgbk8kEvV7Pt+CgLADKz8/nX9splUpkZGRAKpUKAovz58/DYrEgNjaWDyxu3bqFo0ePomXLloLAYt++fTAYDBg7diz8/PyAsjVbDh48iIiICAwePJjPu2nTJmg0GowaNYpvKUpPT8e+ffvQokULDBkyhM+7detWqNVqDBw4kO+qd+vWLRw/fhzNmjXDww8/zOdNSUlBSUkJunTpwt/P4uJinD9/Hh4eHoIA9fLly9BoNIiOjua7Bup0OmRmZkImkwkmMLh9+zYMBoOgm5/JZEJBQQHEYjF/DXBYLNPd3Z1fMNNms8FgMPCzq9nZbLbShbTo7yEh5D5EgQWpUwzDQC6XQyaTwWQywWAwwGg0Ujcpct9gWdZllzBfX1+ncSxSqVQwFbBdTEwMYmJiBGkymQzDhg1zytutWzd069ZNkCaRSDB58mTYbDZB96/27dsjOjraaZX4pKQk2Gw2QXDSokULSKVSp7VYOnToAKvV6tT64zgmxc7f3x8Gg0EQ1Nm/ZChfB3tQ4/jv32azgeM4p8BLq9VCo9EIgjej0Yg7d+44/f24ffs2CgsLBcGRRqPBpUuX0KxZM0FgceXKFeTm5sLPz4//rFQqFQ4fPgxvb29BYJGamors7GzEx8fzwaharcbWrVuhUCgEXf2OHj2KjIwM9OvXj/+81Wo1Nm7cCJlMhkmTJvF59+/fj/T0dPTq1QudOnXir/d///sfxGIxJk6cyOc9efIkrl27hg4dOqB9+/ZAWXCzbds2MAyDESNG8Pfj0qVLyMzMRHR0NF9fm82Gffv2gWEYPPzww3zLW1ZWFm7evImQkBBER0fz5zt16hQAoGPHjvznn5+fj7y8PPj5+fEzxKEsKLTZbIiMjOTzqtVqFBcXQ6FQCMZM5eXlgeM4NGvWjP9dsbdsSaVSQUumRqMBALi5ufG/21arlf+SyrH1kMY7EdL4UGBB6gXDMJDJZJDJZLBYLHyQYTabYbFYaC0MQmrBPsapPFfjYBiGcbloY0BAgFOLCcoWcyyvooUfhw4d6pRmnxq5vPHjx4PjOMG/+ejoaDRv3twpWEhMTITFYhEEPUFBQUhKSnK67q5du0Kv1wuCOi8vL3Tr1s1p3ZfIyEj4+voKWpVkMhkiIyOd7puvry/MZrOgDPsYn/LlisViyGSyKj30ukq32WwwmUyCliiUTXagVqsF44SsVivfAuVYRnFxMW7evMm3HNnLvXbtGgDgoYce4tPz8vJw8eJFMAzDBxYcx+H06dMAgNjYWP4+5+Tk4OTJk2jTpo0gsDh48CAsFougG1xWVhZSUlLQsmVLQde/Xbt2Qa/Xu2zZCg8PR1JSEp/3999/d2rZysjIcLk2z88//+w0LXZWVhb27NmDwMBAQaC+Y8cOFBYWIj4+ns+bl5eHgwcPwsfHRzDBw+HDh1FYWIhu3brxeYuLi3H06FG4u7sjPj6ez5uamsoHtqGhoUBZcHTq1CnIZDLBTHdXrlxBYWEhoqKiEBwcDJQFWOfPn4dYLEbnzp35vDdv3kRxcTFCQkL4f6cWiwVXr14FwzCC7qN37tyBSqWCn58ff39tNhtu3rwJhmHQokUL/t+YWq2GRqOBh4cH/++A4zgUFBSAYRj4+fnxefV6PYxGI2QymeB33j5tueM6R1arlW9tdfx3QC10Dx4KLEi9E4vFEIvFcHNzg9lshtFohNFohMViAcdx/ABUaskg5P7l6uHC/rehPMeHYzt3d3eXwU1kZKRTmre3N7p27eqU3rFjR5fneuSRR5zSHR8IHfO6GuOTkJDglObr64tnn33WqTXm4YcfRt++fQXXrVAoXJYbFxeHVq1aCcaqSKVSDB48mH9gs2vZsqXgwRJlgVDv3r3BcZygZSs0NBQMwzi1QLVr1w4cxwnq5uvri5YtWzrlbdGiBSwWiyCvm5sbAgMDndau8fT0hEQiEdRBJBK5bNmyr4/keG3VCdKsViv/BZYjnU4HrVYrCN5MJhOKi4udyi0qKkJeXp5gvJTRaER2drbTteXm5uLmzZuC2eSMRiOuXLkCd3d3we9RVlYWMjIy4OXlJQgszpw5A6lUKggsrl27hqtXr6Jnz558YGE0GnHo0CGnwOLy5ctIS0tD165d+c/fbDZj586dAICpU6fyedPS0nD27FnBmC2O47B582YAwKRJk/jP5OLFizh9+jRiY2MFgemGDRvAcRwmTJjAz/x3/vx5HD9+HK1atRL8e/j+++9hMpnwxBNP8F8UpKWlISUlBVFRUYLupv/73/+g1+sxfPhw/jquX7+OY8eOITQ0VBDQbd++HRqNBgkJCXzrWE5ODk6cOAF/f39BfQ8ePAi1Wi24l3fu3MGZM2fg7e3N3weUtdqpVCp07NiRz6tUKnH27FkoFApB6/HFixehUqkQExPD59VoNEhLS4NMJuNbJO3XoVKpEB4ezo/vMxgMuHr1KsRisaDFNScnByUlJQgMDBR8nlqttsKp4RsTCizIPWP/llUqlUKhUMBsNsNkMvFjMSwWC99dir7hIITcD8r/HXM1HsjeElKel5eX04OsSCRyOXYoMDDQ6eGfZVmXwVTz5s0FrQ/2evbr188pb2RkpMvgzVUw1rJlS0F3MrtRo0Y5pbVq1YqfGtrRk08+6ZQWExODli1bOgVpjz76qMsufk8++aTT4q0JCQmwWCyC+xkQEIBhw4Y5Bbc9evSAXq8XtOh5e3sjISHBKW9sbCxatGghyOvm5oYePXo45Y2MjISXl5egm5i9u2T5+gYFBYHjOEFLnP2zL/875ePjg9DQUEGXMnvgWL6VUC6XO7W6cRwHDw8Pp7wikQgymczl72v5wLa6LXRWq9VpnJrBYIDBYBB8zmazGRqNBnq9XpBXpVJBrVYLAkWDwYA7d+443ff8/HwUFRUJWv50Oh1u3Ljh1GqbnZ2N/Px8we+xVqvF5cuX4evrKwgsMjIycOvWLUHrr06nQ2pqKjw8PASBRXp6Om7cuAE3Nzc+sNDpdDh69CjkcrkgsLh8+TKuXbuGPn368IGFXq9HcXEx3yrWmDFc+X+pjVxJSQneffddbNq0Cfn5+ejSpQs+/fRTvvk+Ly8Pb7/9Nnbu3AmlUon+/fvj888/d/kHzNHGjRvx7rvvIjMzE61atcJHH33ksr+zK2q1Gt7e3lCpVLTKcA1wHOcUZNj/4NhbMijQIIQQQhon+3gplJv222AwAGUBlL1XgslkgtFo5Hsy2CmVSthsNnh5efHBgV6vR0lJidN4sLy8PFgsFgQEBPCBpVarRUFBAWQyGd8ihLJuZUajEaGhoXyXx5KSEuTk5EAulwsC52vXrkGn0yEiIoJ/nispKUF6errLAECtViM6OpoPFkpKSnD+/HnIZDJBq+mFCxdQWFiIVq1a8TMBajQaHD9+HBKJRDAhxdmzZ5Gbm4s2bdrwXyLodDoolUq0bNnSqSvmvVCd59wmF1g89dRTOH/+PFauXInQ0FCsXbsWy5Ytw8WLFxEaGoq+fftCIpFgyZIl8PLywtKlS7F9+3ZcvHjRabEuuyNHjqB///5YtGgRRowYgfXr1+Ojjz7C6dOn+bnrK0OBRd2xz89vH5dhb9K2f5PCMAwFGoQQQgh5oJhMJnh7e1NgUZf0ej08PT3x66+/CgZwdevWDUOHDsWkSZPQpk0bnD9/np9Bw2azITg4GB988AGeeeYZl+U+9dRT0Gq12LJlC5/Wu3dvdO7cGatWrbprvSiwqD8cx/HdpOyBhs1mEzTDOo7PoGCDEEIIIfebphJYNKnRshaLBVarVTAnOMr6Mx46dIgfaOW4n2VZyGQyHDp0qMJyU1JSBDNCoGx6xpSUlDq/BlI99gW13Nzc4O3tjWbNmsHPzw8+Pj5QKBR8E6jFYuG7U9kX6HNsmiWEEEIIIfWrSQ3e9vT0RJ8+fbBgwQLExsYiKCgIGzZsQEpKCmJiYtC2bVuEh4dj9uzZWL16NRQKBZYtW4bs7Gzcvn27wnJzc3P5RZjsgoKCkJub6zK/fVYjO7VaXYdXSSrDMIzTTDI2m40POu2tG/b3joGFvQsVtW4QQgghhNS9JtVigbKpyziOQ/PmzSGTyfDZZ59h/PjxYFkWEokEv/zyC65cuQI/Pz+4u7tj7969GDp0aJ1OZbpo0SJ4e3vzm+M0c+TeY1kWUqkUbm5u8PT0hK+vr6Blw9PTk19syd61qvxgcWrhIIQQQgipnSbVYoGy6ez2798PrVYLtVqNkJAQPPXUU/wiP926dUNqaipUKhVMJhMCAgLQq1cvdO/evcIyg4ODkZeXJ0jLy8sTzCrgaPbs2Zg5cyb/Xq1WU3DRyNjHXThOk8dxnGCaO3sLh31hH8dp6xwHi5ffCCGEEEKIsyYXWNgpFAooFAoUFxdjx44dWLx4sWC/fRGRq1ev4uTJk1iwYEGFZfXp0we7d+/GjBkz+LRdu3ahT58+LvPbV5QmTYt9jYzy84WjrDtV+aDDHnjY93Ec5zQnt6ugg4IPQgghhDyImlxgsWPHDnAchzZt2iA9PR1vvfUW2rZtiylTpgBl61EEBAQgPDwc586dw2uvvYbRo0dj8ODBfBmTJk1C8+bNsWjRIgDAa6+9hvj4eCxZsgTDhw/HDz/8gJMnT+Krr75qsOsk95a9hcPVKsD2wMJxc2zlcBV42FXU4kHBByGEEELuN00usFCpVJg9ezays7Ph5+eHsWPHYuHChXyXl9u3b2PmzJnIy8tDSEgIJk2ahHfffff/27v74JrP/P/jryM3x0HEfe4TiiZN1f2WxH6lszLUmC5bo8bYRW3WIJmydozqtMu2NEaXThlLdXcpUVq6SE0rTd2kq6JIqdtxt25KpdYgN0REcv3+2Do/JzmJJOckJyeej5nPTM71uc51rs+7nzh59Tqfz3EY49KlSw7XXMTHx+ujjz7S66+/rtdee01du3bVli1bqvUdFmj8Hr6dbXkPwkRV4eNB6Hj4Gg5n30bqLHwQQAAAgLfwqu+xaKj4HgtUpXz4cBZEHg4gD2/lOQsdrIQAANC4ecv3WHjdigXgbR7+xvCqPBwoygeQh39+cBvdyoKIxWJxCCVVBRACCQAAcBeCBdBAPPyHv7MLzMsrHy7K/+xsdcRZEKls0fJRIaT8x7gAAMDjjWABeKkHd7mqCWchxNlKibNw8iCAlJWVOYzlLFQ4u3vWw/OurK38zwAAwHsQLIDHSHU/llXew6sb1dmqWkl5eJzyYz88z/JtqmYYIbAAAOAZBAsAj+SuazHKBwpnW1X7ygeU8t+W7uzCd2fhpLLrUcofY1XBpKaPAQBo7AgWAOpNXdxC11kYUSUhprKfqxtyHn7Nyq5RKb/y8uDjYo+6AV91gkl12iqrL0EHAFDXCBYAvFp93Nmqso9tVRZWavKc6oaYygJMVRfhl1+ZqWyF5lGhRzUIOrVpr+1zAAANC8ECAB6hIdyW11mAeFR4qenzyrdV9rGymqzgVPY6lfWtqr2yj7E5u8VyTVeIqrvPHfvdNQYANDQECwDwAg0h3FRHZde31PXP1elX2cpPdcZ09tzqvHZVbVXtf1RYqk6Yqu5qlGpwXrm7X131bei/J0BjRbAAALhNY78T16NWXaobfJw9ftTz3TlmdcNUdcZ9VL+aHkNNxqqsX00+4ledQFbZneqqo6a/B7X5vWmIr1Hb58C7ESwAAKgm7v5Vtequ1ri7raGMWZNgWJuAVdMQV9PXqs3YtelfWfBzFuCqCnWPCnyuXENWXbV9bmP9t4NgAQAA3KImF/nDNTVd6anNylBNP9bnjv6Pek5NX6c2wawmK5HVfb3qPLeq8Xx8fLzid4lgAQAA4GW4k5p3qk3QesAb/tsSLAAAAIB64Mod6bxBE09PAAAAAID3I1gAAAAAcBnBAgAAAIDLCBYAAAAAXEawAAAAAOAyggUAAAAAlxEsAAAAALiMYAEAAADAZQQLAAAAAC4jWAAAAABwGcECAAAAgMsIFgAAAABcRrAAAAAA4DKvCxYFBQWaPn26oqKiZLPZFB8frwMHDtj3FxYWKiUlReHh4bLZbIqNjdWKFSuqHHP16tWyWCwOW9OmTevhaAAAAIDGwdfTE6ippKQkHTt2TGvXrlVoaKjS0tKUmJioEydOKCwsTDNmzNDOnTuVlpamjh076ssvv9TUqVMVGhqqX//615WO27JlS506dcr+2GKx1NMRAQAAAN7Pq1YsioqK9Omnn2rhwoUaOHCgunTporlz56pLly5avny5JGnv3r0aP368nnvuOXXs2FGTJk1Sjx49tH///irHtlgsCg4Otm9BQUH1dFQAAACA9/OqYHH//n2VlpZW+JiSzWbTnj17JEnx8fFKT0/XlStXZIzRrl27dPr0aQ0ePLjKsQsLCxUVFaWIiAgNHz5cx48fr9NjAQAAABoTrwoWAQEBiouL01tvvaUff/xRpaWlSktLU3Z2tq5evSpJWrp0qWJjYxUeHi5/f389//zzWrZsmQYOHFjpuNHR0frnP/+prVu3Ki0tTWVlZYqPj9fly5ed9i8uLlZ+fr7DBgAAADzOvCpYSNLatWtljFFYWJisVquWLFmiMWPGqEmT/x3K0qVLtW/fPqWnpysnJ0eLFi1ScnKyvvrqq0rHjIuL07hx49SzZ08lJCToX//6l9q3b6/333/faf/U1FQFBgbat4iIiDo7XgAAAMAbWIwxxtOTqI3bt28rPz9fISEhGj16tAoLC7Vp0yYFBgZq8+bNGjZsmL1vUlKSLl++rO3bt1d7/FGjRsnX11fr16+vsK+4uFjFxcX2x/n5+YqIiFBeXp5atmzphqMDAAAAPC8/P1+BgYHV+jvX61YsHmjevLlCQkJ08+ZNZWRkaPjw4SopKVFJSYl99eIBHx8flZWVVXvs0tJSHT16VCEhIU73W61WtWzZ0mEDAAAAHmded7vZjIwMGWMUHR2ts2fPaubMmYqJidHLL78sPz8/JSQkaObMmbLZbIqKilJWVpbWrFmjxYsX28cYN26cwsLClJqaKkl688031b9/f3Xp0kW3bt3SO++8o4sXLyopKcmDRwoAAAB4D68LFnl5eZo9e7YuX76sNm3aaOTIkZo/f778/PwkSRs2bNDs2bM1duxY3bhxQ1FRUZo/f74mT55sH+PSpUsOqxo3b97UH/7wB+Xm5qp169bq06eP9u7dq9jYWI8cIwAAAOBtvPYai4akJp89AwAAALzFY3GNBQAAAICGg2ABAAAAwGUECwAAAAAuI1gAAAAAcBnBAgAAAIDLCBYAAAAAXEawAAAAAOAyggUAAAAAlxEsAAAAALiMYAEAAADAZQQLAAAAAC4jWAAAAABwGcECAAAAgMsIFgAAAABcRrAAAAAA4DKCBQAAAACXESwAAAAAuIxgAQAAAMBlBAsAAAAALiNYAAAAAHAZwQIAAACAywgWAAAAAFxGsAAAAADgMoIFAAAAAJcRLAAAAAC4jGABAAAAwGUECwAAAAAu87pgUVBQoOnTpysqKko2m03x8fE6cOCAfX9hYaFSUlIUHh4um82m2NhYrVix4pHjbty4UTExMWratKmeeeYZff7553V8JAAAAEDj4XXBIikpSZmZmVq7dq2OHj2qwYMHKzExUVeuXJEkzZgxQ9u3b1daWppOnjyp6dOnKyUlRenp6ZWOuXfvXo0ZM0a///3vdejQIY0YMUIjRozQsWPH6vHIAAAAAO9lMcYYT0+iuoqKihQQEKCtW7dq2LBh9vY+ffpo6NChmjdvnrp166bRo0frjTfecLrfmdGjR+v27dvatm2bva1///7q2bNntVY78vPzFRgYqLy8PLVs2dLl4wQAAAAagpr8netVKxb3799XaWmpmjZt6tBus9m0Z88eSVJ8fLzS09N15coVGWO0a9cunT59WoMHD6503OzsbCUmJjq0DRkyRNnZ2XV0JAAAAEDj4uvpCdREQECA4uLi9NZbb+mpp55SUFCQ1q9fr+zsbHXp0kWStHTpUk2aNEnh4eHy9fVVkyZN9MEHH2jgwIGVjpubm6ugoCCHtqCgIOXm5jrtX1xcrOLiYvvjvLw86edEBwAAADQWD/6+rc6HnLwqWEjS2rVrNXHiRIWFhcnHx0e9e/fWmDFjlJOTI/0cLPbt26f09HRFRUXp66+/VnJyskJDQyusStRWamqq/vKXv1Roj4iIcMv4AAAAQENSUFCgwMDAKvt41TUWD7t9+7by8/MVEhKi0aNHq7CwUJs2bVJgYKA2b97scA1GUlKSLl++rO3btzsdKzIyUjNmzND06dPtbXPmzNGWLVv0/fffV+hffsWirKxMN27cUNu2bWWxWNx6nPn5+YqIiNAPP/zA9Rv1gHrXL+pdv6h3/aLe9Yda1y/qXb88XW9jjAoKChQaGqomTaq+isLrViweaN68uZo3b66bN28qIyNDCxcuVElJiUpKSioctI+Pj8rKyiodKy4uTjt27HAIFpmZmYqLi3Pa32q1ymq1OrS1atXK5WOqSsuWLfnlrUfUu35R7/pFvesX9a4/1Lp+Ue/65cl6P2ql4gGvCxYZGRkyxig6Olpnz57VzJkzFRMTo5dffll+fn5KSEjQzJkzZbPZFBUVpaysLK1Zs0aLFy+2jzFu3DiFhYUpNTVVkjRt2jQlJCRo0aJFGjZsmDZs2KCDBw9q5cqVHjxSAAAAwHt4XbDIy8vT7NmzdfnyZbVp00YjR47U/Pnz5efnJ0nasGGDZs+erbFjx+rGjRuKiorS/PnzNXnyZPsYly5dcljViI+P10cffaTXX39dr732mrp27aotW7aoW7duHjlGAAAAwNt4XbB46aWX9NJLL1W6Pzg4WKtWrapyjN27d1doGzVqlEaNGuWWObqT1WrVnDlzKnz0CnWDetcv6l2/qHf9ot71h1rXL+pdv7yp3l578TYAAACAhsOrviAPAAAAQMNEsAAAAADgMoIFAAAAAJcRLBqwZcuWqWPHjmratKn69eun/fv3e3pKjcLXX3+tF154QaGhobJYLNqyZYvDfmOM/vznPyskJEQ2m02JiYk6c+aMx+br7VJTU/WLX/xCAQEB6tChg0aMGKFTp0459Ll7966Sk5PVtm1btWjRQiNHjtRPP/3ksTl7s+XLl6t79+72+53HxcXpiy++sO+n1nVnwYIFslgsDt+JRL3da+7cubJYLA5bTEyMfT/1dr8rV67ot7/9rdq2bSubzaZnnnlGBw8etO/nPdN9OnbsWOH8tlgsSk5Olrzk/CZYNFAff/yxZsyYoTlz5ui7775Tjx49NGTIEF27ds3TU/N6t2/fVo8ePbRs2TKn+xcuXKglS5ZoxYoV+vbbb9W8eXMNGTJEd+/erfe5NgZZWVlKTk7Wvn37lJmZqZKSEg0ePFi3b9+29/njH/+ozz77TBs3blRWVpZ+/PFHvfjiix6dt7cKDw/XggULlJOTo4MHD+pXv/qVhg8fruPHj0vUus4cOHBA77//vrp37+7QTr3d7+mnn9bVq1ft2549e+z7qLd73bx5UwMGDJCfn5+++OILnThxQosWLVLr1q3tfXjPdJ8DBw44nNuZmZnSz3culbec3wYN0rPPPmuSk5Ptj0tLS01oaKhJTU316LwaG0lm8+bN9sdlZWUmODjYvPPOO/a2W7duGavVatavX++hWTYu165dM5JMVlaWMT/X18/Pz2zcuNHe5+TJk0aSyc7O9uBMG4/WrVubv//979S6jhQUFJiuXbuazMxMk5CQYKZNm2YM53admDNnjunRo4fTfdTb/WbNmmV++ctfVrqf98y6NW3aNNO5c2dTVlbmNec3KxYN0L1795STk6PExER7W5MmTZSYmKjs7GyPzq2xO3/+vHJzcx1qHxgYqH79+lF7N8nLy5MktWnTRpKUk5OjkpISh5rHxMQoMjKSmruotLRUGzZs0O3btxUXF0et60hycrKGDRvmUFdxbteZM2fOKDQ0VE888YTGjh2rS5cuSdS7TqSnp6tv374aNWqUOnTooF69eumDDz6w7+c9s+7cu3dPaWlpmjhxoiwWi9ec3wSLBuj69esqLS1VUFCQQ3tQUJByc3M9Nq/HwYP6Uvu6UVZWpunTp2vAgAH2b7bPzc2Vv7+/WrVq5dCXmtfe0aNH1aJFC1mtVk2ePFmbN29WbGwsta4DGzZs0HfffafU1NQK+6i3+/Xr10+rV6/W9u3btXz5cp0/f17/93//p4KCAupdB/7zn/9o+fLl6tq1qzIyMjRlyhS98sor+vDDDyXeM+vUli1bdOvWLU2YMEHyon9PvO6btwF4r+TkZB07dszhM9Fwv+joaB0+fFh5eXnatGmTxo8fr6ysLE9Pq9H54YcfNG3aNGVmZqpp06aens5jYejQofafu3fvrn79+ikqKkqffPKJbDabR+fWGJWVlalv3756++23JUm9evXSsWPHtGLFCo0fP97T02vU/vGPf2jo0KEKDQ319FRqhBWLBqhdu3by8fGpcKX/Tz/9pODgYI/N63HwoL7U3v1SUlK0bds27dq1S+Hh4fb24OBg3bt3T7du3XLoT81rz9/fX126dFGfPn2UmpqqHj166L333qPWbpaTk6Nr166pd+/e8vX1la+vr7KysrRkyRL5+voqKCiIetexVq1a6cknn9TZs2c5v+tASEiIYmNjHdqeeuop+8fPeM+sGxcvXtRXX32lpKQke5u3nN8EiwbI399fffr00Y4dO+xtZWVl2rFjh+Li4jw6t8auU6dOCg4Odqh9fn6+vv32W2pfS8YYpaSkaPPmzdq5c6c6derksL9Pnz7y8/NzqPmpU6d06dIlau4mZWVlKi4uptZuNmjQIB09elSHDx+2b3379tXYsWPtP1PvulVYWKhz584pJCSE87sODBgwoMLtwU+fPq2oqCiJ98w6s2rVKnXo0EHDhg2zt3nN+e3pq8fh3IYNG4zVajWrV682J06cMJMmTTKtWrUyubm5np6a1ysoKDCHDh0yhw4dMpLM4sWLzaFDh8zFixeNMcYsWLDAtGrVymzdutUcOXLEDB8+3HTq1MkUFRV5eupeacqUKSYwMNDs3r3bXL161b7duXPH3mfy5MkmMjLS7Ny50xw8eNDExcWZuLg4j87bW7366qsmKyvLnD9/3hw5csS8+uqrxmKxmC+//NIYal3nHr4rlKHebvenP/3J7N6925w/f9588803JjEx0bRr185cu3bNGOrtdvv37ze+vr5m/vz55syZM2bdunWmWbNmJi0tzd6H90z3Ki0tNZGRkWbWrFkV9nnD+U2waMCWLl1qIiMjjb+/v3n22WfNvn37PD2lRmHXrl1GUoVt/Pjxxvx8+7w33njDBAUFGavVagYNGmROnTrl6Wl7LWe1lmRWrVpl71NUVGSmTp1qWrdubZo1a2Z+85vfmKtXr3p03t5q4sSJJioqyvj7+5v27dubQYMG2UOFodZ1rnywoN7uNXr0aBMSEmL8/f1NWFiYGT16tDl79qx9P/V2v88++8x069bNWK1WExMTY1auXOmwn/dM98rIyDCSnNbQG85vi/nfGz8AAAAA1BrXWAAAAABwGcECAAAAgMsIFgAAAABcRrAAAAAA4DKCBQAAAACXESwAAAAAuIxgAQAAAMBlBAsAAAAALiNYAADqhDFGkyZNUps2bWSxWHT48OFK+/7ud7/T22+/Xa/ze9j27dvVs2dPlZWVeWwOAODtCBYAgDqxfft2rV69Wtu2bdPVq1fVrVs3p/2+//57ff7553rllVcc2s+ePauJEycqMjJSVqtVYWFhGjRokNatW6f79+/b+1ksFm3ZsqXCuBMmTNCIESOqNdfnn39efn5+WrduXY2PEwDwPwQLAECdOHfunEJCQhQfH6/g4GD5+vo67bd06VKNGjVKLVq0sLft379fvXv31smTJ7Vs2TIdO3ZMu3fvVlJSkpYvX67jx4+7fb4TJkzQkiVL3D4uADwunP8rDwCACyZMmKAPP/xQ+nlFISoqShcuXKjQr7S0VJs2bXJYKTDGaMKECXryySf1zTffqEmT////wLp27aoxY8bIGFOj+Vy4cEGdOnWq0J6QkKDdu3dLkl544QWlpKTo3Llz6ty5c43GBwAQLAAAdeC9995T586dtXLlSh04cEA+Pj5O+x05ckR5eXnq27evve3w4cM6efKk1q9f7xAqHmaxWGo0n4iICF29etX+ODc3V4mJiRo4cKC9LTIyUkFBQfr3v/9NsACAWiBYAADcLjAwUAEBAfLx8VFwcHCl/S5evCgfHx916NDB3nb69GlJUnR0tL3t2rVreuKJJ+yPFy5cqKlTp9ofjxkzpkJ4KS4u1rBhwyTJYR53797ViBEjFBcXp7lz5zo8JzQ0VBcvXnThyAHg8UWwAAB4TFFRkaxW6yNXINq2bWu/q9Rzzz2ne/fuOex/9913lZiY6NA2a9YslZaWVhhr4sSJKigoUGZmZoUVEZvNpjt37rhwRADw+CJYAAA8pl27drpz547u3bsnf39/6efrKCTp1KlT6tWrl/TzikOXLl0kyelF4MHBwfb9DwQEBOjWrVsObfPmzVNGRob279+vgICACuPcuHFD7du3d+MRAsDjg7tCAQA8pmfPnpKkEydO2Nt69eqlmJgY/fWvf3Xr90p8+umnevPNN/XJJ584vYbi7t27OnfunD3MAABqhmABAPCY9u3bq3fv3tqzZ4+9zWKxaNWqVTp16pQGDBig9PR0nTlzRidOnNCKFSv03//+t9KLwStz7NgxjRs3TrNmzdLTTz+t3Nxc5ebm6saNG/Y++/btk9VqVVxcnFuPEQAeFwQLAIBHJSUlVfhiuv79+ysnJ0fR0dFKTk5WbGys4uPjtX79er377ruaMmVKjV7j4MGDunPnjubNm6eQkBD79uKLL9r7rF+/XmPHjlWzZs3cdmwA8DixmJreDBwAADcqKipSdHS0Pv74Y4+tFly/fl3R0dE6ePCg0++7AAA8GisWAACPstlsWrNmja5fv+6xOVy4cEF/+9vfCBUA4AJWLAAAAAC4jBULAAAAAC4jWAAAAABwGcECAAAAgMsIFgAAAABcRrAAAAAA4DKCBQAAAACXESwAAAAAuIxgAQAAAMBlBAsAAAAALiNYAAAAAHDZ/wMOltANJ0LFNgAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ "
" ] @@ -1125,7 +1015,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1147,7 +1037,7 @@ "id": "5b25750a-8c50-4f26-bc10-f62113d35d2b", "metadata": {}, "source": [ - "We execute the `TerminalComponentModeler` below. The output of the `run` method is the full S-matrix." + "We execute the TCM using the `tidy3d.web.run()` method below. The output is a TCM data object, from which we obtain the S-matrix using the `smatrix()` method. " ] }, { @@ -1156,10 +1046,55 @@ "id": "eeb0274f-2549-4d82-940b-b1af7f1dc73a", "metadata": {}, "outputs": [ + { + "data": { + "text/html": [ + "
09:27:25 EDT Created task 'diff_stripline_3d' with resource_id                  \n",
+       "             'sid-42c892ba-658a-44b1-93dd-238c6a9011f4' and task_type 'RF'.     \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:25 EDT\u001b[0m\u001b[2;36m \u001b[0mCreated task \u001b[32m'diff_stripline_3d'\u001b[0m with resource_id \n", + "\u001b[2;36m \u001b[0m\u001b[32m'sid-42c892ba-658a-44b1-93dd-238c6a9011f4'\u001b[0m and task_type \u001b[32m'RF'\u001b[0m. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             View task using web UI at                                          \n",
+       "             'https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a\n",
+       "             1d-04720a4bcf7d'.                                                  \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mView task using web UI at \n", + "\u001b[2;36m \u001b[0m\u001b]8;id=28906;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32m'https://tidy3d.simulation.cloud/rf?\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=188008;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32mtaskId\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=28906;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32m=\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=593698;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32mpa\u001b[0m\u001b]8;;\u001b\\\u001b]8;id=28906;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32m-537f32b2-febc-4b32-8a\u001b[0m\u001b]8;;\u001b\\\n", + "\u001b[2;36m \u001b[0m\u001b]8;id=28906;https://tidy3d.simulation.cloud/rf?taskId=pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d\u001b\\\u001b[32m1d-04720a4bcf7d'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Task folder: 'default'.                                            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mTask folder: \u001b]8;id=670266;https://tidy3d.simulation.cloud/folders/folder-7d2988e3-13d2-49df-8e7b-f9b5036adc0b\u001b\\\u001b[32m'default'\u001b[0m\u001b]8;;\u001b\\. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "7c5f6bbe069047a3a1ed0670c24c3706", + "model_id": "0000491943db4beea6a1bafc02074963", "version_major": 2, "version_minor": 0 }, @@ -1183,11 +1118,15 @@ { "data": { "text/html": [ - "
20:00:45 CEST Started working on Batch containing 2 tasks.                      \n",
+       "
09:27:27 EDT Child simulation subtasks are being uploaded to                    \n",
+       "             - WP2@0: 'rf-d24d6931-6aa7-4e65-a488-9274b12db159'                 \n",
+       "             - WP1@0: 'rf-97c6e08c-d12d-4d97-82cf-a99466649481'                 \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:45 CEST\u001b[0m\u001b[2;36m \u001b[0mStarted working on Batch containing \u001b[1;36m2\u001b[0m tasks. \n" + "\u001b[2;36m09:27:27 EDT\u001b[0m\u001b[2;36m \u001b[0mChild simulation subtasks are being uploaded to \n", + "\u001b[2;36m \u001b[0m- WP2@\u001b[1;36m0\u001b[0m: \u001b[32m'rf-d24d6931-6aa7-4e65-a488-9274b12db159'\u001b[0m \n", + "\u001b[2;36m \u001b[0m- WP1@\u001b[1;36m0\u001b[0m: \u001b[32m'rf-97c6e08c-d12d-4d97-82cf-a99466649481'\u001b[0m \n" ] }, "metadata": {}, @@ -1196,11 +1135,11 @@ { "data": { "text/html": [ - "
20:00:48 CEST Maximum FlexCredit cost: 1.193 for the whole batch.               \n",
+       "
             Validating component modeler and subtask simulations...            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:00:48 CEST\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m1.193\u001b[0m for the whole batch. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mValidating component modeler and subtask simulations\u001b[33m...\u001b[0m \n" ] }, "metadata": {}, @@ -1209,13 +1148,43 @@ { "data": { "text/html": [ - "
              Use 'Batch.real_cost()' to get the billed FlexCredit cost after   \n",
-       "              the Batch has completed.                                          \n",
+       "
09:27:28 EDT Maximum FlexCredit cost: 1.676. Minimum cost depends on task       \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mUse \u001b[32m'Batch.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed FlexCredit cost after \n", - "\u001b[2;36m \u001b[0mthe Batch has completed. \n" + "\u001b[2;36m09:27:28 EDT\u001b[0m\u001b[2;36m \u001b[0mMaximum FlexCredit cost: \u001b[1;36m1.676\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Component modeler batch validation has been successful.            \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mComponent modeler batch validation has been successful. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
09:27:29 EDT Subtasks status - diff_stripline_3d                                \n",
+       "             Group ID: 'pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d'                \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:27:29 EDT\u001b[0m\u001b[2;36m \u001b[0mSubtasks status - diff_stripline_3d \n", + "\u001b[2;36m \u001b[0mGroup ID: \u001b[32m'pa-537f32b2-febc-4b32-8a1d-04720a4bcf7d'\u001b[0m \n" ] }, "metadata": {}, @@ -1224,7 +1193,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "409a186e8cf84f7697d05a32064e3ab8", + "model_id": "5d5e9076e7a8457e811a568d006e05c0", "version_major": 2, "version_minor": 0 }, @@ -1238,11 +1207,28 @@ { "data": { "text/html": [ - "
20:14:16 CEST Batch complete.                                                   \n",
+       "
09:31:10 EDT Modeler has finished running successfully.                         \n",
+       "
\n" + ], + "text/plain": [ + "\u001b[2;36m09:31:10 EDT\u001b[0m\u001b[2;36m \u001b[0mModeler has finished running successfully. \n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/html": [ + "
             Billed FlexCredit cost: 1.093. Minimum cost depends on task        \n",
+       "             execution details. Use 'web.real_cost(task_id)' to get the billed  \n",
+       "             FlexCredit cost after a simulation run.                            \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:14:16 CEST\u001b[0m\u001b[2;36m \u001b[0mBatch complete. \n" + "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0mBilled FlexCredit cost: \u001b[1;36m1.093\u001b[0m. Minimum cost depends on task \n", + "\u001b[2;36m \u001b[0mexecution details. Use \u001b[32m'web.real_cost\u001b[0m\u001b[32m(\u001b[0m\u001b[32mtask_id\u001b[0m\u001b[32m)\u001b[0m\u001b[32m'\u001b[0m to get the billed \n", + "\u001b[2;36m \u001b[0mFlexCredit cost after a simulation run. \n" ] }, "metadata": {}, @@ -1261,7 +1247,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "230075144fba4f43a6d8b27f6a7a4e9d", + "model_id": "d9e0eda7b0ab40bdb2efb27a6d6747a8", "version_major": 2, "version_minor": 0 }, @@ -1281,27 +1267,15 @@ }, "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "# s_matrix_long = tcm_long.run()\n", - "s_matrix = tcm_3d.run()" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "6341c948-6849-4992-a2b1-59e845cc6403", - "metadata": {}, - "outputs": [ + }, { "data": { "text/html": [ - "
20:14:36 CEST Total billed flex credit cost: 0.864.                             \n",
+       "
09:31:17 EDT loading component modeler data from ./cm_data.hdf5                 \n",
        "
\n" ], "text/plain": [ - "\u001b[2;36m20:14:36 CEST\u001b[0m\u001b[2;36m \u001b[0mTotal billed flex credit cost: \u001b[1;36m0.864\u001b[0m. \n" + "\u001b[2;36m09:31:17 EDT\u001b[0m\u001b[2;36m \u001b[0mloading component modeler data from .\u001b[35m/\u001b[0m\u001b[95mcm_data.hdf5\u001b[0m \n" ] }, "metadata": {}, @@ -1309,8 +1283,8 @@ } ], "source": [ - "# Report cost of simulation\n", - "_ = tcm_3d.batch.real_cost()" + "tcm_3d_data = web.run(tcm_3d, task_name=\"diff_stripline_3d\")\n", + "s_matrix = tcm_3d_data.smatrix()" ] }, { @@ -1323,19 +1297,19 @@ }, { "cell_type": "code", - "execution_count": 30, + "execution_count": 29, "id": "b928901c-c3b8-4e6a-acf8-5034cabe6e46", "metadata": {}, "outputs": [], "source": [ "# Get S-parameters\n", - "S11 = np.conjugate(s_matrix.sel(port_in=\"WP1\", port_out=\"WP1\"))\n", - "S21 = np.conjugate(s_matrix.sel(port_in=\"WP1\", port_out=\"WP2\"))" + "S11 = np.conjugate(s_matrix.data.sel(port_in=\"WP1\", port_out=\"WP1\"))\n", + "S21 = np.conjugate(s_matrix.data.sel(port_in=\"WP1\", port_out=\"WP2\"))" ] }, { "cell_type": "code", - "execution_count": 31, + "execution_count": 30, "id": "24dfbd8f-4252-4e48-9ef0-b05820bb6a0c", "metadata": {}, "outputs": [], @@ -1359,13 +1333,13 @@ }, { "cell_type": "code", - "execution_count": 32, + "execution_count": 31, "id": "bde8e965-d09f-4db4-acf2-5a3cd5aa6915", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1414,52 +1388,26 @@ }, { "cell_type": "code", - "execution_count": 33, + "execution_count": 32, "id": "c89580d6-691a-4ebb-ade9-a9601e904980", "metadata": { "scrolled": true }, - "outputs": [ - { - "data": { - "application/vnd.jupyter.widget-view+json": { - "model_id": "6552be5c58d7428ca5a048da8ed5b35f", - "version_major": 2, - "version_minor": 0 - }, - "text/plain": [ - "Output()" - ] - }, - "metadata": {}, - "output_type": "display_data" - }, - { - "data": { - "text/html": [ - "
\n"
-      ],
-      "text/plain": []
-     },
-     "metadata": {},
-     "output_type": "display_data"
-    }
-   ],
+   "outputs": [],
    "source": [
-    "# Load monitor data\n",
-    "tcm_batch = tcm_3d.batch.load()\n",
-    "sim_data = tcm_batch[\"smatrix_WP1\"]"
+    "# Load sim data for wave port 1\n",
+    "sim_data = tcm_3d_data.data[\"WP1@0\"]"
    ]
   },
   {
    "cell_type": "code",
-   "execution_count": 34,
+   "execution_count": 33,
    "id": "50a8c717-297d-46bb-8af2-df3712895073",
    "metadata": {},
    "outputs": [
     {
      "data": {
-      "image/png": "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",
+      "image/png": "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",
       "text/plain": [
        "
" ] @@ -1480,6 +1428,14 @@ "ax.set_ylim(-25 * mil, 25 * mil)\n", "plt.show()" ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "d4e9a1b3-61e8-4ea9-95b3-9506da46fb45", + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { @@ -1493,9 +1449,9 @@ "Wave port" ], "kernelspec": { - "display_name": ".venv", + "display_name": "Python (dev env)", "language": "python", - "name": "python3" + "name": "tidy3d-dev" }, "keywords": "differential stripline, stripline, interconnect, high-speed, broadband, waveguide, microwave, Tidy3D, FDTD", "language_info": { @@ -1508,7 +1464,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.13.5" + "version": "3.13.2" }, "title": "Differential Stripline Benchmark" }, diff --git a/EdgeFeedPatchAntennaBenchmark.ipynb b/EdgeFeedPatchAntennaBenchmark.ipynb index e759dcc2..4d8c3665 100644 --- a/EdgeFeedPatchAntennaBenchmark.ipynb +++ b/EdgeFeedPatchAntennaBenchmark.ipynb @@ -36,6 +36,7 @@ "import numpy as np\n", "import tidy3d as td\n", "import tidy3d.plugins.smatrix as sm\n", + "import tidy3d.web as web\n", "import xarray as xr\n", "from tidy3d.plugins.dispersion import FastDispersionFitter\n", "from tidy3d.plugins.microwave import LobeMeasurer\n", @@ -109,7 +110,7 @@ { "data": { "application/vnd.jupyter.widget-view+json": { - "model_id": "0ff597231bc14ff08b31afa07c4ff20a", + "model_id": "08d26452a41c488884f7be28d698e076", "version_major": 2, "version_minor": 0 }, @@ -461,7 +462,6 @@ " ports=[LP1],\n", " radiation_monitors=[mon_radiation],\n", " freqs=freqs,\n", - " verbose=True,\n", " remove_dc_component=False, # Set to False when sim is broadband and includes low frequencies (<1 GHz)\n", ")" ] @@ -492,7 +492,7 @@ "data": { "text/html": [ "\n", - "
\n", + "
\n", "